Sample records for vacuum ultraviolet lithography

  1. Method for the protection of extreme ultraviolet lithography optics

    DOEpatents

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  2. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  3. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  4. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  5. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  6. Condenser for ring-field deep ultraviolet and extreme ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2002-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated or converging beam at grazing incidence. The ripple plate comprises a flat or curved plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  7. Condenser for ring-field deep-ultraviolet and extreme-ultraviolet lithography

    DOEpatents

    Chapman, Henry N.; Nugent, Keith A.

    2001-01-01

    A condenser for use with a ring-field deep ultraviolet or extreme ultraviolet lithography system. A condenser includes a ripple-plate mirror which is illuminated by a collimated beam at grazing incidence. The ripple plate comprises a plate mirror into which is formed a series of channels along an axis of the mirror to produce a series of concave surfaces in an undulating pattern. Light incident along the channels of the mirror is reflected onto a series of cones. The distribution of slopes on the ripple plate leads to a distribution of angles of reflection of the incident beam. This distribution has the form of an arc, with the extremes of the arc given by the greatest slope in the ripple plate. An imaging mirror focuses this distribution to a ring-field arc at the mask plane.

  8. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  9. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  10. Vacuum system for room temperature X-ray lithography source (XLS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schuchman, J.C.

    1988-09-30

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  11. Vacuum system for room temperature X-ray lithography source (XLS)

    NASA Astrophysics Data System (ADS)

    Schuchman, J. C.

    1988-09-01

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  12. Fluoride coatings for vacuum ultraviolet reflection filters.

    PubMed

    Guo, Chun; Kong, Mingdong; Lin, Dawei; Li, Bincheng

    2015-12-10

    LaF3/MgF2 reflection filters with a high spectral-discrimination capacity of the atomic-oxygen lines at 130.4 and 135.6 nm, which were employed in vacuum ultraviolet imagers, were prepared by molybdenum-boat thermal evaporation. The optical properties of reflection filters were characterized by a high-precision vacuum ultraviolet spectrophotometer. The vulnerability of the filter's microstructures to environmental contamination and the recovery of the optical properties of the stored filter samples with ultraviolet ozone cleaning were experimentally demonstrated. For reflection filters with the optimized nonquarter-wave multilayer structures, the reflectance ratios R135.6 nm/R130.4 nm of 92.7 and 20.6 were achieved for 7° and 45° angles of incidence, respectively. On the contrary, R135.6 nm/R130.4 nm ratio of 12.4 was obtained for a reflection filter with a standard π-stack multilayer structure with H/L=1/4 at 7° AOI.

  13. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  14. Viability of Cladosporium herbarum spores under 157 nm laser and vacuum ultraviolet irradiation, low temperature (10 K) and vacuum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarantopoulou, E., E-mail: esarant@eie.gr; Stefi, A.; Kollia, Z.

    Ultraviolet photons can damage microorganisms, which rarely survive prolonged irradiation. In addition to the need for intact DNA, cell viability is directly linked to the functionality of the cell wall and membrane. In this work, Cladosporium herbarum spore monolayers exhibit high viability (7%) when exposed to 157 nm laser irradiation (412 kJm⁻²) or vacuum-ultraviolet irradiation (110–180 nm) under standard pressure and temperature in a nitrogen atmosphere. Spore viability can be determined by atomic-force microscopy, nano-indentation, mass, μ-Raman and attenuated reflectance Fourier-transform far-infrared spectroscopies and DNA electrophoresis. Vacuum ultraviolet photons cause molecular damage to the cell wall, but radiation resistance inmore » spores arises from the activation of a photon-triggered signaling reaction, expressed via the exudation of intracellular substances, which, in combination with the low penetration depth of vacuum-ultraviolet photons, shields DNA from radiation. Resistance to phototoxicity under standard conditions was assessed, as was resistance to additional environmental stresses, including exposure in a vacuum, under different rates of change of pressure during pumping time and low (10 K) temperatures. Vacuum conditions were far more destructive to spores than vacuum-ultraviolet irradiation, and UV-B photons were two orders of magnitude more damaging than vacuum-ultraviolet photons. The viability of irradiated spores was also enhanced at 10 K. This work, in addition to contributing to the photonic control of the viability of microorganisms exposed under extreme conditions, including decontamination of biological warfare agents, outlines the basis for identifying bio-signaling in vivo using physical methodologies.« less

  15. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  16. Nonthermal combined ultraviolet and vacuum-ultraviolet curing process for organosilicate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, H.; Guo, X.; Pei, D.

    2016-06-13

    Porous SiCOH films are of great interest in semiconductor fabrication due to their low-dielectric constant properties. Post-deposition treatments using ultraviolet (UV) light on organosilicate thin films are required to decompose labile pore generators (porogens) and to ensure optimum network formation to improve the electrical and mechanical properties of low-k dielectrics. The goal of this work is to choose the best vacuum-ultraviolet photon energy in conjunction with vacuum ultraviolet (VUV) photons without the need for heating the dielectric to identify those wavelengths that will have the most beneficial effect on improving the dielectric properties and minimizing damage. VUV irradiation between 8.3more » and 8.9 eV was found to increase the hardness and elastic modulus of low-k dielectrics at room temperature. Combined with UV exposures of 6.2 eV, it was found that this “UV/VUV curing” process is improved compared with current UV curing. We show that UV/VUV curing can overcome drawbacks of UV curing and improve the properties of dielectrics more efficiently without the need for high-temperature heating of the dielectric.« less

  17. Atomic Oscillator Strengths in the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Nave, Gillian; Sansonetti, Craig J.; Szabo, Csilla I.

    2006-01-01

    We have developed techniques to measure branching fractions in the vacuum ultraviolet using diffraction grating spectroscopy and phosphor image plates as detectors. These techniques have been used to measure branching fractions in Fe II that give prominent emission lines in astrophysical objects.

  18. Compact multi-bounce projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2002-01-01

    An optical system compatible with short wavelength (extreme ultraviolet) radiation comprising four optical elements providing five reflective surfaces for projecting a mask image onto a substrate. The five optical surfaces are characterized in order from object to image as concave, convex, concave, convex and concave mirrors. The second and fourth reflective surfaces are part of the same optical element. The optical system is particularly suited for ring field step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width, which effectively minimizes dynamic distortion.

  19. Recent advances and applications of gas chromatography vacuum ultraviolet spectroscopy.

    PubMed

    Santos, Inês C; Schug, Kevin A

    2017-01-01

    The vacuum ultraviolet spectrophotometer was developed recently as an alternative to existing gas chromatography detectors. This detector measures the absorption of gas-phase chemical species in the range of 120-240 nm, where all chemical compounds present unique absorption spectra. Therefore, qualitative analysis can be performed and quantification follows standard Beer-Lambert law principles. Different fields of application, such as petrochemical, food, and environmental analysis have been explored. Commonly demonstrated is the capability for facile deconvolution of co-eluting analytes. The concept of additive absorption for co-eluting analytes has also been advanced for classification and speciation of complex mixtures using a data treatment procedure termed time interval deconvolution. Furthermore, pseudo-absolute quantitation can be performed for system diagnosis, as well as potentially calibrationless quantitation. In this manuscript an overview of these features, the vacuum ultraviolet spectrophotometer instrumentation, and performance capabilities are given. A discussion of the applications of the vacuum ultraviolet detector is provided by describing and discussing the papers published thus far since 2014. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. High numerical aperture projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2000-01-01

    An optical system is described that is compatible with extreme ultraviolet radiation and comprises five reflective elements for projecting a mask image onto a substrate. The five optical elements are characterized in order from object to image as concave, convex, concave, convex, and concave mirrors. The optical system is particularly suited for ring field, step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width which effectively minimizes dynamic distortion. The present invention allows for higher device density because the optical system has improved resolution that results from the high numerical aperture, which is at least 0.14.

  1. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  2. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  3. Spectrophotometric Attachment for the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Axelrod, Norman N.

    1961-01-01

    An absorption spectrophotometric attachment to a vacuum ultraviolet monochromator has been built and tested. With an empty sample chamber, the ratio of the radiant flux through the sample chamber to the radiant flux through the reference chamber was measured. By optimizing conditions at the entrance slit, the ratio was constant within experimental error over the region 1000-1600 A. The transmittance of thin celluloid films was measured with the attachment.

  4. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  5. Ecofriendly antiglare film derived from biomass using ultraviolet curing nanoimprint lithography for high-definition display

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji

    2013-07-01

    Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.

  6. Ultraviolet Laser Lithography of Titania Photonic Crystals for Terahertz-Wave Modulation.

    PubMed

    Kirihara, Soshu; Nonaka, Koki; Kisanuki, Shoichiro; Nozaki, Hirotoshi; Sakaguchi, Keito

    2018-05-18

    Three-dimensional (3D) microphotonic crystals with a diamond structure composed of titania microlattices were fabricated using ultraviolet laser lithography, and the bandgap properties in the terahertz (THz) electromagnetic-wave frequency region were investigated. An acrylic resin paste with titania fine particle dispersions was used as the raw material for additive manufacturing. By scanning a spread paste surface with an ultraviolet laser beam, two-dimensional solid patterns were dewaxed and sintered. Subsequently, 3D structures with a relative density of 97% were created via layer lamination and joining. A titania diamond lattice with a lattice constant density of 240 µm was obtained. The properties of the electromagnetic wave were measured using a THz time-domain spectrometer. In the transmission spectra for the Γ-X direction, a forbidden band was observed from 0.26 THz to 0.44 THz. The frequency range of the bandgap agreed well with calculated results obtained using the plane⁻wave expansion method. Additionally, results of a simulation via transmission-line modeling indicated that a localized mode can be obtained by introducing a plane defect between twinned diamond lattice structures.

  7. Estimation of resist sensitivity for extreme ultraviolet lithography using an electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa, E-mail: ohyama.tomoko@qst.go.jp; Oshima, Akihiro; Tagawa, Seiichi, E-mail: tagawa@sanken.osaka-u.ac.jp

    2016-08-15

    It is a challenge to obtain sufficient extreme ultraviolet (EUV) exposure time for fundamental research on developing a new class of high sensitivity resists for extreme ultraviolet lithography (EUVL) because there are few EUV exposure tools that are very expensive. In this paper, we introduce an easy method for predicting EUV resist sensitivity by using conventional electron beam (EB) sources. If the chemical reactions induced by two ionizing sources (EB and EUV) are the same, the required absorbed energies corresponding to each required exposure dose (sensitivity) for the EB and EUV would be almost equivalent. Based on this theory, wemore » calculated the resist sensitivities for the EUV/soft X-ray region. The estimated sensitivities were found to be comparable to the experimentally obtained sensitivities. It was concluded that EB is a very useful exposure tool that accelerates the development of new resists and sensitivity enhancement processes for 13.5 nm EUVL and 6.x nm beyond-EUVL (BEUVL).« less

  8. Development of a Wafer Positioning System for the Sandia Extreme Ultraviolet Lithography Tool

    NASA Technical Reports Server (NTRS)

    Wronosky, John B.; Smith, Tony G.; Darnold, Joel R.

    1996-01-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development. This paper describes the design, implementation, and functional capability of the system. Specifics regarding control system electronics, including software and control algorithm structure, as well as performance design goals and test results are presented. Potential system enhancements, some of which are in process, are also discussed.

  9. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    PubMed

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  10. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  11. Satellite Atmospheric Radiance Measurements in the Vacuum Ultraviolet.

    DTIC Science & Technology

    1979-07-05

    APERTURE I I 1_ _~~J ;~- WHEEL MOTOR IDRIVE r~~ II I :_-~I ~~~~~~~~~~~~~~~~~~ ~~~_I ~~APERT URE WHEEL\\ ELLIPSOIDAL PRIMARY MIRROR VV ~ V SUNSHADE V I...Table 1. Vacuum Ultraviolet Backg rounds Sensors (Cont ) P~ iot ometer Interf erence Filters (A) 1216 1340 1550 1750 no f

  12. Photon-counting array detectors for space and ground-based studies at ultraviolet and vacuum ultraviolet /VUV/ wavelengths

    NASA Technical Reports Server (NTRS)

    Timothy, J. G.; Bybee, R. L.

    1981-01-01

    The Multi-Anode Microchannel Arrays (MAMAs) are a family of photoelectric photon-counting array detectors, with formats as large as (256 x 1024)-pixels that can be operated in a windowless configuration at vacuum ultraviolet (VUV) and soft X-ray wavelengths or in a sealed configuration at ultraviolet and visible wavelengths. This paper describes the construction and modes of operation of (1 x 1024)-pixel and (24 x 1024)-pixel MAMA detector systems that are being built and qualified for use in sounding-rocket spectrometers for solar and stellar observations at wavelengths below 1300 A. The performance characteristics of the MAMA detectors at ultraviolet and VUV wavelengths are also described.

  13. Extreme-ultraviolet and electron beam lithography processing using water developable resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi

    2017-08-01

    In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.

  14. Note: Hollow cathode lamp with integral, high optical efficiency isolation valve: a modular vacuum ultraviolet source.

    PubMed

    Roberts, F Sloan; Anderson, Scott L

    2013-12-01

    The design and operating conditions of a hollow cathode discharge lamp for the generation of vacuum ultraviolet radiation, suitable for ultrahigh vacuum (UHV) application, are described in detail. The design is easily constructed, and modular, allowing it to be adapted to different experimental requirements. A thin isolation valve is built into one of the differential pumping stages, isolating the discharge section from the UHV section, both for vacuum safety and to allow lamp maintenance without venting the UHV chamber. The lamp has been used both for ultraviolet photoelectron spectroscopy of surfaces and as a "soft" photoionization source for gas-phase mass spectrometry.

  15. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  16. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  17. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  18. Note: Hollow cathode lamp with integral, high optical efficiency isolation valve: A modular vacuum ultraviolet source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sloan Roberts, F.; Anderson, Scott L.

    2013-12-15

    The design and operating conditions of a hollow cathode discharge lamp for the generation of vacuum ultraviolet radiation, suitable for ultrahigh vacuum (UHV) application, are described in detail. The design is easily constructed, and modular, allowing it to be adapted to different experimental requirements. A thin isolation valve is built into one of the differential pumping stages, isolating the discharge section from the UHV section, both for vacuum safety and to allow lamp maintenance without venting the UHV chamber. The lamp has been used both for ultraviolet photoelectron spectroscopy of surfaces and as a “soft” photoionization source for gas-phase massmore » spectrometry.« less

  19. Resolution of isomeric new designer stimulants using gas chromatography - Vacuum ultraviolet spectroscopy and theoretical computations.

    PubMed

    Skultety, Ludovit; Frycak, Petr; Qiu, Changling; Smuts, Jonathan; Shear-Laude, Lindsey; Lemr, Karel; Mao, James X; Kroll, Peter; Schug, Kevin A; Szewczak, Angelica; Vaught, Cory; Lurie, Ira; Havlicek, Vladimir

    2017-06-08

    Distinguishing isomeric representatives of "bath salts", "plant food", "spice", or "legal high" remains a challenge for analytical chemistry. In this work, we used vacuum ultraviolet spectroscopy combined with gas chromatography to address this issue on a set of forty-three designer drugs. All compounds, including many isomers, returned differentiable vacuum ultraviolet/ultraviolet spectra. The pair of 3- and 4-fluoromethcathinones (m/z 181.0903), as well as the methoxetamine/meperidine/ethylphenidate (m/z 247.1572) triad, provided very distinctive vacuum ultraviolet spectral features. On the contrary, spectra of 4-methylethcathinone, 4-ethylmethcathinone, 3,4-dimethylmethcathinone triad (m/z 191.1310) displayed much higher similarities. Their resolution was possible only if pure standards were probed. A similar situation occurred with the ethylone and butylone pair (m/z 221.1052). On the other hand, majority of forty-three drugs was successfully separated by gas chromatography. The detection limits for all the drug standards were in the 2-4 ng range (on-column amount), which is sufficient for determinations of seized drugs during forensics analysis. Further, state-of-the-art time-dependent density functional theory was evaluated for computation of theoretical absorption spectra in the 125-240 nm range as a complementary tool. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Absolute calibration of a hydrogen discharge lamp in the vacuum ultraviolet

    NASA Technical Reports Server (NTRS)

    Nealy, J. E.

    1975-01-01

    A low-pressure hydrogen discharge lamp was calibrated for radiant intensity in the vacuum ultraviolet spectral region on an absolute basis and was employed as a laboratory standard source in spectrograph calibrations. This calibration was accomplished through the use of a standard photodiode detector obtained from the National Bureau of Standards together with onsite measurements of spectral properties of optical components used. The stability of the light source for use in the calibration of vacuum ultraviolet spectrographs and optical systems was investigated and found to be amenable to laboratory applications. The lamp was studied for a range of operating parameters; the results indicate that with appropriate peripheral instrumentation, the light source can be used as a secondary laboratory standard source when operated under preset controlled conditions. Absolute intensity measurements were recorded for the wavelengths 127.7, 158.0, 177.5, and 195.0 nm for a time period of over 1 month, and the measurements were found to be repeatable to within 11 percent.

  1. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  2. Vacuum-ultraviolet lasers and spectroscopy

    NASA Astrophysics Data System (ADS)

    Hollenstein, U.

    2012-01-01

    Single-photon ionisation of most atoms and molecules requires short-wavelength radiation, typically in the vacuum-ultraviolet (VUV, λ < 200 nm) or extreme ultraviolet (XUV, λ < 105 nm) region of the electromagnetic spectrum. The first VUV and XUV radiation sources used to study molecular photoabsorption and photoionisation spectra were light sources emitting a broad continuous spectrum, such as high pressure lamps or synchrotrons. Monochromatic VUV and XUV radiation was obtained using diffraction gratings in evacuated monochromators, which resulted in a resolving power ν/Δv of at best 106 (i. e. 0.1 cm-1 at 100 000 cm-1), but more typically in the range 104-105 . The invention of the laser and the development of nonlinear optical frequency-upconversion techniques enabled the development of table-top narrow-bandwidth, coherent VUV and XUV laser sources with which VUV photoabsorption, photoionisation and photoelectron spectra of molecules can be recorded at much higher resolution, the best sources having bandwidths better than 50 MHz. Such laser sources are ideally suited to study the structure and dynamics of electronically excited states of atoms and molecules and molecular photoionisation using photoabsorption, photoionisation and photoelectron spectroscopy. This chapter presents the general principles that are exploited to generate tunable narrow-band laser radiation below 200 nm and describes spectroscopic methods such as photoabsorption spectroscopy, photoionisation spectroscopy and threshold photoelectron spectroscopy that relay on the broad tunability and narrow-bandwidth of VUV radiation sources.

  3. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  4. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  5. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Yan; Takeuchi, Takuya; Ishikawa, Kenji; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2017-12-01

    The absolute fluxes of vacuum ultraviolet (VUV) photons emitted from HBr plasma were analyzed and the effects of VUV photons on a photoresist polymer in ArF-excimer-laser (193 nm) lithography were quantitatively investigated on the basis of the infrared spectra attributed to the C=O region. The spectral peak intensity assigned to the methacrylic acid (MAA) in the photoresist drastically decreased owing to the loss of this monomer caused by the irradiation of VUV photons at dosages below 16 × 1016 photons/cm2. X-ray photoelectron spectroscopy observation showed that the removed monomer moved to the surface and generated volatile products that induced a decrease in film thickness. As a consequence, the surface became rough during the early-stage irradiation at dosages lower than 16 × 1016 photons/cm2 owing to the monomer loss of MAA with volatile product formation and subsequent cross-linking reactions.

  6. Vacuum-Ultraviolet Photovoltaic Detector.

    PubMed

    Zheng, Wei; Lin, Richeng; Ran, Junxue; Zhang, Zhaojun; Ji, Xu; Huang, Feng

    2018-01-23

    Over the past two decades, solar- and astrophysicists and material scientists have been researching and developing new-generation semiconductor-based vacuum ultraviolet (VUV) detectors with low power consumption and small size for replacing traditional heavy and high-energy-consuming microchannel-detection systems, to study the formation and evolution of stars. However, the most desirable semiconductor-based VUV photovoltaic detector capable of achieving zero power consumption has not yet been achieved. With high-crystallinity multistep epitaxial grown AlN as a VUV-absorbing layer for photogenerated carriers and p-type graphene (with unexpected VUV transmittance >96%) as a transparent electrode to collect excited holes, we constructed a heterojunction device with photovoltaic detection for VUV light. The device exhibits an encouraging VUV photoresponse, high external quantum efficiency (EQE) and extremely fast tempera response (80 ns, 10 4 -10 6 times faster than that of the currently reported VUV photoconductive devices). This work has provided an idea for developing zero power consumption and integrated VUV photovoltaic detectors with ultrafast and high-sensitivity VUV detection capability, which not only allows future spacecraft to operate with longer service time and lower launching cost but also ensures an ultrafast evolution of interstellar objects.

  7. Absolute photon-flux measurements in the vacuum ultraviolet

    NASA Technical Reports Server (NTRS)

    Samson, J. A. R.; Haddad, G. N.

    1974-01-01

    Absolute photon-flux measurements in the vacuum ultraviolet have extended to short wavelengths by use of rare-gas ionization chambers. The technique involves the measurement of the ion current as a function of the gas pressure in the ion chamber. The true value of the ion current, and hence the absolute photon flux, is obtained by extrapolating the ion current to zero gas pressure. Examples are given at 162 and 266 A. The short-wavelength limit is determined only by the sensitivity of the current-measuring apparatus and by present knowledge of the photoionization processes that occur in the rate gases.

  8. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Ohomori, Katsumi; Kozawa, Takahiro

    2015-03-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.

  9. Effects of space vacuum and solar ultraviolet irradiation (254 nanometers) on the colony forming ability of Bacillus subtilis spores

    NASA Technical Reports Server (NTRS)

    Buecker, H.; Horneck, G.; Wollenhaupt, H.

    1973-01-01

    Bacillus subtilis spores are highly resistant to harsh environments. Therefore, in the Apollo 16 Microbial Response to Space Environment Experiment (M191), these spores were exposed to space vacuum or solar ultraviolet irradiation, or both, to estimate the change of survival for terrestrial organisms in space. The survival of the spores was determined in terms of colony-forming ability. Comparison of the flight results with results of simulation experiments on earth applying high vacuum or ultraviolet irradiation, or both, revealed no remarkable difference. Simultaneous exposure to both these space factors resulted in a synergistic effect (that is, an ultraviolet supersensitivity). Therefore, the change of survival in space is assumed to depend on the degree of protection against solar ultraviolet irradiation.

  10. Generation of multicolor vacuum ultraviolet pulses through four-wave sum-frequency mixing in argon

    NASA Astrophysics Data System (ADS)

    Shi, Liping; Li, Wenxue; Zhou, Hui; Wang, Di; Ding, Liang'en; Zeng, Heping

    2013-11-01

    We demonstrate efficient generation of multicolor vacuum ultraviolet pulses with excellent mode quality through χ(3)-based four-wave sum-frequency mixing and third-order harmonic generation of 400- and 267-nm femtosecond laser pulses in argon gas. The χ(3)-based nonlinear optical processes were optimized with appropriate control of gas pressure and group velocity delay between the driving pulses. Furthermore, the pulse breakup effects were observed for tightly focused ultraviolet pulses.

  11. Vacuum ultraviolet images of the Large Magellanic Cloud

    NASA Astrophysics Data System (ADS)

    Smith, Andrew M.; Cornett, Robert H.; Hill, Robert S.

    1987-09-01

    Images with 50arcsec resolution of the Large Magellanic Cloud (LMC), obtained with sounding-rocket instrumentation in two vacuum ultraviolet (VUV) bandpasses, are presented. The bandpasses are each ≡200 Å wide and are centered, for hot stars, near 1500 Å and 1900 Å. Photometry was done on the digitized images for all associations in the list of Lucke and Hodge. The authors discuss the results and their relationship to the overall characteristics of star formation in the LMC. They present a simple model for propagating star formation in the LMC whose results closely resemble the distribution of associations as revealed by VUV images.

  12. Harmonium: An Ultrafast Vacuum Ultraviolet Facility.

    PubMed

    Arrell, Christopher A; Ojeda, José; Longetti, Luca; Crepaldi, Alberto; Roth, Silvan; Gatti, Gianmarco; Clark, Andrew; van Mourik, Frank; Drabbels, Marcel; Grioni, Marco; Chergui, Majed

    2017-05-31

    Harmonium is a vacuum ultraviolet (VUV) photon source built within the Lausanne Centre for Ultrafast Science (LACUS). Utilising high harmonic generation, photons from 20-110 eV are available to conduct steady-state or ultrafast photoelectron and photoion spectroscopies (PES and PIS). A pulse preserving monochromator provides either high energy resolution (70 meV) or high temporal resolution (40 fs). Three endstations have been commissioned for: a) PES of liquids; b) angular resolved PES (ARPES) of solids and; c) coincidence PES and PIS of gas phase molecules or clusters. The source has several key advantages: high repetition rate (up to 15 kHz) and high photon flux (1011 photons per second at 38 eV). The capabilities of the facility complement the Swiss ultrafast and X-ray community (SwissFEL, SLS, NCCR MUST, etc.) helping to maintain Switzerland's leading role in ultrafast science in the world.

  13. Acid generation mechanism in anion-bound chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Komuro, Yoshitaka; Yamamoto, Hiroki; Kobayashi, Kazuo; Utsumi, Yoshiyuki; Ohomori, Katsumi; Kozawa, Takahiro

    2014-11-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub-10 nm. An anion-bound polymer (ABP), in which the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using electron (pulse), γ, and EUV radiolyses. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The major path for proton generation in the absence of effective proton sources is considered to be the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through hole transfer to the decomposition products of onium salts.

  14. Effects of ultraviolet (UV) irradiation in air and under vacuum on low-k dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, F. A.; Nguyen, H. M.; Shohet, J. L., E-mail: shohet@engr.wisc.edu

    This work addresses the effect of ultraviolet radiation of wavelengths longer than 250 nm on Si-CH{sub 3} bonds in porous low-k dielectrics. Porous low-k films (k = 2.3) were exposed to 4.9 eV (254 nm) ultraviolet (UV) radiation in both air and vacuum for one hour. Using Fourier Transform Infrared (FTIR) spectroscopy, the chemical structures of the dielectric films were analyzed before and after the UV exposure. UV irradiation in air led to Si-CH{sub 3} bond depletion in the low-k material and made the films hydrophilic. However, no change in Si-CH{sub 3} bond concentration was observed when the same samplesmore » were exposed to UV under vacuum with a similar fluence. These results indicate that UV exposures in vacuum with wavelengths longer than ∼250 nm do not result in Si-CH{sub 3} depletion in low-k films. However, if the irradiation takes place in air, the UV irradiation removes Si-CH{sub 3} although direct photolysis of air species does not occur above ∼242 nm. We propose that photons along with molecular oxygen and, water, synergistically demethylate the low-k films.« less

  15. Large area, surface discharge pumped, vacuum ultraviolet light source

    DOEpatents

    Sze, Robert C.; Quigley, Gerard P.

    1996-01-01

    Large area, surface discharge pumped, vacuum ultraviolet (VUV) light source. A contamination-free VUV light source having a 225 cm.sup.2 emission area in the 240-340 nm region of the electromagnetic spectrum with an average output power in this band of about 2 J/cm.sup.2 at a wall-plug efficiency of approximately 5% is described. Only ceramics and metal parts are employed in this surface discharge source. Because of the contamination-free, high photon energy and flux, and short pulse characteristics of the source, it is suitable for semiconductor and flat panel display material processing.

  16. Large area, surface discharge pumped, vacuum ultraviolet light source

    DOEpatents

    Sze, R.C.; Quigley, G.P.

    1996-12-17

    Large area, surface discharge pumped, vacuum ultraviolet (VUV) light source is disclosed. A contamination-free VUV light source having a 225 cm{sup 2} emission area in the 240-340 nm region of the electromagnetic spectrum with an average output power in this band of about 2 J/cm{sup 2} at a wall-plug efficiency of approximately 5% is described. Only ceramics and metal parts are employed in this surface discharge source. Because of the contamination-free, high photon energy and flux, and short pulse characteristics of the source, it is suitable for semiconductor and flat panel display material processing. 3 figs.

  17. Vacuum ultraviolet spectra of uranium hexafluoride/argon mixtures

    NASA Technical Reports Server (NTRS)

    Krascella, N. L.

    1976-01-01

    The transmission properties of room temperature helium at pressures up to 20 atmospheres were determined in the wavelength range from 80 to 300 nm. Similarly, the transmission properties of uranium hexafluoride at 393 K (pressures less than 1.0 mm) were determined in the wavelength range from 80 to about 120 nm. The results show that high pressure helium is sufficiently transparent in the vacuum ultraviolet region (provided trace contaminants are removed) to be utilized as a transparent purge gas in future fissioning gaseous uranium plasma reactor experiments. Absorption cross sections for uranium hexafluoride were calculated from the data between 80 and 120 nm and were of the order of 10 to the -17 power sq cm.

  18. Illumination system design for a three-aspherical-mirror projection camera for extreme-ultraviolet lithography.

    PubMed

    Li, Y; Kinoshita, H; Watanabe, T; Irie, S; Shirayone, S; Okazaki, S

    2000-07-01

    A scanning critical illumination system is designed to couple a synchrotron radiation source to a three-aspherical-mirror imaging system for extreme ultraviolet lithography. A static illumination area of H x V = 8 mm x 3 mm (where H is horizontal and V is vertical) can be obtained. Uniform intensity distribution and a large ring field of H x V = 150 mm x 3 mm can be achieved by scanning of the mirror of the condenser. The coherence factor (sigma) of this illumination system is approximately 0.6, with the same beam divergence in both the horizontal and the vertical directions. We describe the performance of the imaging optics at sigma = 0.6 to confirm that the illumination optics can meet the requirements for three-aspherical-mirror imaging optics with a feature size of 0.06 microm.

  19. Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography

    DOEpatents

    Stearns, Daniel G [Los Altos, CA; Sweeney, Donald W [San Ramon, CA; Mirkarimi, Paul B [Sunol, CA

    2004-11-23

    A method is provided for repairing defects in a multilayer coating layered onto a reticle blank used in an extreme ultraviolet lithography (EUVL) system. Using high lateral spatial resolution, energy is deposited in the multilayer coating in the vicinity of the defect. This can be accomplished using a focused electron beam, focused ion beam or a focused electromagnetic radiation. The absorbed energy will cause a structural modification of the film, producing a localized change in the film thickness. The change in film thickness can be controlled with sub-nanometer accuracy by adjusting the energy dose. The lateral spatial resolution of the thickness modification is controlled by the localization of the energy deposition. The film thickness is adjusted locally to correct the perturbation of the reflected field. For example, when the structural modification is a localized film contraction, the repair of a defect consists of flattening a mound or spreading out the sides of a depression.

  20. CIV Polarization Measurements using a Vacuum Ultraviolet Fabry-Perot Interferometer

    NASA Technical Reports Server (NTRS)

    West, Edward; Gary, G. Allen; Cirtain, Jonathan; David, John; Kobayashi, Ken; Pietraszewski, Chris

    2009-01-01

    Marshall Space Flight Center's (MSFC) is developing a Vacuum Ultraviolet (VUV) Fabry-P rot Interferometer that will be launched on a sounding rocket for high throughput, high-cadence, extended field of view CIV (155nm) measurements. These measurements will provide (i) Dopplergrams for studies of waves, oscillations, explosive events, and mass motions through the transition region, and, (ii), polarization measurements to study the magnetic field in the transition region. This paper will describe the scientific goals of the instrument, a brief description of the optics and the polarization characteristics of the VUV Fabry P rot.

  1. Bidirectional Reflectance Function Measurement of Molecular Contaminant Scattering in the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Herren, Kenneth A.; Gregory, Don A.

    2006-01-01

    Bi-directional reflectance distribution function (BRDF) measurements of optical surfaces both before and after molecular contamination were done using UV, VUV and visible light. Molecular contamination of optical surfaces from outgassed material has been shown in many cases to proceed from acclimation centers, and to produce many roughly hemispherical "islands" of contamination on the surface. Vacuum Ultraviolet (VW) wavelengths are used here to measure angularly scattered light from optical surfaces.

  2. Examination of Laser Microprobe Vacuum Ultraviolet Ionization Mass Spectrometry with Application to Mapping Mars Returned Samples

    NASA Astrophysics Data System (ADS)

    Burton, A. S.; Berger, E. L.; Locke, D. R.; Lewis, E. K.; Moore, J. F.

    2018-04-01

    Laser microprobe of surfaces utilizing a two laser setup whereby the desorption laser threshold is lowered below ionization, and the resulting neutral plume is examined using 157nm Vacuum Ultraviolet laser light for mass spec surface mapping.

  3. Analysis of Fe V and Ni V Wavelength Standards in the Vacuum Ultraviolet

    NASA Astrophysics Data System (ADS)

    Ward, Jacob Wolfgang; Nave, Gillian

    2015-01-01

    The recent publication[1] by J.C. Berengut et al. tests for a potential variation in the fine-structure constant in the presence of high gravitational potentials through spectral analysis of white-dwarf stars.The spectrum of the white-dwarf star studied in the paper, G191-B2B, has prominent Fe V and Ni V lines, which were used to determine any variation in the fine-structure constant via observed shifts in the wavelengths of Fe V and Ni V in the vacuum ultraviolet region. The results of the paper indicate no such variation, but suggest that refined laboratory values for the observed wavelengths could greatly reduce the uncertainty associated with the paper's findings.An investigation of Fe V and Ni V spectra in the vacuum ultraviolet region has been conducted to reduce wavelength uncertainties currently limiting modern astrophysical studies of this nature. The analyzed spectra were produced by a sliding spark light source with electrodes made of invar, an iron nickel alloy, at peak currents of 750-2000 A. The use of invar ensures that systematic errors in the calibration are common to both species. The spectra were recorded with the NIST Normal Incidence Vacuum Spectrograph on phosphor image plate and photographic plate detectors. Calibration was done with a Pt II spectrum produced by a Platinum Neon Hollow Cathode lamp.[1] J. C. Berengut, V. V. Flambaum, A. Ong, et al Phys. Rev. Lett. 111, 010801 (2013)

  4. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    NASA Astrophysics Data System (ADS)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  5. Vacuum Ultraviolet Photoionization of Complex Chemical Systems

    DOE PAGES

    Kostko, Oleg; Bandyopadhyay, Biswajit; Ahmed, Musahid

    2016-02-24

    Tunable vacuum ultraviolet (VUV) radiation coupled to mass spectrometry is applied to the study of complex chemical systems in this paper. The identification of novel reactive intermediates and radicals is revealed in flame, pulsed photolysis, and pyrolysis reactors, leading to the elucidation of spectroscopy, reaction mechanisms, and kinetics. Mass-resolved threshold photoelectron photoion coincidence measurements provide unprecedented access to vibrationally resolved spectra of free radicals present in high-temperature reactors. Photoionization measurements in water clusters, nucleic acid base dimers, and their complexes with water provide signatures of proton transfer in hydrogen-bonded and π-stacked systems. Experimental and theoretical methods to track ion–molecule reactionsmore » and fragmentation pathways in intermolecular and intramolecular hydrogen-bonded systems in sugars and alcohols are described. Photoionization of laser-ablated molecules, clusters, and their reaction products inform thermodynamics and spectroscopy that are relevant to astrochemistry and catalysis. Finally, new directions in coupling VUV radiation to interrogate complex chemical systems are discussed.« less

  6. A Molecular- and Nano-Electronics Test (MONET) platform fabricated using extreme ultraviolet lithography.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dentinger, Paul M.; Cardinale, Gregory F.; Hunter, Luke L.

    2003-12-01

    We describe the fabrication and characterization of an electrode array test structure, designed for electrical probing of molecules and nanocrystals. We use Extreme Ultraviolet Lithography (EUVL) to define the electrical test platform features. As fabricated, the platform includes nominal electrode gaps of 0 nm, 40 nm, 60 nm, and 80 nm. Additional variation in electrode gap is achieved by controlling the exposure conditions, such as dose and focus. To enable EUVL based nanofabrication, we develop a novel bi-level photoresist process. The bi-level photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresistmore » top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist pre-bake temperature, and using this data, optimize a metal lift-off process. Reliable fabrication of 700 Angstrom thick Au structures with sub-1000 Angstrom critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Several test platforms are used to characterize electrical properties of organic molecules deposited as self assembled monolayers.« less

  7. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  8. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-12-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andruszkov, et al., Phys. Rev. Lett. 85 (2000) 3821). The SASE FEL concept eliminates the need for an optical cavity. As a result, there are no apparent limitations which would prevent operating at very short wavelength range and increasing the average output power of this device up to 10-kW level. The use of super conducting energy-recovery linac could produce a major, cost-efficient facility with wall plug power to output optical power efficiency of about 1%. A 10-kW scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time-schedule requirement.

  9. Space-time resolving vacuum ultraviolet spectrometer based on a rotating polyhedral mirror

    NASA Astrophysics Data System (ADS)

    Lin, Xiaodong; Xie, Jikang

    2000-05-01

    Using a rotating polyhedral mirror and a vacuum ultraviolet (VUV) monochromater, a space-time resolving VUV diagnostic system is developed. Measurement of the O VI (103.2 nm) radiation on the HT-6M tokamak shows that the time resolution of the system is better than 4 ms and the space resolution is better than 2 cm. Compared with traditional instruments, this system has improved measurement efficiency, and error from shot-to-shot discharge variations is avoided.

  10. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2001-01-01

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  11. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2003-04-08

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  12. Extreme-UV lithography vacuum chamber zone seal

    DOEpatents

    Haney, Steven J.; Herron, Donald Joe; Klebanoff, Leonard E.; Replogle, William C.

    2003-04-15

    Control of particle contamination on the reticle and carbon contamination of optical surfaces in photolithography systems can be achieved by the establishment of multiple pressure zones in the photolithography systems. The different zones will enclose the reticle, projection optics, wafer, and other components of system. The system includes a vacuum apparatus that includes: a housing defining a vacuum chamber; one or more metrology trays situated within the vacuum chamber each of which is supported by at least one support member, wherein the tray separates the vacuum chamber into a various compartments that are maintained at different pressures; and conductance seal devices for adjoining the perimeter of each tray to an inner surface of the housing wherein the tray is decoupled from vibrations emanating from the inner surface of the housing.

  13. Vacuum ultraviolet photon fluxes in argon-containing inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Radovanov, S. B.; Persing, H. M.; Wang, S.; Culver, C. L.; Boffard, J. B.; Lin, C. C.; Wendt, A. E.

    2013-09-01

    Vacuum ultraviolet (VUV) photons emitted from excited atomic states are ubiquitous in material processing plasmas. Damage of materials is induced by energy transfer from the VUV photons to the surface, causing disorder in the surface region, surface reactions, and affecting bonds in the material bulk. Monitoring of the surface flux of VUV photons from inductively coupled plasmas (ICP) and its dependence on discharge parameters is thus highly desirable. Results of non-invasive, direct windowless VUV detection using a photosensitive diode will be presented. Relative VUV fluxes were also obtained using a sodium salicylate coating on the inside of a vacuum window, converting VUV into visible light detected through the vacuum window. The coating is sensitive to wavelengths in the range 80-300 nm, while the photodiode is only sensitive to wavelengths below 120 nm. In argon the VUV emissions are primarily produced by spontaneous decay from 3p5 4 s resonance levels (1s2,1s4) and may be reabsorbed by ground state atoms. Real-time resonance level concentrations were measured and used to predict the VUV photon flux at the detector for a range of different ICP pressures, powers, and for various admixtures of Ar with N2, and H2. This work was supported in part by NSF grant PHY-1068670.

  14. Shot noise limit of chemically amplified resists with photodecomposable quenchers used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2017-06-01

    In lithography using high-energy photons such as an extreme ultraviolet (EUV) radiation, the shot noise of photons is a critical issue. The shot noise is a cause of line edge/width roughness (LER/LWR) and stochastic defect generation and limits the resist performance. In this study, the effects of photodecomposable quenchers were investigated from the viewpoint of the shot noise limit. The latent images of line-and-space patterns with 11 nm half-pitch were calculated using a Monte Carlo method. In the simulation, the effect of secondary electron blur was eliminated to clarify the shot noise limits regarding stochastic phenomena such as LER. The shot noise limit for chemically amplified resists with acid generators and photodecomposable quenchers was approximately the same as that for chemically amplified resists with acid generators and conventional quenchers when the total sensitizer concentration was the same. The effect of photodecomposable quenchers on the shot noise limit was essentially the same as that of acid generators.

  15. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mirkarimi, Paul B.; Bajt, Sasa; Wall, Mark A.

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decreasemore » more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar. (c) 2000 Optical Society of America.« less

  16. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography.

    PubMed

    Mirkarimi, P B; Bajt, S; Wall, M A

    2000-04-01

    Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.

  17. Vacuum ultraviolet and infrared spectra of condensed methyl acetate on cold astrochemical dust analogs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sivaraman, B.; Nair, B. G.; Mason, N. J.

    2013-12-01

    Following the recent report of the first identification of methyl acetate (CH{sub 3}COOCH{sub 3}) in the interstellar medium (ISM), we have carried out vacuum ultraviolet (VUV) and infrared (IR) spectroscopy studies on methyl acetate from 10 K until sublimation in an ultrahigh vacuum chamber simulating astrochemical conditions. We present the first VUV and IR spectra of methyl acetate relevant to ISM conditions. Spectral signatures clearly showed molecular reorientation to have started in the ice by annealing the amorphous ice formed at 10 K. An irreversible phase change from amorphous to crystalline methyl acetate ice was found to occur between 110more » K and 120 K.« less

  18. Analytical treatment of the deformation behavior of extreme-ultraviolet-lithography masks during electrostatic chucking

    NASA Astrophysics Data System (ADS)

    Brandstetter, Gerd; Govindjee, Sanjay

    2012-10-01

    A new analytical approach is presented to predict mask deformation during electrostatic chucking in next-generation extreme-ultraviolet-lithography. Given an arbitrary profile measurement of the mask and chuck nonflatness, this method has been developed as an alternative to time-consuming finite element simulations for overlay error correction algorithms. We consider the feature transfer of each harmonic component in the profile shapes via linear elasticity theory and demonstrate analytically how high spatial frequencies are filtered. The method is compared to presumably more accurate finite element simulations and has been tested successfully in an overlay error compensation experiment, where the residual error y-component could be reduced by a factor of 2. As a side outcome, the formulation provides a tool to estimate the critical pin-size and -pitch such that the distortion on the mask front-side remains within given tolerances. We find for a numerical example that pin-pitches of less than 5 mm will result in a mask pattern distortion of less than 1 nm if the chucking pressure is below 30 kPa.

  19. Electron impact excitation of argon in the extreme vacuum ultraviolet

    NASA Technical Reports Server (NTRS)

    Mentall, J. E.; Morgan, H. D.

    1976-01-01

    Polarization-free excitation cross sections in the extreme vacuum ultraviolet have been measured for electron impact on Ar. Observed spectral features were those lines of Ar I and Ar II which lie between 700 and 1100 A. Excitation functions were measured for the Ar I resonance line at 1048 A and the Ar II resonance line at 920 A. Peak cross sections for these two lines were found to be (39.4 plus or minus 7.9) x 10 to the -18th and (6.9 plus or minus 1.4) x 10 to the -18th, respectively. At low energies, excitation of the Ar II resonance line is dominated by an electron exchange transition.

  20. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  1. Vacuum ultraviolet spectropolarimeter design for precise polarization measurements.

    PubMed

    Narukage, Noriyuki; Auchère, Frédéric; Ishikawa, Ryohko; Kano, Ryouhei; Tsuneta, Saku; Winebarger, Amy R; Kobayashi, Ken

    2015-03-10

    Precise polarization measurements in the vacuum ultraviolet (VUV) region provide a new means for inferring weak magnetic fields in the upper atmosphere of the Sun and stars. We propose a VUV spectropolarimeter design ideally suited for this purpose. This design is proposed and adopted for the NASA-JAXA chromospheric lyman-alpha spectropolarimeter (CLASP), which will record the linear polarization (Stokes Q and U) of the hydrogen Lyman-α line (121.567 nm) profile. The expected degree of polarization is on the order of 0.1%. Our spectropolarimeter has two optically symmetric channels to simultaneously measure orthogonal linear polarization states with a single concave diffraction grating that serves both as the spectral dispersion element and beam splitter. This design has a minimal number of reflective components with a high VUV throughput. Consequently, these design features allow us to minimize the polarization errors caused by possible time variation of the VUV flux during the polarization modulation and by statistical photon noise.

  2. Surface assessment of CaF2 deep-ultraviolet and vacuum-ultraviolet optical components by the quasi-Brewster angle technique.

    PubMed

    Wang, Jue; Maier, Robert L

    2006-08-01

    The requirements for optical components have drastically increased for the deep-ultraviolet and vacuum-ultraviolet spectral regions. Low optical loss, high laser damage threshold, and long lifetime fluoride optics are required for microlithographic applications. A nondestructive quasi-Brewster angle technique (qBAT) has been developed for evaluating the quality of optical surfaces including both top surface and subsurface information. By using effective medium approximation, the negative quasi-Brewster angle shift at wavelengths longer than 200 nm has been used to model the distribution of subsurface damage, whereas the positive quasi-Brewster angle shift for wavelengths shorter than 200 nm has been explained by subsurface contamination. The top surface roughness depicted by the qBAT is consistent with atomic force microscopy measurements. The depth and the microporous structure of the subsurface damage measured by the qBAT has been confirmed by magnetorheological finishing. The technique has been extended to evaluate both polished and antireflection-coated CaF(2) components.

  3. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  4. Laser Desorption Postionization Mass Spectrometry of Antibiotic-Treated Bacterial Biofilms using Tunable Vacuum Ultraviolet Radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gasper, Gerald L; Takahashi, Lynelle K; Zhou, Jia

    2010-08-04

    Laser desorption postionization mass spectrometry (LDPI-MS) with 8.0 ? 12.5 eV vacuum ultraviolet synchrotron radiation is used to single photon ionize antibiotics andextracellular neutrals that are laser desorbed both neat and from intact bacterial biofilms. Neat antibiotics are optimally detected using 10.5 eV LDPI-MS, but can be ionized using 8.0 eV radiation, in agreement with prior work using 7.87 eV LDPI-MS. Tunable vacuum ultraviolet radiation also postionizes laser desorbed neutrals of antibiotics and extracellular material from within intact bacterial biofilms. Different extracellular material is observed by LDPI-MS in response to rifampicin or trimethoprim antibiotic treatment. Once again, 10.5 eV LDPI-MSmore » displays the optimum trade-off between improved sensitivity and minimum fragmentation. Higher energy photons at 12.5 eV produce significant parent ion signal, but fragment intensity and other low mass ions are also enhanced. No matrix is added to enhance desorption, which is performed at peak power densities insufficient to directly produce ions, thus allowing observation of true VUV postionization mass spectra of antibiotic treated biofilms.« less

  5. Simultaneous removal of NO and SO2 using vacuum ultraviolet light (VUV)/heat/peroxymonosulfate (PMS).

    PubMed

    Liu, Yangxian; Wang, Yan; Wang, Qian; Pan, Jianfeng; Zhang, Jun

    2018-01-01

    Simultaneous removal process of SO 2 and NO from flue gas using vacuum ultraviolet light (VUV)/heat/peroxymonosulfate (PMS) in a VUV spraying reactor was proposed. The key influencing factors, active species, reaction products and mechanism of SO 2 and NO simultaneous removal were investigated. The results show that vacuum ultraviolet light (185 nm) achieves the highest NO removal efficiency and yield of and under the same test conditions. NO removal is enhanced at higher PMS concentration, light intensity and oxygen concentration, and is inhibited at higher NO concentration, SO 2 concentration and solution pH. Solution temperature has a double impact on NO removal. CO 2 concentration has no obvious effect on NO removal. and produced from VUV-activation of PMS play a leading role in NO removal. O 3 and ·O produced from VUV-activation of O 2 also play an important role in NO removal. SO 2 achieves complete removal under all experimental conditions due to its very high solubility in water and good reactivity. The highest simultaneous removal efficiency of SO 2 and NO reaches 100% and 91.3%, respectively. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  7. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  8. Vacuum Ultraviolet Radiation Desorption of Molecular Contaminants Deposited on Quartz Crystal Microbalances

    NASA Technical Reports Server (NTRS)

    Albyn, Keith; Burns, Dewitt

    2006-01-01

    Recent quartz crystal microbalance measurements made in the Marshall Space Flight Center, Photo-Deposition Facility, for several materials, recorded a significant loss of deposited contaminants when the deposition surface of the microbalance was illuminated by a deuterium lamp. These measurements differ from observations made by other investigators in which the rate of deposition increased significantly when the deposition surface was illuminated with vacuum ultraviolet radiation. These observations suggest that the accelerated deposition of molecular contaminants on optically sensitive surfaces is dependant upon the contaminant being deposited and must be addressed during the materials selection process by common material screening techniques.

  9. Vacuum ultraviolet detector for gas chromatography.

    PubMed

    Schug, Kevin A; Sawicki, Ian; Carlton, Doug D; Fan, Hui; McNair, Harold M; Nimmo, John P; Kroll, Peter; Smuts, Jonathan; Walsh, Phillip; Harrison, Dale

    2014-08-19

    Analytical performance characteristics of a new vacuum ultraviolet (VUV) detector for gas chromatography (GC) are reported. GC-VUV was applied to hydrocarbons, fixed gases, polyaromatic hydrocarbons, fatty acids, pesticides, drugs, and estrogens. Applications were chosen to feature the sensitivity and universal detection capabilities of the VUV detector, especially for cases where mass spectrometry performance has been limited. Virtually all chemical species absorb and have unique gas phase absorption cross sections in the approximately 120-240 nm wavelength range monitored. Spectra are presented, along with the ability to use software for deconvolution of overlapping signals. Some comparisons with experimental synchrotron data and computed theoretical spectra show good agreement, although more work is needed on appropriate computational methods to match the simultaneous broadband electronic and vibronic excitation initiated by the deuterium lamp. Quantitative analysis is governed by Beer-Lambert Law relationships. Mass on-column detection limits reported for representatives of different classes of analytes ranged from 15 (benzene) to 246 pg (water). Linear range measured at peak absorption for benzene was 3-4 orders of magnitude. Importantly, where absorption cross sections are known for analytes, the VUV detector is capable of absolute determination (without calibration) of the number of molecules present in the flow cell in the absence of chemical interferences. This study sets the stage for application of GC-VUV technology across a wide breadth of research areas.

  10. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  11. Vacuum ultraviolet photofragmentation of octadecane: photoionization mass spectrometric and theoretical investigation.

    PubMed

    Xu, Jing; Sang, Pengpeng; Zhao, Lianming; Guo, Wenyue; Qi, Fei; Xing, Wei; Yan, Zifeng

    The photoionization and fragmentation of octadecane were investigated with infrared laser desorption/tunable synchrotron vacuum ultraviolet (VUV) photoionization mass spectrometry (IRLD/VUV PIMS) and theoretical calculations. Mass spectra of octadecane were measured at various photon energies. The fragment ions were gradually detected with the increase of photon energy. The main fragment ions were assigned to radical ions (C n H 2 n +1 + , n  = 4-11) and alkene ions (C n H 2 n + , n  = 5-10). The ionization energy of the precursor and appearance energy of ionic fragments were obtained by measuring the photoionization efficiency spectrum. Possible formation pathways of the fragment ions were discussed with the help of density functional theory calculations.

  12. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  13. Effects of plasma and vacuum-ultraviolet exposure on the mechanical properties of low-k porous organosilicate glass

    Treesearch

    X. Guo; J.E. Jakes; S. Banna; Y. Nishi; J.L. Shohet

    2014-01-01

    The effects of plasma exposure and vacuum-ultraviolet (VUV) irradiation on the mechanical properties of low-k porous organosilicate glass (SiCOH) dielectric films were investigated. Nanoindentation measurements were made on SiCOH films before and after exposure to an electron-cyclotron-resonance plasma or a monochromatic synchrotron VUV beam, to determine the changes...

  14. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  15. Facility and Methods Developed for Simulated Space Vacuum Ultraviolet Exposure Testing of Polymer Films

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; Pietromica, Anthony J.; Stueber, Thomas J.; Sechkar, Edward A.; Messer, Russell K.

    2002-01-01

    Vacuum ultraviolet (VUV) radiation of wavelengths between 115 and 200 nm produced by the Sun in the space environment can degrade polymer films, producing changes in their optical, mechanical, and chemical properties. These effects are particularly important for thin polymer films being considered for ultralightweight space structures, because, for most polymers, VUV radiation is absorbed in a thin surface layer. The NASA Glenn Research Center has developed facilities and methods for long-term ground testing of polymer films to evaluate space environmental VUV radiation effects. VUV exposure can also be used as part of combined or sequential simulated space environmental exposures to determine combined damaging effects with other aspects of the space environment, which include solar ultraviolet radiation, solar flare x-rays, electron and proton radiation, atomic oxygen (for low-Earth-orbit missions), and temperature effects. Because the wavelength sensitivity of VUV damage is not well known for most materials, Glenn's VUV facility uses a broad-spectrum deuterium lamp with a magnesium fluoride window that provides output between 115 and 200 nm. Deuterium lamps of this type were characterized by the National Institute of Standards and Technology and through measurements at Glenn. Spectral irradiance measurements show that from approximately 115 to 160 nm, deuterium lamp irradiance can be many times that of air mass zero solar irradiance, and as wavelength increases above approximately 160 nm, deuterium lamp irradiance decreases in comparison to the Sun. The facility is a cryopumped vacuum chamber that achieves a system pressure of approximately 5310(exp -6) torr. It contains four individual VUV-exposure compartments in vacuum, separated by water-cooled copper walls to minimize VUV radiation and any sample contamination cross interactions between compartments. Each VUV-exposure compartment contains a VUV deuterium lamp, a motor-controlled sample stage coupled with a

  16. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  17. Is vacuum ultraviolet detector a concentration or a mass dependent detector?

    PubMed

    Liu, Huian; Raffin, Guy; Trutt, Guillaume; Randon, Jérôme

    2017-12-29

    The vacuum ultraviolet detector (VUV) is a very effective tool for chromatogram deconvolution and peak identification, and can also be used for quantification. To avoid quantitative issues in relation to time drift, such as variation of peak area or peak height, the detector response type has to be well defined. Due to the make-up flow and pressure regulation of make-up, the detector response (height of the peak) and peak area appeared to be dependent on experimental conditions such as inlet pressure and make-up pressure. Even if for some experimental conditions, VUV looks like mass-flow sensitive detector, it has been demonstrated that VUV is a concentration sensitive detector. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  19. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  20. Measurements of the intrinsic quantum efficiency and absorption length of tetraphenyl butadiene thin films in the vacuum ultraviolet regime

    NASA Astrophysics Data System (ADS)

    Benson, Christopher; Gann, Gabriel Orebi; Gehman, Victor

    2018-04-01

    A key enabling technology for many liquid noble gas (LNG) detectors is the use of the common wavelength shifting medium tetraphenyl butadiene (TPB). TPB thin films are used to shift ultraviolet scintillation light into the visible spectrum for detection and event reconstruction. Understanding the wavelength shifting efficiency and optical properties of these films are critical aspects in detector performance and modeling and hence in the ultimate physics sensitivity of such experiments. This article presents the first measurements of the room-temperature microphysical quantum efficiency for vacuum-deposited TPB thin films - a result that is independent of the optics of the TPB or substrate. Also presented are measurements of the absorption length in the vacuum ultraviolet regime, the secondary re-emission efficiency, and more precise results for the "black-box" efficiency across a broader spectrum of wavelengths than previous results. The low-wavelength sensitivity, in particular, would allow construction of LNG scintillator detectors with lighter elements (Ne, He) to target light mass WIMPs.

  1. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  2. Sub-mm Scale Fiber Guided Deep/Vacuum Ultra-Violet Optical Source for Trapped Mercury Ion Clocks

    NASA Technical Reports Server (NTRS)

    Yi, Lin; Burt, Eric A.; Huang, Shouhua; Tjoelker, Robert L.

    2013-01-01

    We demonstrate the functionality of a mercury capillary lamp with a diameter in the sub-mm range and deep ultraviolet (DUV)/ vacuum ultraviolet (VUV) radiation delivery via an optical fiber integrated with the capillary. DUV spectrum control is observed by varying the fabrication parameters such as buffer gas type and pressure, capillary diameter, electrical resonator design, and temperature. We also show spectroscopic data of the 199Hg+ hyper-fine transition at 40.5GHz when applying the above fiber optical design. We present efforts toward micro-plasma generation in hollow-core photonic crystal fiber with related optical design and theoretical estimations. This new approach towards a more practical DUV optical interface could benefit trapped ion clock developments for future ultra-stable frequency reference and time-keeping applications.

  3. Wafer chamber having a gas curtain for extreme-UV lithography

    DOEpatents

    Kanouff, Michael P.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    An EUVL device includes a wafer chamber that is separated from the upstream optics by a barrier having an aperture that is permeable to the inert gas. Maintaining an inert gas curtain in the proximity of a wafer positioned in a chamber of an extreme ultraviolet lithography device can effectively prevent contaminants from reaching the optics in an extreme ultraviolet photolithography device even though solid window filters are not employed between the source of reflected radiation, e.g., the camera, and the wafer. The inert gas removes the contaminants by entrainment.

  4. In situ measurements of scattering from contaminated optics in the Vacuum Ultraviolet

    NASA Astrophysics Data System (ADS)

    Herren, Kenneth A.; Linton, Roger C.; Whitaker, Ann F.

    1990-07-01

    NASA's In Situ Contamination Effects Facility has been used to measure the time dependence of the angular reflectance from molecularly contaminated optical surfaces in the vacuum ultraviolet. The light scattering measurements are accomplished in situ on optical surfaces in real time during deposition of molecular contaminants. The measurements are taken using noncoherent VUV sources with the predominant wavelengths being the krypton resonance lines at 1236 and 1600 angstroms. Detection of the scattered light is accomplished using a set of three solar blind VUV photomultipliers. An in-plane VUV BRDF (bidirectional reflectance distribution function) experiment is described and details of the on-going program to characterize optical materials exposed to the space environment is reported.

  5. In situ measurements of scattering from contaminated optics in the Vacuum Ultraviolet

    NASA Technical Reports Server (NTRS)

    Herren, Kenneth A.; Linton, Roger C.; Whitaker, Ann F.

    1990-01-01

    NASA's In Situ Contamination Effects Facility has been used to measure the time dependence of the angular reflectance from molecularly contaminated optical surfaces in the vacuum ultraviolet. The light scattering measurements are accomplished in situ on optical surfaces in real time during deposition of molecular contaminants. The measurements are taken using noncoherent VUV sources with the predominant wavelengths being the krypton resonance lines at 1236 and 1600 angstroms. Detection of the scattered light is accomplished using a set of three solar blind VUV photomultipliers. An in-plane VUV BRDF (bidirectional reflectance distribution function) experiment is described and details of the on-going program to characterize optical materials exposed to the space environment is reported.

  6. Vacuum ultraviolet photoabsorption of prime ice analogues of Pluto and Charon

    NASA Astrophysics Data System (ADS)

    Pavithraa, S.; Lo, J.-I.; Rahul, K.; Raja Sekhar, B. N.; Cheng, B.-M.; Mason, N. J.; Sivaraman, B.

    2018-02-01

    Here we present the first Vacuum UltraViolet (VUV) photoabsorption spectra of ice analogues of Pluto and Charon ice mixtures. For Pluto the ice analogue is an icy mixture containing nitrogen (N2), carbon monoxide (CO), methane (CH4) and water (H2O) prepared with a 100:1:1:3 ratio, respectively. Photoabsorption of icy mixtures with and without H2O were recorded and no significant changes in the spectra due to presence of H2O were observed. For Charon a VUV photoabsorption spectra of an ice analogue containing ammonia (NH3) and H2O prepared with a 1:1 ratio was recorded, a spectrum of ammonium hydroxide (NH4OH) was also recorded. These spectra may help to interpret the P-Alice data from New Horizons.

  7. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  8. Vacuum ultraviolet photoionization of carbohydrates and nucleotides

    NASA Astrophysics Data System (ADS)

    Shin, Joong-Won; Bernstein, Elliot R.

    2014-01-01

    Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5'-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate, rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C-C and C-O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.

  9. Vacuum ultraviolet photoionization of carbohydrates and nucleotides.

    PubMed

    Shin, Joong-Won; Bernstein, Elliot R

    2014-01-28

    Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5(')-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate, rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C-C and C-O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.

  10. Vacuum ultraviolet photoionization of carbohydrates and nucleotides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Joong-Won, E-mail: jshin@govst.edu; Department of Chemistry, Colorado State University, Fort Collins, Colorado 80523-1872; Bernstein, Elliot R., E-mail: erb@lamar.colostate.edu

    Carbohydrates (2-deoxyribose, ribose, and xylose) and nucleotides (adenosine-, cytidine-, guanosine-, and uridine-5{sup ′}-monophosphate) are generated in the gas phase, and ionized with vacuum ultraviolet photons (VUV, 118.2 nm). The observed time of flight mass spectra of the carbohydrate fragmentation are similar to those observed [J.-W. Shin, F. Dong, M. Grisham, J. J. Rocca, and E. R. Bernstein, Chem. Phys. Lett. 506, 161 (2011)] for 46.9 nm photon ionization, but with more intensity in higher mass fragment ions. The tendency of carbohydrate ions to fragment extensively following ionization seemingly suggests that nucleic acids might undergo radiation damage as a result of carbohydrate,more » rather than nucleobase fragmentation. VUV photoionization of nucleotides (monophosphate-carbohydrate-nucleobase), however, shows that the carbohydrate-nucleobase bond is the primary fragmentation site for these species. Density functional theory (DFT) calculations indicate that the removed carbohydrate electrons by the 118.2 nm photons are associated with endocyclic C–C and C–O ring centered orbitals: loss of electron density in the ring bonds of the nascent ion can thus account for the observed fragmentation patterns following carbohydrate ionization. DFT calculations also indicate that electrons removed from nucleotides under these same conditions are associated with orbitals involved with the nucleobase-saccharide linkage electron density. The calculations give a general mechanism and explanation of the experimental results.« less

  11. Low-cost method for producing extreme ultraviolet lithography optics

    DOEpatents

    Folta, James A [Livermore, CA; Montcalm, Claude [Fort Collins, CO; Taylor, John S [Livermore, CA; Spiller, Eberhard A [Mt. Kisco, NY

    2003-11-21

    Spherical and non-spherical optical elements produced by standard optical figuring and polishing techniques are extremely expensive. Such surfaces can be cheaply produced by diamond turning; however, the roughness in the diamond turned surface prevent their use for EUV lithography. These ripples are smoothed with a coating of polyimide before applying a 60 period Mo/Si multilayer to reflect a wavelength of 134 .ANG. and have obtained peak reflectivities close to 63%. The savings in cost are about a factor of 100.

  12. Solar CIV Vacuum-Ultraviolet Fabry-Perot Interferometers

    NASA Technical Reports Server (NTRS)

    Gary, G. Allen; West, Edward A.; Rees, David; McKay, Jack A.; Zukic, Maumer; Herman, Peter

    2006-01-01

    Aims: A tunable, high spectral resolution, high effective finesse, vacuum ultraviolet (VUV) Fabry-Perot interferometer (PPI) is designed for obtaining narrow-passband images, magnetograms, and Dopplergrams of the transition region emission line of CIV (155 nm). Methods: The integral part of the CIV narrow passband filter package (with a 2-10 pm FWHM) consists of a multiple etalon system composed of a tunable interferometer that provides high-spectral resolution and a static low-spectral resolution interferometer that allows a large effective free spectral range. The prefilter for the interferometers is provided by a set of four mirrors with dielectric high-reflective coatings. A tunable interferometer, a VUV piezoelectric-control etalon, has undergone testing using the surrogate F2 eximer laser line at 157 nm for the CIV line. We present the results of the tests with a description of the overall concept for a complete narrow-band CIV spectral filter. The static interferometer of the filter is envisioned as being hudt using a set of fixed MgF2 plates. The four-mirror prefilter is designed to have dielectric multilayer n-stacks employing the design concept used in the Ultraviolet Imager of NASA's Polar Spacecraft. A dual etalon system allows the effective free spectral range to be commensurate with the prefilter profile. With an additional etalon, a triple etalon system would allow a spectrographic resolution of 2 pm. The basic strategy has been to combine the expertise of spaceflight etalon manufacturing with VUV coating technology to build a VUV FPI which combines the best attributes of imagers and spectrographs into a single compact instrument. Results. Spectro-polarimetry observations of the transition region CIV emission can be performed to increase the understanding of the magnetic forces, mass motion, evolution, and energy release within the solar atmosphere at the base of the corona where most of the magnetic field is approximately force-free. The 2D imaging

  13. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  14. Devices useful for vacuum ultraviolet beam characterization including a movable stage with a transmission grating and image detector

    DOEpatents

    Gessner, Oliver; Kornilov, Oleg A; Wilcox, Russell B

    2013-10-29

    The invention provides for a device comprising an apparatus comprising (a) a transmission grating capable of diffracting a photon beam into a diffracted photon output, and (b) an image detector capable of detecting the diffracted photon output. The device is useful for measuring the spatial profile and diffraction pattern of a photon beam, such as a vacuum ultraviolet (VUV) beam.

  15. Influence of substrate temperatures on the properties of GdF(3) thin films with quarter-wave thickness in the ultraviolet region.

    PubMed

    Jin, Jingcheng; Jin, Chunshui; Li, Chun; Deng, Wenyuan; Yao, Shun

    2015-06-01

    High-quality coatings of fluoride materials are in extraordinary demand for use in deep ultraviolet (DUV) lithography. Gadolinium fluoride (GdF3) thin films were prepared by a thermal boat evaporation process at different substrate temperatures. GdF3 thin film was set at quarter-wave thickness (∼27  nm) with regard to their common use in DUV/vacuum ultraviolet optical stacks; these thin films may significantly differ in nanostructural properties at corresponding depositing temperatures, which would crucially influence the performance of the multilayers. The measurement and analysis of optical, structural, and mechanical properties of GdF3 thin films have been performed in a comprehensive characterization cycle. It was found that depositing GdF3 thin films at relative higher temperature would form a rather dense, smooth, homogeneous structure within this film thickness scale.

  16. Corona And Ultraviolet Equipment For Testing Materials

    NASA Technical Reports Server (NTRS)

    Laue, Eric G.

    1993-01-01

    Two assemblies of laboratory equipment developed for use in testing abilities of polymers, paints, and other materials to withstand ultraviolet radiation and charged particles. One is vacuum ultraviolet source built around commercial deuterium lamp. Other exposes specimen in partial vacuum to both ultraviolet radiation and brush corona discharge. Either or both assemblies used separately or together to simulate approximately combination of solar radiation and charged particles encountered by materials aboard spacecraft in orbit around Earth. Also used to provide rigorous environmental tests of materials exposed to artificial ultraviolet radiation and charged particles in industrial and scientific settings or to natural ultraviolet radiation and charged particles aboard aircraft at high altitudes.

  17. Simulated Space Vacuum Ultraviolet (VUV) Exposure Testing for Polymer Films

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; Pietromica, Anthony J.; Stueber, Thomas J.; Sechkar, Edward A.; Messer, Russell K.

    2002-01-01

    Vacuum ultraviolet (VUV) radiation of wavelengths between 115 and 200 nm produced by the sun in the space environment can cause degradation to polymer films producing changes in optical, mechanical, and chemical properties. These effects are particularly important for thin polymer films being considered for ultra-lightweight space structures, because, for most polymers, VUV radiation is absorbed in a thin surface layer. NASA Glenn Research Center has developed facilities and methods for long-term ground testing of polymer films to evaluate space environmental VUV radiation effects. VUV exposure can also be used as part of sequential simulated space environmental exposures to determine combined damaging effects. This paper will describe the effects of VUV on polymer films and the necessity for ground testing. Testing practices used at Glenn Research Center for VUV exposure testing will be described including characterization of the VUV radiation source used, calibration procedures traceable to the National Institute of Standards and Technology (NIST), and testing techniques for VUV exposure of polymer surfaces.

  18. Luminescence from Vacuum-Ultraviolet-Irradiated Cosmic Ice Analogs and Residue

    NASA Technical Reports Server (NTRS)

    Gudipati, Murthy S.; Dworkin, Jason P.; Chillier, Xavier D. F.; Allamandola, Louis J.

    2003-01-01

    Here we report a study of the optical luminescent properties for a variety of vacuum-ultraviolet (VUV)-irradiated cosmic ice analogs and the complex organic residues produced. Detailed results are presented for the irradiated, mixed molecular ice: H2O: CH3OH:NH3:CO(100:50:1:1), a realistic representation for an interstellar/precometary ice that reproduces all the salient infrared spectral features associated with interstellar ices. The irradiated ices and the room-temperature residues resulting from this energetic processing have remarkable photoluminescent properties in the visible (520-570 nm). The luminescence dependence on temperature, thermal cycling, and VUV exposure is described. It is suggested that this type of luminescent behavior might be applicable to solar system and interstellar observations and processes for various astronomical objects with an ice heritage. Some examples include grain temperature determination and vaporization rates, nebula radiation balance, albedo values, color analysis, and biomarker identification.

  19. Luminescence from Vacuum-Ultraviolet-Irradiated Cosmic Ice Analogs and Residues

    NASA Technical Reports Server (NTRS)

    Gudipati, Murthy S.; Dworkin, Jason P.; Chillier, Xavier D. F.; Allamandola, Louis J.

    2003-01-01

    Here we report a study of the optical luminescent properties for a variety of vacuum-ultraviolet (VUV)- irradiated cosmic ice analogs and the complex organic residues produced. Detailed results are presented for the irradiated, mixed molecular ice: H2O:CH3OH:NH3:CO (100:50:1:1), a realistic representation for an interstellar/precometary ice that reproduces all the salient infrared spectral features associated with interstellar ices. The irradiated ices and the room-temperature residues resulting from this energetic processing have remarkable photoluminescent properties in the visible (520-570 nm). The luminescence dependence on temperature, thermal cycling, and VUV exposure is described. It is suggested that this type of luminescent behavior might be applicable to solar system and interstellar observations and processes for various astronomical objects with an ice heritage. Some examples include grain temperature determination and vaporization rates, nebula radiation balance, albedo values, color analysis, and biomarker identification.

  20. Vacuum ultraviolet photoabsorption of prime ice analogues of Pluto and Charon.

    PubMed

    Pavithraa, S; Lo, J-I; Rahul, K; Raja Sekhar, B N; Cheng, B-M; Mason, N J; Sivaraman, B

    2018-02-05

    Here we present the first Vacuum UltraViolet (VUV) photoabsorption spectra of ice analogues of Pluto and Charon ice mixtures. For Pluto the ice analogue is an icy mixture containing nitrogen (N 2 ), carbon monoxide (CO), methane (CH 4 ) and water (H 2 O) prepared with a 100:1:1:3 ratio, respectively. Photoabsorption of icy mixtures with and without H 2 O were recorded and no significant changes in the spectra due to presence of H 2 O were observed. For Charon a VUV photoabsorption spectra of an ice analogue containing ammonia (NH 3 ) and H 2 O prepared with a 1:1 ratio was recorded, a spectrum of ammonium hydroxide (NH 4 OH) was also recorded. These spectra may help to interpret the P-Alice data from New Horizons. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Exploiting single photon vacuum ultraviolet photoionization to unravel the synthesis of complex organic molecules in interstellar ices

    NASA Astrophysics Data System (ADS)

    Abplanalp, Matthew J.; Förstel, Marko; Kaiser, Ralf I.

    2016-01-01

    Complex organic molecules (COM) such as aldehydes, ketones, carboxylic acids, esters, and amides are ubiquitous in the interstellar medium, but traditional gas phase astrochemical models cannot explain their formation routes. By systematically exploiting on line and in situ vacuum ultraviolet photoionization coupled with reflectron time of flight mass spectrometry (PI-ReTOF-MS) and combining these data with infrared spectroscopy (FTIR), we reveal that complex organic molecules can be synthesized within interstellar ices that are condensed on interstellar grains via non-equilibrium reactions involving suprathermal hydrogen atoms at temperatures as low as 5 K. By probing for the first time specific structural isomers without their degradation (fragment-free), the incorporation of tunable vacuum ultraviolet photoionization allows for a much greater understanding of reaction mechanisms that exist in interstellar ices compared to traditional methods, thus eliminating the significant gap between observational and laboratory data that existed for the last decades. With the commission of the Atacama Large Millimeter/Submillimeter Array (ALMA), the number of detections of more complex organic molecules in space will continue to grow ⿿ including biorelevant molecules connected to the Origins of Life theme ⿿ and an understanding of these data will rely on future advances in sophisticated physical chemistry laboratory experiments.

  2. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  3. Interplanetary survival probability of Aspergillus terreus spores under simulated solar vacuum ultraviolet irradiation

    NASA Astrophysics Data System (ADS)

    Sarantopoulou, E.; Gomoiu, I.; Kollia, Z.; Cefalas, A. C.

    2011-01-01

    This work is a part of ESA/EU SURE project aiming to quantify the survival probability of fungal spores in space under solar irradiation in the vacuum ultraviolet (VUV) (110-180 nm) spectral region. The contribution and impact of VUV photons, vacuum, low temperature and their synergies on the survival probability of Aspergillus terreus spores is measured at simulated space conditions on Earth. To simulate the solar VUV irradiation, the spores are irradiated with a continuous discharge VUV hydrogen photon source and a molecular fluorine laser, at low and high photon intensities at 10 15 photon m -2 s -1 and 3.9×10 27 photons pulse -1 m -2 s -1, respectively. The survival probability of spores is independent from the intensity and the fluence of photons, within certain limits, in agreement with previous studies. The spores are shielded from a thin carbon layer, which is formed quickly on the external surface of the proteinaceous membrane at higher photon intensities at the start of the VUV irradiation. Extrapolating the results in space conditions, for an interplanetary direct transfer orbit from Mars to Earth, the spores will be irradiated with 3.3×10 21 solar VUV photons m -2. This photon fluence is equivalent to the irradiation of spores on Earth with 54 laser pulses with an experimental ˜92% survival probability, disregarding the contribution of space vacuum and low temperature, or to continuous solar VUV irradiation for 38 days in space near the Earth with an extrapolated ˜61% survival probability. The experimental results indicate that the damage of spores is mainly from the dehydration stress in vacuum. The high survival probability after 4 days in vacuum (˜34%) is due to the exudation of proteins on the external membrane, thus preventing further dehydration of spores. In addition, the survival probability is increasing to ˜54% at 10 K with 0.12 K/s cooling and heating rates.

  4. Vacuum Ultraviolet Absorption Measurements of Atomic Oxygen in a Shock Tube

    NASA Technical Reports Server (NTRS)

    Meyer, Scott Andrew

    1995-01-01

    The absorption of vacuum ultraviolet light by atomic oxygen has been measured in the Electric Arc-driven Shock Tube (EAST) Facility at NASA-Ames Research Center. This investigation demonstrates the instrumentation required to determine atomic oxygen concentrations from absorption measurements in impulse facilities. A shock wave dissociates molecular oxygen, producing a high temperature sample of atomic oxygen in the shock tube. A probe beam is generated with a Raman-shifted ArF excimer laser. By suitable tuning of the laser, absorption is measured over a range of wavelengths in the region of the atomic line at 130.49 nm. The line shape function is determined from measurements at atomic oxygen densities of 3 x 10(exp 17) and 9 x 10(exp 17)/cu cm. The broadening coefficient for resonance interactions is deduced from this data, and this value is in accord with available theoretical models.

  5. Vacuum Ultraviolet Absorption Measurements of Atomic Oxygen in a Shock Tube

    NASA Technical Reports Server (NTRS)

    Meyer, Scott Andrew

    1995-01-01

    The absorption of vacuum ultraviolet light by atomic oxygen has been measured in the Electric Arc-driven Shock Tube (EAST) Facility at NASA-Ames Research Center. This investigation demonstrates the instrumentation required to determine atomic oxygen concentrations from absorption measurements in impulse facilities. A shock wave dissociates molecular oxygen, producing a high temperature sample of atomic oxygen in the shock tube. A probe beam is generated with a Raman-shifted ArF excimer laser. By suitable tuning of the laser, absorption is measured over a range of wavelengths in the region of the atomic line at 130.49 nm. The line shape function is determined from measurements at atomic oxygen densities of 3x10(exp 17) and 9x10(exp 17) cm(exp -3). The broadening coefficient for resonance interactions is deduced from this data, and this value is in accord with available theoretical models.

  6. Vacuum Ultraviolet Absorption Measurements of Atomic Oxygen in a Shock Tube

    NASA Technical Reports Server (NTRS)

    Meyer, Scott Andrew

    1995-01-01

    The absorption of vacuum ultraviolet light by atomic oxygen has been measured in the Electric Arc-driven Shock Tube (EAST) Facility at NASA-Ames Research Center. This investigation demonstrates the instrumentation required to determine atomic oxygen concentrations from absorption measurements in impulse facilities. A shock wave dissociates molecular oxygen, producing a high temperature sample of atomic oxygen in the shock tube. A probe beam is generated with a Raman-shifted ArF excimer laser. By suitable tuning of the laser, absorption is measured over a range of wavelengths in the region of the atomic line at 130.49 nm. The line shape function is determined from measurements at atomic oxygen densities of 3 x 10(exp 17) and 9 x 10(exp 17) cm(exp -3). The broadening coefficient for resonance interactions is deduced from this data, and this value is in accord with available theoretical models.

  7. Possibility of using sources of vacuum ultraviolet irradiation to solve problems of space material science

    NASA Technical Reports Server (NTRS)

    Verkhoutseva, E. T.; Yaremenko, E. I.

    1974-01-01

    An urgent problem in space materials science is simulating the interaction of vacuum ultraviolet (VUV) of solar emission with solids in space conditions, that is, producing a light source with a distribution that approximates the distribution of solar energy. Information is presented on the distribution of the energy flux of VUV of solar radiation. Requirements that must be satisfied by the VUV source used for space materials science are formulated, and a critical evaluation is given of the possibilities of using existing sources for space materials science. From this evaluation it was established that none of the sources of VUV satisfies the specific requirements imposed on the simulator of solar radiation. A solution to the problem was found to be in the development of a new type of source based on exciting a supersonic gas jet flowing into vacuum with a sense electron beam. A description of this gas-jet source, along with its spectral and operation characteristics, is presented.

  8. Polarization selection rules and optical transitions in terbium activated yttrium tantalate phosphor under x-ray, vacuum-ultraviolet, and ultraviolet excitations.

    PubMed

    Nazarov, Mihail; Tsukerblat, Boris; Byeon, Clare Chisu; Arellano, Ivan; Popovici, Elisabeth-Jeanne; Noh, Do Young

    2009-01-01

    The terbium-activated yttrium tantalite (YTaO(4):Tb(3+)) phosphor is of great interest due to the interesting spectroscopic properties of rare earth ions in crystals and also practical use in x-ray imaging. Using the group-theoretical approach, we analyze the selection rules for the transition between Stark components of Tb(3+) in symmetry of the actual crystal field and the polarization for the allowed transitions. The luminescence upon UV, vacuum-ultraviolet (VUV), and x-ray excitation is presented and discussed. The YTaO(4):Tb(3+) phosphors are found to be efficient VUV-excited luminescent materials that could be used not only in x-ray intensifying screens, but also in mercury-free fluorescent lamps or plasma display panels.

  9. High-Reflectivity Coatings for a Vacuum Ultraviolet Spectropolarimeter

    NASA Astrophysics Data System (ADS)

    Narukage, Noriyuki; Kubo, Masahito; Ishikawa, Ryohko; Ishikawa, Shin-nosuke; Katsukawa, Yukio; Kobiki, Toshihiko; Giono, Gabriel; Kano, Ryouhei; Bando, Takamasa; Tsuneta, Saku; Auchère, Frédéric; Kobayashi, Ken; Winebarger, Amy; McCandless, Jim; Chen, Jianrong; Choi, Joanne

    2017-03-01

    Precise polarization measurements in the vacuum ultraviolet (VUV) region are expected to be a new tool for inferring the magnetic fields in the upper atmosphere of the Sun. High-reflectivity coatings are key elements to achieving high-throughput optics for precise polarization measurements. We fabricated three types of high-reflectivity coatings for a solar spectropolarimeter in the hydrogen Lyman-α (Lyα; 121.567 nm) region and evaluated their performance. The first high-reflectivity mirror coating offers a reflectivity of more than 80 % in Lyα optics. The second is a reflective narrow-band filter coating that has a peak reflectivity of 57 % in Lyα, whereas its reflectivity in the visible light range is lower than 1/10 of the peak reflectivity (˜ 5 % on average). This coating can be used to easily realize a visible light rejection system, which is indispensable for a solar telescope, while maintaining high throughput in the Lyα line. The third is a high-efficiency reflective polarizing coating that almost exclusively reflects an s-polarized beam at its Brewster angle of 68° with a reflectivity of 55 %. This coating achieves both high polarizing power and high throughput. These coatings contributed to the high-throughput solar VUV spectropolarimeter called the Chromospheric Lyman-Alpha SpectroPolarimeter (CLASP), which was launched on 3 September, 2015.

  10. Free-standing coating patterns fabricated by ultraviolet contact lithography using photosensitive sol-gel coatings

    NASA Astrophysics Data System (ADS)

    Xiang, Youlai; Du, Ai; Li, Xiaoguang; Sun, Wei; Wu, Shuai; Li, Tiemin; Liu, Mingfang; Zhou, Bin

    2017-07-01

    Photosensitive ZrO2-SiO2 hybrid sol-gel coatings containing large contents of chelating rings were prepared by using the zirconium n-butoxide (TBOZ) and methyltriethoxysilane (MTES) as hybrid precursors, and benzoylacetone (BZAC) as chelating agent. The change of ultraviolet (UV) absorption spectra, chemical composition, and optical properties of ZrO2-SiO2 hybrid sol-gel coatings were analyzed before and after UV exposure and calcination. The refractive index of the ZrO2-SiO2 hybrid gel coatings decreased from 1.673 to 1.561 with the increase of the molar content of MTES in precursors. The sol-gel coating patterns with the periods of 20.24 μm, 10.11 μm and 3.99 μm on the PAMS substrates were firstly obtained by using the photosensitive ZrO2-SiO2 hybrid sol-gel films as fundamental materials through a process of UV contact lithography with photo masks and etching with ethanol. Finally, the free-standing gel coating patterns supported by copper grids, with the period of 12.70 μm and line width of 4.93 μm, and the period of 14.20 μm and line width of 3.82 μm, were obtained by removing the PAMS thermal degradation sacrifice layer after being calcined at 330 °C. Micrometer-periodic free-standing gel coating patterns with different structure have potential applications in the laser physical experiments.

  11. Dissociative excitation of vacuum ultraviolet emission features by electron impact on molecular gases. 3: CO2

    NASA Technical Reports Server (NTRS)

    Mumma, M. J.; Borst, W. L.; Zipf, E. C.

    1972-01-01

    Vacuum ultraviolet multiplets of C I, C II, and O I were produced by electron impact of CO2. Absolute emission cross sections for these multiplets were measured from threshold to 350 eV. The electrostatically focussed electron gun used in this series of experiments is described in detail. The atomic multiplets which were produced by dissociative excitation of CO2 and the cross sections at 100 eV are given. The dependence of the excitation functions on electron energy shows that these multiplets are produced by electric-dipole-allowed transitions in CO2.

  12. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  13. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  14. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  15. Alignment of a multilayer-coated imaging system using extreme ultraviolet Foucault and Ronchi interferometric testing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ray-Chaudhuri, A.K.; Ng, W.; Cerrina, F.

    1995-11-01

    Multilayer-coated imaging systems for extreme ultraviolet (EUV) lithography at 13 nm represent a significant challenge for alignment and characterization. The standard practice of utilizing visible light interferometry fundamentally provides an incomplete picture since this technique fails to account for phase effects induced by the multilayer coating. Thus the development of optical techniques at the functional EUV wavelength is required. We present the development of two EUV optical tests based on Foucault and Ronchi techniques. These relatively simple techniques are extremely sensitive due to the factor of 50 reduction in wavelength. Both techniques were utilized to align a Mo--Si multilayer-coated Schwarzschildmore » camera. By varying the illumination wavelength, phase shift effects due to the interplay of multilayer coating and incident angle were uniquely detected. {copyright} {ital 1995} {ital American} {ital Vacuum} {ital Society}« less

  16. Two-dimensional vacuum ultraviolet images in different MHD events on the EAST tokamak

    NASA Astrophysics Data System (ADS)

    Zhijun, WANG; Xiang, GAO; Tingfeng, MING; Yumin, WANG; Fan, ZHOU; Feifei, LONG; Qing, ZHUANG; EAST Team

    2018-02-01

    A high-speed vacuum ultraviolet (VUV) imaging telescope system has been developed to measure the edge plasma emission (including the pedestal region) in the Experimental Advanced Superconducting Tokamak (EAST). The key optics of the high-speed VUV imaging system consists of three parts: an inverse Schwarzschild-type telescope, a micro-channel plate (MCP) and a visible imaging high-speed camera. The VUV imaging system has been operated routinely in the 2016 EAST experiment campaign. The dynamics of the two-dimensional (2D) images of magnetohydrodynamic (MHD) instabilities, such as edge localized modes (ELMs), tearing-like modes and disruptions, have been observed using this system. The related VUV images are presented in this paper, and it indicates the VUV imaging system is a potential tool which can be applied successfully in various plasma conditions.

  17. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  18. Gage measures total radiation, including vacuum UV, from ionized high-temperature gases

    NASA Technical Reports Server (NTRS)

    Wood, A. D.

    1969-01-01

    Transient-heat transfer gage measures the total radiation intensity from vacuum ultraviolet and ionized high temperature gases. The gage includes a sensitive piezoelectric crystal that is completely isolated from any ionized flow and vacuum ultraviolet irradiation.

  19. Vacuum Ultraviolet Radiation and Atomic Oxygen Durability Evaluation of HST Bi-Stem Thermal Shield Materials

    NASA Technical Reports Server (NTRS)

    Dever, Joyce; deGroh, Kim K.

    2002-01-01

    Bellows-type thermal shields were used on the bi-stems of replacement solar arrays installed on the Hubble Space Telescope (HST) during the first HST servicing mission (SMI) in December 1993. These thermal shields helped reduce the problem of thermal gradient- induced jitter observed with the original HST solar arrays during orbital thermal cycling and have been in use on HST for eight years. This paper describes ground testing of the candidate solar array bi-stem thermal shield materials including backside aluminized Teflon(R)FEP (fluorinated ethylene propylene) with and without atomic oxygen (AO) and ultraviolet radiation protective surface coatings for durability to AO and combined AO and vacuum ultraviolet (VOV) radiation. NASA Glenn Research Center (GRC) conducted VUV and AO exposures of samples of candidate thermal shield materials at HST operational temperatures and pre- and post-exposure analyses as part of an overall program coordinated by NASA Goddard Space Flight Center (GSFC) to determine the on-orbit durability of these materials. Coating adhesion problems were observed for samples having the AO- and combined AO/UV-protective coatings. Coating lamination occurred with rapid thermal cycling testing which simulated orbital thermal cycling. This lack of adhesion caused production of coating flakes from the material that would have posed a serious risk to HST optics if the coated materials were used for the bi-stem thermal shields. No serious degradation was observed for the uncoated aluminized Teflon(R) as evaluated by optical microscopy, although atomic force microscopy (AFM) microhardness testing revealed that an embrittled surface layer formed on the uncoated Teflon(R) surface due to vacuum ultraviolet radiation exposure. This embrittled layer was not completely removed by AO erosion, No cracks or particle flakes were produced for the embrittled uncoated material upon exposure to VUV and AO at operational temperatures to an equivalent exposure of

  20. Test of prototype ITER vacuum ultraviolet spectrometer and its application to impurity study in KSTAR plasmas.

    PubMed

    Seon, C R; Hong, J H; Jang, J; Lee, S H; Choe, W; Lee, H H; Cheon, M S; Pak, S; Lee, H G; Biel, W; Barnsley, R

    2014-11-01

    To optimize the design of ITER vacuum ultraviolet (VUV) spectrometer, a prototype VUV spectrometer was developed. The sensitivity calibration curve of the spectrometer was calculated from the mirror reflectivity, the grating efficiency, and the detector efficiency. The calibration curve was consistent with the calibration points derived in the experiment using the calibrated hollow cathode lamp. For the application of the prototype ITER VUV spectrometer, the prototype spectrometer was installed at KSTAR, and various impurity emission lines could be measured. By analyzing about 100 shots, strong positive correlation between the O VI and the C IV emission intensities could be found.

  1. Resonantly enhanced method for generation of tunable, coherent vacuum ultraviolet radiation

    DOEpatents

    Glownia, James H.; Sander, Robert K.

    1985-01-01

    Carbon Monoxide vapor is used to generate coherent, tunable vacuum ultraviolet radiation by third-harmonic generation using a single tunable dye laser. The presence of a nearby electronic level resonantly enhances the nonlinear susceptibility of this molecule allowing efficient generation of the vuv light at modest pump laser intensities, thereby reducing the importance of a six-photon multiple-photon ionization process which is also resonantly enhanced by the same electronic level but to higher order. By choosing the pump radiation wavelength to be of shorter wavelength than individual vibronic levels used to extend tunability stepwise from 154.4 to 124.6 nm, and the intensity to be low enough, multiple-photon ionization can be eliminated. Excitation spectra of the third-harmonic emission output exhibit shifts to shorter wavelength and broadening with increasing CO pressure due to phase matching effects. Increasing the carbon monoxide pressure, therefore, allows the substantial filling in of gaps arising from the stepwise tuning thereby providing almost continuous tunability over the quoted range of wavelength emitted.

  2. Resonantly enhanced method for generation of tunable, coherent vacuum-ultraviolet radiation

    DOEpatents

    Glownia, J.H.; Sander, R.K.

    1982-06-29

    Carbon Monoxide vapor is used to generate coherent, tunable vacuum ultraviolet radiation by third-harmonic generation using a single tunable dye laser. The presence of a nearby electronic level resonantly enhances the nonlinear susceptibility of this molecule allowing efficient generation of the vuv light at modest pump laser intensities, thereby reducing the importance of a six-photon multiple-photon ionization process which is also resonantly enhanced by the same electronic level but no higher order. By choosing the pump radiation wavelength to be of shorter wavelength than individual vibronic levels used to extend tunability stepwise from 154.4 to 124.6 nm, and the intensity to be low enough, multiple-photon ionization can be eliminated. Excitation spectra of the third-harmonic emission output exhibit shifts to shorter wavelength and broadening with increasing CO pressure due to phase matching effects. Increasing the carbon monoxide pressure, therefore, allows the substantial filling in of gaps arising from the stepwise tuning thereby providing almost continuous tunability over the quoted range of wavelength emitted.

  3. Synchrotron Vacuum Ultraviolet Light and Soft X-Ray Radiation Effects on Aluminized Teflon FEP Investigated

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; Townsend, Jacqueline A.; Gaier, James R.; Jalics, Alice I.

    1999-01-01

    Since the Hubble Space Telescope (HST) was deployed in low Earth orbit in April 1990, two servicing missions have been conducted to upgrade its scientific capabilities. Minor cracking of second-surface metalized Teflon FEP (DuPont; fluorinated ethylene propylene) surfaces from multilayer insulation (MLI) was first observed upon close examination of samples with high solar exposure retrieved during the first servicing mission, which was conducted 3.6 years after deployment. During the second HST servicing mission, 6.8 years after deployment, astronaut observations and photographic documentation revealed significant cracks in the Teflon FEP layer of the MLI on both the solar- and anti-solar-facing surfaces of the telescope. NASA Goddard Space Flight Center directed the efforts of the Hubble Space Telescope MLI Failure Review Board, whose goals included identifying the low-Earth-orbit environmental constituent(s) responsible for the cracking and embrittling of Teflon FEP which was observed during the second servicing mission. The NASA Lewis Research Center provided significant support to this effort. Because soft x-ray radiation from solar flares had been considered as a possible cause for the degradation of the mechanical properties of Teflon FEP (ref. 1), the effects of soft xray radiation and vacuum ultraviolet light on Teflon FEP were investigated. In this Lewisled effort, samples of Teflon FEP with a 100-nm layer of vapor-deposited aluminum (VDA) on the backside were exposed to synchrotron radiation of various vacuum ultraviolet and soft x-ray wavelengths between 18 nm (69 eV) and 0.65 nm (1900 eV). Synchrotron radiation exposures were conducted using the National Synchrotron Light Source at Brookhaven National Laboratory. Samples of FEP/VDA were exposed with the FEP surface facing the synchrotron beam. Doses and fluences were compared with those estimated for the 20-yr Hubble Space Telescope mission.

  4. Ultra-fast switching of light by absorption saturation in vacuum ultra-violet region.

    PubMed

    Yoneda, Hitoki; Inubushi, Yuichi; Tanaka, Toshihiro; Yamaguchi, Yuta; Sato, Fumiya; Morimoto, Shunsuke; Kumagai, Taisuke; Nagasono, Mitsuru; Higashiya, Atsushi; Yabashi, Makina; Ishikawa, Tetsuya; Ohashi, Haruhiko; Kimura, Hiroaki; Kitamura, Hikaru; Kodama, Ryosuke

    2009-12-21

    Advances in free electron lasers producing high energy photons [Nat. Photonics 2(9), 555-559 (2008)] are expected to open up a new science of nonlinear optics of high energy photons. Specifically, lasers of photon energy higher than the plasma frequency of a metal can show new interaction features because they can penetrate deeply into metals without strong reflection. Here we show the observation of ultra-fast switching of vacuum ultra-violet (VUV) light caused by saturable absorption of a solid metal target. A strong gating is observed at energy fluences above 6J/cm2 at wavelength of 51 nm with tin metal thin layers. The ratio of the transmission at high intensity to low intensity is typically greater than 100:1. This means we can design new nonlinear photonic devices such as auto-correlator and pulse slicer for the VUV region.

  5. Effect of vacuum-ultraviolet irradiation on the dielectric constant of low-k organosilicate dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, H.; Shohet, J. L.; Ryan, E. T.

    2014-11-17

    Vacuum ultraviolet (VUV) irradiation is generated during plasma processing in semiconductor fabrications, while the effect of VUV irradiation on the dielectric constant (k value) of low-k materials is still an open question. To clarify this problem, VUV photons with a range of energies were exposed on low-k organosilicate dielectrics (SiCOH) samples at room temperature. Photon energies equal to or larger than 6.0 eV were found to decrease the k value of SiCOH films. VUV photons with lower energies do not have this effect. This shows the need for thermal heating in traditional ultraviolet (UV) curing since UV light sources do notmore » have sufficient energy to change the dielectric constant of SiCOH and additional energy is required from thermal heating. In addition, 6.2 eV photon irradiation was found to be the most effective in decreasing the dielectric constant of low-k organosilicate films. Fourier Transform Infra-red Spectroscopy shows that these 6.2 eV VUV exposures removed organic porogens. This contributes to the decrease of the dielectric constant. This information provides the range of VUV photon energies that could decrease the dielectric constant of low-k materials most effectively.« less

  6. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  7. Vacuum ultraviolet photoionization cross section of the hydroxyl radical.

    PubMed

    Dodson, Leah G; Savee, John D; Gozem, Samer; Shen, Linhan; Krylov, Anna I; Taatjes, Craig A; Osborn, David L; Okumura, Mitchio

    2018-05-14

    The absolute photoionization spectrum of the hydroxyl (OH) radical from 12.513 to 14.213 eV was measured by multiplexed photoionization mass spectrometry with time-resolved radical kinetics. Tunable vacuum ultraviolet (VUV) synchrotron radiation was generated at the Advanced Light Source. OH radicals were generated from the reaction of O( 1 D) + H 2 O in a flow reactor in He at 8 Torr. The initial O( 1 D) concentration, where the atom was formed by pulsed laser photolysis of ozone, was determined from the measured depletion of a known concentration of ozone. Concentrations of OH and O( 3 P) were obtained by fitting observed time traces with a kinetics model constructed with literature rate coefficients. The absolute cross section of OH was determined to be σ(13.436 eV) = 3.2 ± 1.0 Mb and σ(14.193 eV) = 4.7 ± 1.6 Mb relative to the known cross section for O( 3 P) at 14.193 eV. The absolute photoionization spectrum was obtained by recording a spectrum at a resolution of 8 meV (50 meV steps) and scaling to the single-energy cross sections. We computed the absolute VUV photoionization spectrum of OH and O( 3 P) using equation-of-motion coupled-cluster Dyson orbitals and a Coulomb photoelectron wave function and found good agreement with the observed absolute photoionization spectra.

  8. Vacuum ultraviolet photoionization cross section of the hydroxyl radical

    NASA Astrophysics Data System (ADS)

    Dodson, Leah G.; Savee, John D.; Gozem, Samer; Shen, Linhan; Krylov, Anna I.; Taatjes, Craig A.; Osborn, David L.; Okumura, Mitchio

    2018-05-01

    The absolute photoionization spectrum of the hydroxyl (OH) radical from 12.513 to 14.213 eV was measured by multiplexed photoionization mass spectrometry with time-resolved radical kinetics. Tunable vacuum ultraviolet (VUV) synchrotron radiation was generated at the Advanced Light Source. OH radicals were generated from the reaction of O(1D) + H2O in a flow reactor in He at 8 Torr. The initial O(1D) concentration, where the atom was formed by pulsed laser photolysis of ozone, was determined from the measured depletion of a known concentration of ozone. Concentrations of OH and O(3P) were obtained by fitting observed time traces with a kinetics model constructed with literature rate coefficients. The absolute cross section of OH was determined to be σ(13.436 eV) = 3.2 ± 1.0 Mb and σ(14.193 eV) = 4.7 ± 1.6 Mb relative to the known cross section for O(3P) at 14.193 eV. The absolute photoionization spectrum was obtained by recording a spectrum at a resolution of 8 meV (50 meV steps) and scaling to the single-energy cross sections. We computed the absolute VUV photoionization spectrum of OH and O(3P) using equation-of-motion coupled-cluster Dyson orbitals and a Coulomb photoelectron wave function and found good agreement with the observed absolute photoionization spectra.

  9. The generation of a tunable laser emission in the vacuum ultraviolet and its application to supersonic jet/multiphoton ionization mass spectrometry

    NASA Astrophysics Data System (ADS)

    Uchimura, Tomohiro; Onoda, Takayuki; Lin, Cheng-Huang; Imasaka, Totaro

    1999-08-01

    An optical parametric oscillator and a Ti:sapphire laser are used as a pump source for the generation of high-order vibrational stimulated Raman emission in the vacuum ultraviolet region. This tunable laser is employed as an excitation/ionization source in a supersonic jet/multiphoton ionization/time-of-flight mass spectrometric study of benzene. The merits and potential advantages of this approach are discussed in this study.

  10. Vacuum ultraviolet photoionization mass spectrometric study of cyclohexene.

    PubMed

    Chen, Jun; Cao, Maoqi; Wei, Bin; Ding, Mengmeng; Shan, Xiaobin; Liu, Fuyi; Sheng, Liusi

    2016-02-01

    In this work, photoionization and dissociation of cyclohexene have been studied by means of coupling a reflectron time-of-flight mass spectrometer with the tunable vacuum ultraviolet (VUV) synchrotron radiation. The adiabatic ionization energy of cyclohexene as well as the appearance energies of its fragment ions C6 H9 (+) , C6 H7 (+) , C5 H7 (+) , C5 H5 (+) , C4 H6 (+) , C4 H5 (+) , C3 H5 (+) and C3 H3 (+) were derived from the onset of the photoionization efficiency (PIE) curves. The optimized structures for the transition states and intermediates on the ground state potential energy surfaces related to photodissociation of cyclohexene were characterized at the ωB97X-D/6-31+g(d,p) level. The coupled cluster method, CCSD(T)/cc-pVTZ, was employed to calculate the corresponding energies with the zero-point energy corrections by the ωB97X-D/6-31+g(d,p) approach. Combining experimental and theoretical results, possible formation pathways of the fragment ions were proposed and discussed in detail. The retro-Cope rearrangement was found to play a crucial role in the formation of C4 H6 (+) , C4 H5 (+) and C3 H5 (+) . Intramolecular hydrogen migrations were observed as dominant processes in most of the fragmentation pathways of cyclohexene. The present research provides a clear picture of the photoionization and dissociation processes of cyclohexene in the 8- to 15.5-eV photon energy region. Copyright © 2016 John Wiley & Sons, Ltd.

  11. Generation of vacuum ultraviolet radiation by intracavity high-harmonic generation toward state detection of single trapped ions

    NASA Astrophysics Data System (ADS)

    Wakui, Kentaro; Hayasaka, Kazuhiro; Ido, Tetsuya

    2014-12-01

    Vacuum ultraviolet (VUV) radiation around 159 nm is obtained toward direct excitation of a single trapped ion. An efficient fluoride-based VUV output coupler is employed for intracavity high-harmonic generation of a Ti:S oscillator. Using this coupler, where we measured its reflectance to be about 90 %, an average power reaching 6.4 W is coupled out from a modest fundamental power of 650 mW. When a single comb component out of 1.9 10 teeth is resonant to the atomic transition, 100s of fluorescence photons per second will be detectable under a realistic condition.

  12. Measurement system to determine the total and angle-resolved light scattering of optical components in the deep-ultraviolet and vacuum-ultraviolet spectral regions

    NASA Astrophysics Data System (ADS)

    Schröder, Sven; Gliech, Stefan; Duparré, Angela

    2005-10-01

    An instrumentation for total and angle-resolved scattering (ARS) at 193 and 157 nm has been developed at the Fraunhofer Institute in Jena to meet the severe requirements for scattering analysis of deep- and vacuum-ultraviolet optical components. Extremely low backscattering levels of 10^-6 for the total scattering measurements and more than 9 orders of magnitude dynamic range for ARS have been accomplished. Examples of application extend from the control of at-wavelength scattering losses of superpolished substrates with rms roughness as small as 0.1 nm to the detection of volume material scattering and the study into the scattering of multilayer coatings. In addition, software programs were developed to model the roughness-induced light scattering of substrates and thin-film coatings.

  13. Method for generating extreme ultraviolet with mather-type plasma accelerators for use in Extreme Ultraviolet Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hassanein, Ahmed; Konkashbaev, Isak

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave uses two intersecting plasma beams generated by two plasma accelerators. The intersection of the two plasma beams emits electromagnetic radiation and in particular radiation in the extreme ultraviolet wavelength. In the preferred orientation two axially aligned counter streaming plasmas collide to produce an intense source of electromagnetic radiation at the 13.5 nm wavelength. The Mather type plasma accelerators can utilize tin, or lithium covered electrodes. Tin, lithium or xenon can be used as the photon emitting gas source.

  14. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  15. Multichannel silicon WDM ring filters fabricated with DUV lithography

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  16. Effects of Various Wavelength Ranges of Vacuum Ultraviolet Radiation on Teflon FEP Film Investigated

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; McCracken, Cara A.

    2004-01-01

    Teflon Fluorinated Ethylene Propylene (FTP) films (DuPont) have been widely used for spacecraft thermal control and have been observed to become embrittled and cracked upon exposure to the space environment. This degradation has been attributed to a synergistic combination of radiation and thermal effects. A research study was undertaken at the NASA Glenn Research Center to examine the effects of different wavelength ranges of vacuum ultraviolet (VUV) radiation on the degradation of the mechanical properties of FEP. This will contribute to an overall understanding of space radiation effects on Teflon FEP, and will provide information necessary to determine appropriate techniques for using laboratory tests to estimate space VUV degradation. Research was conducted using inhouse facilities at Glenn and was carried out, in part, through a grant with the Cleveland State University. Samples of Teflon FEP film of 50.8 microns thickness were exposed to radiation from a VUV lamp from beneath different cover windows to provide different exposure wavelength ranges: MgF2 (115 to 400 nm), crystalline quartz (140 to 400 nm), and fused silica (FS, 155 to 400 nm). Following exposure, FEP film specimens were tensile tested to determine the ultimate tensile strength and elongation at failure as a function of the exposure duration for each wavelength range. The graphs show the effect of ultraviolet exposure on the mechanical properties of the FEP samples.

  17. Birefringence of magnesium fluoride in the vacuum ultraviolet and application to a half-waveplate.

    PubMed

    Ishikawa, Ryohko; Kano, Ryouhei; Bando, Takamasa; Suematsu, Yoshinori; Ishikawa, Shin-nosuke; Kubo, Masahito; Narukage, Noriyuki; Hara, Hirohisa; Tsuneta, Saku; Watanabe, Hiroko; Ichimoto, Kiyoshi; Aoki, Kunichika; Miyagawa, Kenta

    2013-12-01

    Spectro-polarimeteric observations in the vacuum-ultraviolet (VUV) region are expected to be developed as a new astrophysics diagnostic tool for investigating space plasmas with temperatures of >10(4)  K. Precise measurements of the difference in the extraordinary and ordinary refractive indices are required for developing accurate polarimeters, but reliable information on the birefringence in the VUV range is difficult to obtain. We have measured the birefringence of magnesium fluoride (MgF2) with an accuracy of better than ±4×10(-5) around the hydrogen Lyman-α line (121.57 nm). We show that MgF2 can be applied practically as a half-waveplate for the chromospheric Lyman-alpha spectro-polarimeter (CLASP) sounding rocket experiment and that the developed measurement method can be easily applied to other VUV birefringent materials at other wavelengths.

  18. Gas chromatography-vacuum ultraviolet spectroscopy for analysis of fatty acid methyl esters.

    PubMed

    Fan, Hui; Smuts, Jonathan; Bai, Ling; Walsh, Phillip; Armstrong, Daniel W; Schug, Kevin A

    2016-03-01

    A new vacuum ultraviolet (VUV) detector for gas chromatography was recently developed and applied to fatty acid methyl ester (FAME) analysis. VUV detection features full spectral acquisition in a wavelength range of 115-240nm, where virtually all chemical species absorb. VUV absorption spectra of 37 FAMEs, including saturated, monounsaturated, and polyunsaturated types were recorded. Unsaturated FAMEs show significantly different gas phase absorption profiles than saturated ones, and these classes can be easily distinguished with the VUV detector. Another advantage includes differentiating cis/trans-isomeric FAMEs (e.g. oleic acid methyl ester and linoleic acid methyl ester isomers) and the ability to use VUV data analysis software for deconvolution of co-eluting signals. As a universal detector, VUV also provides high specificity, sensitivity, and a fast data acquisition rate, making it a powerful tool for fatty acid screening when combined with gas chromatography. The fatty acid profile of several food oil samples (olive, canola, vegetable, corn, sunflower and peanut oils) were analyzed in this study to demonstrate applicability to real world samples. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. Microplasma discharge vacuum ultraviolet photoionization source for atmospheric pressure ionization mass spectrometry.

    PubMed

    Symonds, Joshua M; Gann, Reuben N; Fernández, Facundo M; Orlando, Thomas M

    2014-09-01

    In this paper, we demonstrate the first use of an atmospheric pressure microplasma-based vacuum ultraviolet (VUV) photoionization source in atmospheric pressure mass spectrometry applications. The device is a robust, easy-to-operate microhollow cathode discharge (MHCD) that enables generation of VUV photons from Ne and Ne/H(2) gas mixtures. Photons were detected by excitation of a microchannel plate detector and by analysis of diagnostic sample ions using a mass spectrometer. Reactive ions, charged particles, and metastables produced in the discharge were blocked from entering the ionization region by means of a lithium fluoride window, and photoionization was performed in a nitrogen-purged environment. By reducing the output pressure of the MHCD, we observed heightened production of higher-energy photons, making the photoionization source more effective. The initial performance of the MHCD VUV source has been evaluated by ionizing model analytes such as acetone, azulene, benzene, dimethylaniline, and glycine, which were introduced in solid or liquid phase. These molecules represent species with both high and low proton affinities, and ionization energies ranging from 7.12 to 9.7 eV.

  20. Method for plasma formation for extreme ultraviolet lithography-theta pinch

    DOEpatents

    Hassanein, Ahmed [Naperville, IL; Konkashbaev, Isak [Bolingbrook, IL; Rice, Bryan [Hillsboro, OR

    2007-02-20

    A device and method for generating extremely short-wave ultraviolet electromagnetic wave, utilizing a theta pinch plasma generator to produce electromagnetic radiation in the range of 10 to 20 nm. The device comprises an axially aligned open-ended pinch chamber defining a plasma zone adapted to contain a plasma generating gas within the plasma zone; a means for generating a magnetic field radially outward of the open-ended pinch chamber to produce a discharge plasma from the plasma generating gas, thereby producing a electromagnetic wave in the extreme ultraviolet range; a collecting means in optical communication with the pinch chamber to collect the electromagnetic radiation; and focusing means in optical communication with the collecting means to concentrate the electromagnetic radiation.

  1. Vacuum ultraviolet imagery of the Virgo Cluster region. II - Total far-ultraviolet flux of galaxies

    NASA Astrophysics Data System (ADS)

    Kodaira, K.; Watanabe, T.; Onaka, T.; Tanaka, W.

    1990-11-01

    The total flux in the far-ultraviolet region around 150 nm was measured for more than 40 galaxies in the central region of the Virgo Cluster, using two imaging telescopes on board a sounding rocket. The observed far-ultraviolet flux shows positive correlations with the H I 21 cm flux and the far-infrared flux for spiral galaxies, and with the X-ray flux and the radio continuum flux for elliptical galaxies. The former correlations of spiral galaxies are interpreted in terms of star formation activity, which indicates substantial depletion in the Virgo galaxies in accordance with the H I stripping. The latter correlations of elliptical galaxies indicate possible far-ultraviolet sources of young population, in addition to evolved hot stars. Far-ultraviolet fluxes from two dwarf elliptical galaxies were obtained tentatively, indicating star formation activity in elliptical galaxies. A high-resolution UV imagery by HST would be effective to distinguish the young population and the old population in elliptical galaxies.

  2. Polarized vacuum ultraviolet and X-radiation

    NASA Technical Reports Server (NTRS)

    Samson, J. A. R.

    1978-01-01

    The most intense source of polarized vacuum UV and X radiation is synchrotron radiation, which exhibits a degree of partially polarized light between about 80-100%. However, the radiation transmitted by vacuum UV monochromators can also be highly polarized. The Seya-Namioka type of monochromator can produce partially polarized radiation between 50-80%. For certain experiments it is necessary to know the degree of polarization of the radiation being used. Also, when synchrotron radiation and a monochromator are combined the polarization characteristic of both should be known in order to make full use of these polarization properties. The polarizing effect of monochromators (i.e., diffraction gratings) have been measured at the Seya angle and at grazing angles for various spectral orders. Experimental evidence is presented which shows that the reciprocity law holds for polarization by reflection where the angle of incidence and diffraction are unequal. These results are reviewed along with the techniques for measuring the degree of polarization.

  3. Ultraviolet Communication for Medical Applications

    DTIC Science & Technology

    2015-06-01

    DEI procured several UVC phosphors and tested them with vacuum UV (VUV) excitation. Available emission peaks include: 226 nm, 230 nm, 234 nm, 242...SUPPLEMENTARY NOTES Report contains color. 14. ABSTRACT Under this Phase II SBIR effort, Directed Energy Inc.’s (DEI) proprietary ultraviolet ( UV ...15. SUBJECT TERMS Non-line-of-sight (NLOS), networking, optical communication, plasma-shells, short range, ultraviolet ( UV ) light 16. SECURITY

  4. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  5. Steady-State Vacuum Ultraviolet Exposure Facility With Automated Lamp Calibration and Sample Positioning Fabricated

    NASA Technical Reports Server (NTRS)

    Sechkar, Edward A.; Steuber, Thomas J.; Banks, Bruce A.; Dever, Joyce A.

    2000-01-01

    The Next Generation Space Telescope (NGST) will be placed in an orbit that will subject it to constant solar radiation during its planned 10-year mission. A sunshield will be necessary to passively cool the telescope, protecting it from the Sun s energy and assuring proper operating temperatures for the telescope s instruments. This sunshield will be composed of metalized polymer multilayer insulation with an outer polymer membrane (12 to 25 mm in thickness) that will be metalized on the back to assure maximum reflectance of sunlight. The sunshield must maintain mechanical integrity and optical properties for the full 10 years. This durability requirement is most challenging for the outermost, constantly solar-facing polymer membrane of the sunshield. One of the potential threats to the membrane material s durability is from vacuum ultraviolet (VUV) radiation in wavelengths below 200 nm. Such radiation can be absorbed in the bulk of these thin polymer membrane materials and degrade the polymer s optical and mechanical properties. So that a suitable membrane material can be selected that demonstrates durability to solar VUV radiation, ground-based testing of candidate materials must be conducted to simulate the total 10- year VUV exposure expected during the Next Generation Space Telescope mission. The Steady State Vacuum Ultraviolet exposure facility was designed and fabricated at the NASA Glenn Research Center at Lewis Field to provide unattended 24-hr exposure of candidate materials to VUV radiation of 3 to 5 times the Sun s intensity in the wavelength range of 115 to 200 nm. The facility s chamber, which maintains a pressure of approximately 5 10(exp -6) torr, is divided into three individual exposure cells, each with a separate VUV source and sample-positioning mechanism. The three test cells are separated by a water-cooled copper shield plate assembly to minimize thermal effects from adjacent test cells. Part of the interior sample positioning mechanism of one

  6. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  7. Quantitative analysis of vacuum-ultraviolet radiation from nanosecond laser-zinc interaction

    NASA Astrophysics Data System (ADS)

    Parchamy, Homaira; Szilagyi, John; Masnavi, Majid; Richardson, Martin

    2018-07-01

    The paper reports measurements of the vacuum-ultraviolet spectral irradiances of a flat zinc target over a wavelength region of 124-164 nm generated by 10 and 60 ns duration low-intensities, 5 ×109 - 3 ×1010 W cm-2, 1.06 μm wavelength laser pulses. Maximum radiation conversion efficiencies of 2.5%/2πsr and 0.8%/2πsr were measured for 60 and 10 ns laser pulses at the intensities of 5 ×109 and 1.4 ×1010 W cm-2, respectively. Atomic structure calculations using a relativistic configuration-interaction, flexible atomic code and a developed non-local thermodynamic equilibrium population kinetics model in comparison to the experimental spectra detected by the Seya-Namioka type monochromator reveal the strong broadband experimental emission originates mainly from 3d94p-3d94s, 3d94d-3d94p and 3d84p-3d84s, 3d84d-3d84p unresolved-transition arrays of double and triple ionized zinc, respectively. Two-dimensional radiation-hydrodynamics code is used to investigate time-space plasma evolution and spectral radiation of a 10 ns full-width-at-half-maximum Gaussian laser pulse-zinc interaction.

  8. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    NASA Astrophysics Data System (ADS)

    Jablonowski, H.; Bussiahn, R.; Hammer, M. U.; Weltmann, K.-D.; von Woedtke, Th.; Reuter, S.

    2015-12-01

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100-400 nm) and, in particular, vacuum ultraviolet (VUV, 10-200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH2O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stable reactive oxygen species, hydrogen peroxide (H2O2) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O2•-) and hydroxyl radicals (•OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.

  9. Ion projection lithography: November 2000 status and sub-70-nm prospects

    NASA Astrophysics Data System (ADS)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits <3nm even when using a numerical aperture as low as NAequals10-5. As part of the European MEDEA IPL project headed by Infineon Technologies wide field ion-optics have been designed by IMS- Vienna with predicted resolution of 50nm within a 12.5mm exposure field. The ion-optics part of the PDT tool (PDT-IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  10. Vacuum energy from noncommutative models

    NASA Astrophysics Data System (ADS)

    Mignemi, S.; Samsarov, A.

    2018-04-01

    The vacuum energy is computed for a scalar field in a noncommutative background in several models of noncommutative geometry. One may expect that the noncommutativity introduces a natural cutoff on the ultraviolet divergences of field theory. Our calculations show however that this depends on the particular model considered: in some cases the divergences are suppressed and the vacuum energy is only logarithmically divergent, in other cases they are stronger than in the commutative theory.

  11. Exciplex vacuum ultraviolet emission spectra of KrAr: Temperature dependence and potentials

    NASA Astrophysics Data System (ADS)

    Subtil, J.-L.; Jonin, C.; Laporte, P.; Reininger, R.; Spiegelmann, F.; Gürtler, P.

    1996-11-01

    The temperature dependence of the emissions from the 0+(3P1)and 1(3P2) Kr*Ar exciplex states in the range 85-350 K was studied using time resolved techniques, vacuum ultraviolet synchrotron radiation, and argon samples doped with minimal amounts of krypton. As the temperature is increased, the emission shifts to the blue, its width increases by almost a factor of 2, and the line shape becomes asymmetrical. The experimental line shapes have been simulated by means of Franck-Condon density calculations using the available ground state potential of Aziz and Slaman [Mol. Phys. 58, 679 (1986)] and by modeling the exciplex potentials as Morse curves. The potential parameters for the 0+ and 1 states are re=5.05±0.01 and 5.07±0.01 a0, respectively; De=1150±200 cm-1 and β=1.4±0.1 a0-1 for both states. The latter two values yield ωe=140 cm-1 and ωexe=4.3 cm-1. The energy positions of the exciplexes's wells and their depths are compared with published results.

  12. Intensity and Energy Level Analysis of the Vacuum Ultraviolet Spectrum of Four Times Ionize Nickel (Ni V)

    NASA Astrophysics Data System (ADS)

    Ward, Jacob Wolfgang; Nave, Gillian

    2016-01-01

    Recent measurements of four times ionized iron and nickel (Fe V & Ni V) wavelengths in the vacuum ultraviolet (VUV) have been taken using the National Institute for Standards and Technology (NIST) Normal Incidence Vacuum Spectrograph (NIVS) with a sliding spark light source with invar electrodes. The wavelengths observed in those measurements make use of high resolution photographic plates with the majority of observed lines having uncertainties of approximately 3mÅ. In addition to observations made with photographic plates, the same wavelength region was observed with phosphor image plates, which have been demonstrated to be accurate as a method of intensity calibration when used with a deuterium light source. This work will evaluate the use of phosphor image plates and deuterium lamps as an intensity calibration method for the Ni V spectrum in the 1200-1600Å region of the VUV. Additionally, by pairing the observed wavelengths of Ni V with accurate line intensities, it is possible to create an energy level optimization for Ni V providing high accuracy Ritz wavelengths. This process has previously been applied to Fe V and produced Ritz wavelengths that agreed with the above experimental observations.

  13. Vacuum ultraviolet spectroscopy of the lowest-lying electronic state in subcritical and supercritical water

    NASA Astrophysics Data System (ADS)

    Marin, Timothy W.; Janik, Ireneusz; Bartels, David M.; Chipman, Daniel M.

    2017-05-01

    The nature and extent of hydrogen bonding in water has been scrutinized for decades, including how it manifests in optical properties. Here we report vacuum ultraviolet absorption spectra for the lowest-lying electronic state of subcritical and supercritical water. For subcritical water, the spectrum redshifts considerably with increasing temperature, demonstrating the gradual breakdown of the hydrogen-bond network. Tuning the density at 381 °C gives insight into the extent of hydrogen bonding in supercritical water. The known gas-phase spectrum, including its vibronic structure, is duplicated in the low-density limit. With increasing density, the spectrum blueshifts and the vibronic structure is quenched as the water monomer becomes electronically perturbed. Fits to the supercritical water spectra demonstrate consistency with dimer/trimer fractions calculated from the water virial equation of state and equilibrium constants. Using the known water dimer interaction potential, we estimate the critical distance between molecules (ca. 4.5 Å) needed to explain the vibronic structure quenching.

  14. Vacuum ultraviolet spectroscopy of the lowest-lying electronic state in subcritical and supercritical water

    DOE PAGES

    Marin, Timothy W.; Janik, Ireneusz; Bartels, David M.; ...

    2017-05-17

    The nature and extent of hydrogen bonding in water has been scrutinized for decades, including how it manifests in optical properties. Here we report vacuum ultraviolet absorption spectra for the lowest-lying electronic state of subcritical and supercritical water. For subcritical water, the spectrum redshifts considerably with increasing temperature, demonstrating the gradual breakdown of the hydrogen-bond network. Tuning the density at 381°C gives insight into the extent of hydrogen bonding in supercritical water. The known gas-phase spectrum, including its vibronic structure, is duplicated in the low-density limit. With increasing density, the spectrum blueshifts and the vibronic structure is quenched as themore » water monomer becomes electronically perturbed. Fits to the supercritical water spectra demonstrate consistency with dimer/trimer fractions calculated from the water virial equation of state and equilibrium constants. As a result, using the known water dimer interaction potential, we estimate the critical distance between molecules (ca. 4.5 Å) needed to explain the vibronic structure quenching.« less

  15. Practical tolerancing and performance implications for XUV projection lithography reduction systems (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Viswanathan, Vriddhachalam K.

    1992-07-01

    Practical considerations that will strongly affect the imaging capabilities of reflecting systems for extreme-ultraviolet (XUV) projection lithography include manufacturing tolerances and thermal distortion of the mirror surfaces due to absorption of a fraction of the incident radiation beam. We have analyzed the potential magnitudes of these effects for two types of reflective projection optical designs. We find that concentric, symmetric two-mirror systems are less sensitive to manufacturing errors and thermal distortion than off-axis, four-mirror systems.

  16. Extreme ultraviolet (EUV) and FUV calibration facility for special sensor ultraviolet limb imager (SSULI)

    NASA Astrophysics Data System (ADS)

    Boyer, Craig N.; Osterman, Steven N.; Thonnard, Stefan E.; McCoy, Robert P.; Williams, J. Z.; Parker, S. E.

    1994-09-01

    A facility for calibrating far ultraviolet and extreme ultraviolet instruments has recently been completed at the Naval Research Laboratory. Our vacuum calibration vessel is 2-m in length, 1.67-m in diameter, and can accommodate optical test benches up to 1.2-m wide by 1.5-m in length. A kinematically positioned frame with four axis precision pointing capability of 10 microns for linear translation and .01 degrees for rotation is presently used during vacuum optical calibration of SSULI. The chamber was fabricated from 304 stainless steel and polished internally to reduce surface outgassing. A dust-free environment is maintained at the rear of the vacuum chamber by enclosing the 2-m hinged vacuum access door in an 8 ft. by 8 ft. class 100 clean room. Every effort was made to obtain an oil-free environment within the vacuum vessel. Outgassing products are continually monitored with a 1 - 200 amu residual gas analyzer. An oil-free claw and vane pump evacuates the chamber to 10-2 torr through 4 in. diameter stainless steel roughing lines. High vacuum is achieved and maintained with a magnetically levitated 480 l/s turbo pump and a 3000 l/s He4 cryopump. Either of two vacuum monochrometers, a 1-m f/10.4 or a 0.2-m f/4.5 are coaxially aligned with the optical axis of the chamber and are used to select single UV atomic resonance lines from a windowless capillary or penning discharge UV light source. A calibrated channeltron detector is coaxially mounted with the SSULI detector during calibration. All vacuum valves, the cooling system for the cryopump compressor, and the roughing pump are controlled through optical fibers which are interfaced to a computer through a VME board. Optical fibers were chosen to ensure that complete electrical isolation is maintained between the computer and the vacuum system valves-solenoids and relays.

  17. Simulation of the synergistic low Earth orbit effects of vacuum thermal cycling, vacuum UV radiation, and atomic oxygen

    NASA Technical Reports Server (NTRS)

    Dever, Joyce A.; Degroh, Kim K.; Stidham, Curtis R.; Stueber, Thomas J.; Dever, Therese M.; Rodriguez, Elvin; Terlep, Judith A.

    1992-01-01

    In order to assess the low Earth orbit (LEO) durability of candidate space materials, it is necessary to use ground laboratory facilities which provide LEO environmental effects. A facility combining vacuum thermal cycling and vacuum ultraviolet (VUV) radiation has been designed and constructed at NASA Lewis Research Center for this purpose. This facility can also be operated without the VUV lamps. An additional facility can be used to provide VUV exposure only. By utilizing these facilities, followed by atomic oxygen exposure in an RF plasma asher, the effects of the individual vacuum thermal cycling and VUV environments can be compared to the effect of the combined vacuum thermal cycling/VUV environment on the atomic oxygen durability of materials. The synergistic effects of simulated LEO environmental conditions on materials were evaluated by first exposing materials to vacuum thermal cycling, VUV, and vacuum thermal cycling/VUV environments followed by exposure to atomic oxygen in an RP plasma asher. Candidate space power materials such as atomic oxygen protected polyimides and solar concentrator mirrors were evaluated using these facilities. Characteristics of the Vacuum Thermal Cycling/VUV Exposure Facility which simulates the temperature sequences and solar ultraviolet radiation exposure that would be experienced by a spacecraft surface in LEO are discussed. Results of durability evaluations of some candidate space power materials to the simulated LEO environmental conditions will also be discussed. Such results have indicated that for some materials, atomic oxygen durability is affected by previous exposure to thermal cycling and/or VUV exposure.

  18. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jablonowski, H.; Hammer, M. U.; Reuter, S.

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100–400 nm) and, in particular, vacuum ultraviolet (VUV, 10–200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH{sub 2}O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stablemore » reactive oxygen species, hydrogen peroxide (H{sub 2}O{sub 2}) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O{sub 2}{sup •−}) and hydroxyl radicals ({sup •}OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.« less

  19. VUV dissociative excitation cross sections of H2O, NH3, and CH4 by electron impact. [Vacuum Ultra-Violet

    NASA Technical Reports Server (NTRS)

    Morgan, H. D.; Mentall, J. E.

    1974-01-01

    Absolute excitation functions for excited fragments resulting from electron bombardment of H2O, NH3, and CH4 by low-energy electrons (0 to 300 eV) have been measured in the vacuum ultraviolet (1100 to 1950 A). The predominant emission for each molecule was the H Lyman-alpha line, while the O I, N I, C I, and C II emissions were at least an order of magnitude weaker. Absolute cross sections at 100 eV are given along with the appearance potential of the various processes and the possible dissociative-excitation channels through which such processes proceed.

  20. Electroweak vacuum instability and renormalized Higgs field vacuum fluctuations in the inflationary universe

    NASA Astrophysics Data System (ADS)

    Kohri, Kazunori; Matsui, Hiroki

    2017-08-01

    In this work, we investigated the electroweak vacuum instability during or after inflation. In the inflationary Universe, i.e., de Sitter space, the vacuum field fluctuations < δ phi 2 > enlarge in proportion to the Hubble scale H2. Therefore, the large inflationary vacuum fluctuations of the Higgs field < δ phi 2 > are potentially catastrophic to trigger the vacuum transition to the negative-energy Planck-scale vacuum state and cause an immediate collapse of the Universe. However, the vacuum field fluctuations < δ phi 2 >, i.e., the vacuum expectation values have an ultraviolet divergence, and therefore a renormalization is necessary to estimate the physical effects of the vacuum transition. Thus, in this paper, we revisit the electroweak vacuum instability from the perspective of quantum field theory (QFT) in curved space-time, and discuss the dynamical behavior of the homogeneous Higgs field phi determined by the effective potential V eff( phi ) in curved space-time and the renormalized vacuum fluctuations < δ phi 2 >ren via adiabatic regularization and point-splitting regularization. We simply suppose that the Higgs field only couples the gravity via the non-minimal Higgs-gravity coupling ξ(μ). In this scenario, the electroweak vacuum stability is inevitably threatened by the dynamical behavior of the homogeneous Higgs field phi, or the formations of AdS domains or bubbles unless the Hubble scale is small enough H< ΛI .

  1. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  2. High-resolution vacuum-ultraviolet photoabsorption spectra of 1-butyne and 2-butyne

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jacovella, U.; Holland, D. M. P.; Boyé-Péronne, S.

    2015-07-21

    The absolute photoabsorption cross sections of 1- and 2-butyne have been recorded at high resolution by using the vacuum-ultraviolet Fourier-Transform spectrometer at the SOLEIL Synchrotron. Both spectra show more resolved structure than previously observed, especially in the case of 2-butyne. In this work, we assess the potential importance of Rydberg states with higher values of orbital angular momentum, l, than are typically observed in photoabsorption experiments from ground state molecules. We show how the character of the highest occupied molecular orbitals in 1- and 2-butyne suggests the potential importance of transitions to such high-l (l = 3 and 4) Rydbergmore » states. Furthermore, we use theoretical calculations of the partial wave composition of the absorption cross section just above the ionization threshold and the principle of continuity of oscillator strength through an ionization threshold to support this conclusion. The new absolute photoabsorption cross sections are discussed in light of these arguments, and the results are consistent with the expectations. This type of argument should be valuable for assessing the potential importance of different Rydberg series when sufficiently accurate direct quantum chemical calculations are difficult, for example, in the n ≥ 5 manifolds of excited states of larger molecules.« less

  3. A synchrotron-radiation-based variable angle ellipsometer for the visible to vacuum ultraviolet spectral range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Neumann, M. D., E-mail: maciej.neumann@isas.de; Cobet, C.; Esser, N.

    2014-05-15

    A rotating analyzer spectroscopic polarimeter and ellipsometer with a wide-range θ-2θ goniometer installed at the Insertion Device Beamline of the Metrology Light Source in Berlin is presented. With a combination of transmission- and reflection-based polarizing elements and the inherent degree of polarization of the undulator radiation, this ellipsometer is able to cover photon energies from about 2 eV up to 40 eV. Additionally, a new compensator design based on a CaF{sub 2} Fresnel rhomb is presented. This compensator allows ellipsometric measurements with circular polarization in the vacuum ultraviolet spectral range and thus, for example, the characterization of depolarizing samples. The new instrumentmore » was initially used for the characterization of the polarization of the beamline. The technical capabilities of the ellipsometer are demonstrated by a cohesive wide-range measurement of the dielectric function of epitaxially grown ZnO.« less

  4. Control of the polarization of a vacuum-ultraviolet, high-gain, free-electron laser

    DOE PAGES

    Allaria, Enrico; Diviacco, Bruno; Callegari, Carlo; ...

    2014-12-02

    The two single-pass, externally seeded free-electron lasers (FELs) of the FERMI user facility are designed around Apple-II-type undulators that can operate at arbitrary polarization in the vacuum ultraviolet-to-soft x-ray spectral range. Furthermore, within each FEL tuning range, any output wavelength and polarization can be set in less than a minute of routine operations. We report the first demonstration of the full output polarization capabilities of FERMI FEL-1 in a campaign of experiments where the wavelength and nominal polarization are set to a series of representative values, and the polarization of the emitted intense pulses is thoroughly characterized by three independentmore » instruments and methods, expressly developed for the task. The measured radiation polarization is consistently >90% and is not significantly spoiled by the transport optics; differing, relative transport losses for horizontal and vertical polarization become more prominent at longer wavelengths and lead to a non-negligible ellipticity for an originally circularly polarized state. The results from the different polarimeter setups validate each other, allow a cross-calibration of the instruments, and constitute a benchmark for user experiments.« less

  5. Vacuum ultraviolet radiation effects on two-dimensional MoS2 field-effect transistors

    NASA Astrophysics Data System (ADS)

    McMorrow, Julian J.; Cress, Cory D.; Arnold, Heather N.; Sangwan, Vinod K.; Jariwala, Deep; Schmucker, Scott W.; Marks, Tobin J.; Hersam, Mark C.

    2017-02-01

    Atomically thin MoS2 has generated intense interest for emerging electronics applications. Its two-dimensional nature and potential for low-power electronics are particularly appealing for space-bound electronics, motivating the need for a fundamental understanding of MoS2 electronic device response to the space radiation environment. In this letter, we quantify the response of MoS2 field-effect transistors (FETs) to vacuum ultraviolet (VUV) total ionizing dose radiation. Single-layer (SL) and multilayer (ML) MoS2 FETs are compared to identify differences that arise from thickness and band structure variations. The measured evolution of the FET transport properties is leveraged to identify the nature of VUV-induced trapped charge, isolating the effects of the interface and bulk oxide dielectric. In both the SL and ML cases, oxide trapped holes compete with interface trapped electrons, exhibiting an overall shift toward negative gate bias. Raman spectroscopy shows no variation in the MoS2 signatures as a result of VUV exposure, eliminating significant crystalline damage or oxidation as possible radiation degradation mechanisms. Overall, this work presents avenues for achieving radiation-hard MoS2 devices through dielectric engineering that reduces oxide and interface trapped charge.

  6. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  7. Research on vacuum utraviolet calibration technology

    NASA Astrophysics Data System (ADS)

    Wang, Jiapeng; Gao, Shumin; Sun, Hongsheng; Chen, Yinghang; Wei, Jianqiang

    2014-11-01

    Importance of extreme ultraviolet (EUV) and far ultraviolet (FUV) calibration is growing fast as vacuum ultraviolet payloads are wildly used in national space plan. A calibration device is established especially for the requirement of EUV and FUV metrology and measurement. Spectral radiation and detector relative spectral response at EUV and FUV wavelengths can be calibrated with accuracy of 26% and 20%, respectively. The setup of the device, theoretical model and value retroactive method are introduced and measurement of detector relative spectral response from 30 nm to 200 nm is presented in this paper. The calibration device plays an important role in national space research.

  8. Development of vacuum ultraviolet absorption spectroscopy system for wide measurement range of number density using a dual-tube inductively coupled plasma light source

    NASA Astrophysics Data System (ADS)

    Kuwahara, Akira; Matsui, Makoto; Yamagiwa, Yoshiki

    2012-12-01

    A vacuum ultraviolet absorption spectroscopy system for a wide measurement range of atomic number densities is developed. Dual-tube inductively coupled plasma was used as a light source. The probe beam profile was optimized for the target number density range by changing the mass flow rate of the inner and outer tubes. This system was verified using cold xenon gas. As a result, the measurement number density range was extended from the conventional two orders to five orders of magnitude.

  9. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  10. Electroweak vacuum instability and renormalized Higgs field vacuum fluctuations in the inflationary universe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kohri, Kazunori; Matsui, Hiroki, E-mail: kohri@post.kek.jp, E-mail: matshiro@post.kek.jp

    In this work, we investigated the electroweak vacuum instability during or after inflation. In the inflationary Universe, i.e., de Sitter space, the vacuum field fluctuations < δ φ {sup 2} > enlarge in proportion to the Hubble scale H {sup 2}. Therefore, the large inflationary vacuum fluctuations of the Higgs field < δ φ {sup 2} > are potentially catastrophic to trigger the vacuum transition to the negative-energy Planck-scale vacuum state and cause an immediate collapse of the Universe. However, the vacuum field fluctuations < δ φ {sup 2} >, i.e., the vacuum expectation values have an ultraviolet divergence, andmore » therefore a renormalization is necessary to estimate the physical effects of the vacuum transition. Thus, in this paper, we revisit the electroweak vacuum instability from the perspective of quantum field theory (QFT) in curved space-time, and discuss the dynamical behavior of the homogeneous Higgs field φ determined by the effective potential V {sub eff}( φ ) in curved space-time and the renormalized vacuum fluctuations < δ φ {sup 2} >{sub ren} via adiabatic regularization and point-splitting regularization. We simply suppose that the Higgs field only couples the gravity via the non-minimal Higgs-gravity coupling ξ(μ). In this scenario, the electroweak vacuum stability is inevitably threatened by the dynamical behavior of the homogeneous Higgs field φ, or the formations of AdS domains or bubbles unless the Hubble scale is small enough H < Λ {sub I} .« less

  11. Vacuum Ultraviolet (VUV) radiation-induced degradation of Fluorinated Ethylene Propylene (FEP) Teflon aboard the Long Duration Exposure Facility (LDEF)

    NASA Technical Reports Server (NTRS)

    Brinza, David E.; Stiegman, A. E.; Staszak, Paul R.; Laue, Eric G.; Liang, Ranty H.

    1992-01-01

    Examination of fluorinated ethylene propylene (FEP) copolymer specimens recovered from the Long Duration Exposure Facility (LDEF) provides evidence for degradation attributed to extended solar vacuum ultraviolet (VUV) irradiation. Scanning electron microscope (SEM) images of sheared FEP film edges reveal the presence of a highly embrittled layer on the exposed surface of specimens obtained from the trailing edge of the LDEF. Similar images obtained for leading edge and control FEP films do not exhibit evidence for such an embrittled layer. Laboratory VUV irradiation of FEP films is found to produce a damage layer similar to that witnessed in the LDEF trailing edge films. Spectroscopic analyses of irradiated films provide data to advance a photochemical mechanism for degradation.

  12. Identification and deconvolution of carbohydrates with gas chromatography-vacuum ultraviolet spectroscopy.

    PubMed

    Schenk, Jamie; Nagy, Gabe; Pohl, Nicola L B; Leghissa, Allegra; Smuts, Jonathan; Schug, Kevin A

    2017-09-01

    Methodology for qualitative and quantitative determination of carbohydrates with gas chromatography coupled to vacuum ultraviolet detection (GC-VUV) is presented. Saccharides have been intently studied and are commonly analyzed by gas chromatography-mass spectrometry (GC-MS), but not always effectively. This can be attributed to their high degree of structural complexity: α/β anomers from their axial/equatorial hydroxyl group positioning at the C1-OH and flexible ring structures that lead to the open chain, five-membered ring furanose, and six-membered ring pyranose configurations. This complexity can result in convoluted chromatograms, ambiguous fragmentation patterns and, ultimately, analyte misidentification. In this study, mono-, di, and tri-saccharides were derivatized by two different methods-permethylation and oximation/pertrimethylsilylation-and analyzed by GC-VUV. These two derivatization methods were then compared for their efficiency, ease of use, and robustness. Permethylation proved to be a useful technique for the analysis of ketopentoses and pharmaceuticals soluble in dimethyl sulfoxide (DMSO), while the oximation/pertrimethylsilylation method prevailed as the more promising, overall, derivatization method. VUV spectra have been shown to be distinct and allow for efficient differentiation of isomeric species such as ketopentoses and reducing versus non-reducing sugars. In addition to identification, pharmaceutical samples containing several compounds were derivatized and analyzed for their sugar content with the GC-VUV technique to provide data for qualitative analysis. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Synergistic damage effects of vacuum ultraviolet photons and O2 in SiCOH ultra-low-k dielectric films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2010-10-01

    Damage incurred during plasma processing, leading to increases in dielectric constant k, is a persistent problem with porous ultra-low-k dielectric films, such as SiCOH. Although most of the proposed mechanisms of plasma-induced damage focus on the role of ion bombardment and radical attack, we show that plasma-generated vacuum ultraviolet (VUV) photons can play a role in creating damage leading to increases in the dielectric constant of this material. Using a vacuum beam apparatus with a calibrated VUV lamp, we show that 147 nm VUV photons impacting SiCOH results in post-exposure adsorption and reaction with water vapour from the atmosphere to form silanol bonds, thereby raising the dielectric constant. Furthermore, the level of damage increases synergistically under simultaneous exposure to VUV photons and O2. The vacuum beam photon fluences are representative of typical plasma processes, as measured in a separate plasma tool. Fourier-transform infrared (FTIR) spectroscopy (ex situ) and mass spectrometry (in situ) imply that O2 reacts with methyl radicals formed from scissioned Si-C bonds to create CO2 and H2O, the latter combining with Si dangling bonds to generate more SiOH groups than with photon exposure alone. In addition, sample near-surface diffusivity, manipulated through ion bombardment and sample heating, can be seen to affect this process. These results demonstrate that VUV photo-generated surface reactions can be potent contributors to ultra-low-k dielectric SiCOH film plasma-induced damage, and suggest that they could play analogous roles in other plasma-surface interactions.

  14. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  15. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  16. Ultraviolet irradiation at elevated temperatures and thermal cycling in vacuum of FEP-A covered silicon solar cells

    NASA Technical Reports Server (NTRS)

    Broder, J. D.; Marsik, S. J.

    1978-01-01

    Experiments were designed and performed on silicon solar cells covered with heat-bonded FEP-A in an effort to explain the rapid degeneration of open-circuit voltage and maximum power observered on cells of this type included in an experiment on the ATS-6 spacecraft. Solar cells were exposed to ultraviolet light in vacuum at temperatures ranging from 30 to 105 C. The samples were then subjected to thermal cycling from 130 to -130 C. Inspection following irradiation indicated that all the covers remained physically intact. However, during the temperature cycling heat-bonded covers showed cracking. The test showed that heat-bonded FEP-A covers embrittle during UV exposure and the embrittlement is dependent upon sample temperature during irradiation. The results of the experiment suggest a probable mechanism for the degradation of the FEP-A cells on ATS-6.

  17. Vacuum ultraviolet trimming of oxygenated functional groups from oxidized self-assembled hexadecyl monolayers in an evacuated environment

    NASA Astrophysics Data System (ADS)

    Soliman, Ahmed I. A.; Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki

    2017-09-01

    Vacuum ultraviolet light irradiation in dry air generates active oxygen species, which have powerful oxidation abilities. These active oxygen species (O) can oxidize the alkyl moieties of polymers, and generate new oxygenated groups such as OH, CHO and COOH groups. Reducing the oxygen content in the exposure environment decreases the rate of oxidation processes. In this study, we examined the influences of the 172 nm VUV irradiation in a high vacuum (HV, < 10-3 Pa) environment on the chemical constituents, surface properties and morphological structure of well-defined VUV/(O)-modified hexadecyl (HD-) self-assembled monolayer (SAM) prepared on hydrogen-terminated silicon (H-Si) substrate. After VUV light irradiation in a HV environment (HV-VUV), the chemical constituents and surface properties were changed in two distinct stages. At short irradiation time (the first stage), the Csbnd O and COO groups decreased rapidly, while the Cdbnd O groups slightly changed. The dissociation of nonderivatizable groups (such as ether (Csbnd Osbnd C) and ester (Csbnd COOsbnd C) groups) compensated the dissociated OH, CHO, Csbnd COsbnd C and COOH groups. With further irradiation (the second stage), the quantities of the oxygenated groups slightly decreased. The carbon skeleton (Csbnd C) of SAM was scarcely dissociated during the HV-VUV treatment. These chemical changes affected the surface properties, such as wettability and morphology.

  18. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  19. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  20. Outgassing Measurements for Three Materials, Combined with Vacuum Ultraviolet Radiation Illumination of the Volatile Condensable Materials

    NASA Technical Reports Server (NTRS)

    Albyn, Keith

    2005-01-01

    The photolysis of three organic materials, by vacuum ultraviolet (VUV) radiation, has been quantified using 15-MHz temperature-controlled quartz microbalances (TQCM's). The rate at which molecular species, released from the individual samples, condensed on two TQCM s was measured for periods of up to 139.9-hours. The individual samples were heated in an effusion cell and the emitted molecular species collected on a pair of TQCM's which were maintained at -40 degrees Celsius. At several points during the deposition measurement, the deposition surface of one TQCM was illuminated by a 30 Watt deuterium lamp, and the loss of material from that surface was observed. V W illumination of the TQCM, concurrent with condensation, reduced the rate that material was lost from the deposition surface. These measurements present a contrasting picture of molecular deposition, in the presence of VUV, to that presented by other investigators who observed an enhanced rate of molecular deposition, when the deposition surface was illuminated by VUV.

  1. Development of reflective optical systems for XUV projection lithography

    NASA Astrophysics Data System (ADS)

    Viswanathan, V. K.; Newnam, B. E.

    We describe two full-field reflective reduction systems (1 and 6.25 sq cm image area) and one scanning system (25 mm x scan length image size) that meet the performance requirements for 0.1-micron resolution projection lithography using extreme-ultraviolet (XUV) wavelengths from 10 to 15 nm. These systems consist of two centered, symmetric, annular aspheric mirrors with 35 to 40 percent central obscuration, providing a reduction ratio of 3.3 x. Outstanding features include the remarkably low distortion (less than or = 10 nm) over the entire image field and the comparatively liberal tolerances on the mirror radii and alignment. While optimized annular illumination can improve the performance, the required performance can be met with full illumination, thereby allowing a simpler system design.

  2. Optical proximity correction for anamorphic extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture extreme ultraviolet scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking. OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs that are more tolerant to mask errors.

  3. Optical proximity correction for anamorphic extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  4. Measurement of the vacuum-ultraviolet absorption spectrum of low-k dielectrics using X-ray reflectivity

    NASA Astrophysics Data System (ADS)

    Choudhury, F. A.; Nguyen, H. M.; King, S. W.; Lee, C. H.; Lin, Y. H.; Fung, H. S.; Chen, C. C.; Li, W.; Benjamin, D.; Blatz, J. M.; Nishi, Y.; Shohet, J. L.

    2018-02-01

    During plasma processing, low-k dielectrics are exposed to high levels of vacuum ultraviolet (VUV) radiation that can cause severe damage to dielectric materials. The degree and nature of VUV-induced damage depend on the VUV photon energies and fluence. In this work, we examine the VUV-absorption spectrum of low-k organosilicate glass using specular X-ray reflectivity (XRR). Low-k SiCOH films were exposed to synchrotron VUV radiation with energies ranging from 7 to 21 eV, and the density vs. depth profile of the VUV-irradiated films was extracted from fitting the XRR experimental data. The results show that the depth of the VUV-induced damage layer is a function of the photon energy. Between 7 and 11 eV, the depth of the damaged layer decreases sharply from 110 nm to 60 nm and then gradually increases to 85 nm at 21 eV. The maximum VUV absorption in low-k films occurs between 11 and 15 eV. The depth of the damaged layer was found to increase with film porosity.

  5. Broadband reflectance coatings for vacuum ultraviolet application

    NASA Technical Reports Server (NTRS)

    Herzig, Howard; Fleetwood, C. M., Jr.; Flint, B. K.

    1987-01-01

    An experimental investigation has obtained results indicating that neither LaF3 nor LiYF4 are acceptable alternatives to MgF2 as coatings for vacuum-deposited aluminum mirrors from which high UV reflectance down to 1150 A is required. Nevertheless, LaF3 may prove useful in those specialized applications in which the suppression of lower wavelength emissions, such as the 1216-A hydrogen line, is desirable.

  6. Recovery of Multilayer-Coated Zerodur and ULE Optics for Extreme-Ultraviolet Lithography by Recoating, Reactive-Ion Etching, and Wet-Chemical Processes.

    PubMed

    Mirkarimi, P B; Baker, S L; Montcalm, C; Folta, J A

    2001-01-01

    Extreme-ultraviolet lithography requires expensive multilayer-coated Zerodur or ULE optics with extremely tight figure and finish specifications. Therefore it is desirable to develop methods to recover these optics if they are coated with a nonoptimum multilayer films or in the event that the coating deteriorates over time owing to long-term exposure to radiation, corrosion, or surface contamination. We evaluate recoating, reactive-ion etching, and wet-chemical techniques for the recovery of Mo/Si and Mo/Be multilayer films upon Zerodur and ULE test optics. The recoating technique was successfully employed in the recovery of Mo/Si-coated optics but has the drawback of limited applicability. A chlorine-based reactive-ion etch process was successfully used to recover Mo/Si-coated optics, and a particularly large process window was observed when ULE optics were employed; this is an advantageous for large, curved optics. Dilute HCl wet-chemical techniques were developed and successfully demonstrated for the recovery of Mo/Be-coated optics as well as for Mo/Si-coated optics when Mo/Be release layers were employed; however, there are questions about the extendability of the HCl process to large optics and multiple coat and strip cycles. The technique of using carbon barrier layers to protect the optic during removal of Mo/Si in HF:HNO(3) also showed promise.

  7. Internal motion in high vacuum systems

    NASA Astrophysics Data System (ADS)

    Frank, J. M.

    Three transfer and positioning mechanisms have been developed for the non-air exposed, multistep processing of components in vacuum chambers. The functions to be performed in all of the systems include ultraviolet/ozone cleaning, vacuum baking, deposition of thin films, and thermocompression sealing of the enclosures. Precise positioning of the components is required during the evaporation and sealing processes. The three methods of transporting and positioning the components were developed to accommodate the design criteria and goals of each individual system. The design philosophy, goals, and operation of the three mechanisms are discussed.

  8. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, S.J.; Seppala, L.G.

    1998-04-07

    A critical illumination condenser system is disclosed, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 {micro}m source and requires a magnification of 26. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth. 6 figs.

  9. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  10. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, Simon J.; Seppala, Lynn G.

    1998-01-01

    A critical illumination condenser system, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 .mu.m source and requires a magnification of 26.times.. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth.

  11. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  12. Conventional and modified Schwarzschild objective for EUV lithography: design relations

    NASA Astrophysics Data System (ADS)

    Bollanti, S.; di Lazzaro, P.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.

    2006-12-01

    The design criteria of a Schwarzschild-type optical system are reviewed in relation to its use as an imaging system in an extreme ultraviolet lithography setup. Both the conventional and the modified reductor imaging configurations are considered, and the respective performances, as far as the geometrical resolution in the image plane is concerned, are compared. In this connection, a formal relation defining the modified configuration is elaborated, refining a rather naïve definition presented in an earlier work. The dependence of the geometrical resolution on the image-space numerical aperture for a given magnification is investigated in detail for both configurations. So, the advantages of the modified configuration with respect to the conventional one are clearly evidenced. The results of a semi-analytical procedure are compared with those obtained from a numerical simulation performed by an optical design program. The Schwarzschild objective based system under implementation at the ENEA Frascati Center within the context of the Italian FIRB project for EUV lithography has been used as a model. Best-fit functions accounting for the behaviour of the system parameters vs. the numerical aperture are reported; they can be a useful guide for the design of Schwarzschild objective type optical systems.

  13. Development of two-channel prototype ITER vacuum ultraviolet spectrometer with back-illuminated charge-coupled device and microchannel plate detectors.

    PubMed

    Seon, C R; Choi, S H; Cheon, M S; Pak, S; Lee, H G; Biel, W; Barnsley, R

    2010-10-01

    A vacuum ultraviolet (VUV) spectrometer of a five-channel spectral system is designed for ITER main plasma impurity measurement. To develop and verify the system design, a two-channel prototype system is fabricated with No. 3 (14.4-31.8 nm) and No. 4 (29.0-60.0 nm) among the five channels. The optical system consists of a collimating mirror to collect the light from source to slit, two holographic diffraction gratings with toroidal geometry, and two different electronic detectors. For the test of the prototype system, a hollow cathode lamp is used as a light source. To find the appropriate detector for ITER VUV system, two kinds of detectors of the back-illuminated charge-coupled device and the microchannel plate electron multiplier are tested, and their performance has been investigated.

  14. Solid state direct bonding of polymers by vacuum ultraviolet light below 160 nm

    NASA Astrophysics Data System (ADS)

    Hashimoto, Yuki; Yamamoto, Takatoki

    2017-10-01

    This work investigated the application of vacuum ultraviolet (VUV) irradiation to the bonding of various substrates, including glass, polycarbonate (PC), cyclic olefin polymer (COP), polydimethylsiloxane (PDMS) and polymethyl methacrylate (PMMA). This method has the advantage of being able to bond various substrates without the application of heat or adhesives, and therefore may be very useful in the fabrication of micro/nanoscale structures composed of polymers. In contrast to previous applications of this technique, the present study used VUV radiation at wavelengths at and below 160 nm so as to take advantage of the higher energy in this range. Bonding was assessed based on measuring the shear stress of various test specimens subjected to VUV irradiation and then pressed together, and a number of analytical methods were also employed to examine the irradiated surfaces in order to elucidate the morphological and chemical changes following VUV treatment. These analyses included water contact angle measurements, attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), time of flight secondary ion mass spectrometry (TOF-SIMS) and atomic force microscopy (AFM). Poor bonding was identified between combinations consisting of PMMA/PC, PMMA/COP, PMMA/PMMA, PMMA/glass, and PC/COP, whereas all other combinations resulted in successful bonding with the bonding stress values such as PC/PC = 2.0 MPa, PC/glass = 10.7 MPa and COP/COP = 1.7 MPa, respectively.

  15. Highly coherent vacuum ultraviolet radiation at the 15th harmonic with echo-enabled harmonic generation technique

    NASA Astrophysics Data System (ADS)

    Hemsing, E.; Dunning, M.; Hast, C.; Raubenheimer, T. O.; Weathersby, S.; Xiang, D.

    2014-07-01

    X-ray free-electron lasers are enabling access to new science by producing ultrafast and intense x rays that give researchers unparalleled power and precision in examining the fundamental nature of matter. In the quest for fully coherent x rays, the echo-enabled harmonic generation technique is one of the most promising methods. In this technique, coherent radiation at the high harmonic frequencies of two seed lasers is generated from the recoherence of electron beam phase space memory. Here we report on the generation of highly coherent and stable vacuum ultraviolet radiation at the 15th harmonic of an infrared seed laser with this technique. The experiment demonstrates two distinct advantages that are intrinsic to the highly nonlinear phase space gymnastics of echo-enabled harmonic generation in a new regime, i.e., high frequency up-conversion efficiency and insensitivity to electron beam phase space imperfections. Our results allow comparison and confirmation of predictive models and scaling laws, and mark a significant step towards fully coherent x-ray free-electron lasers that will open new scientific research.

  16. Preparation of Mirror Coatings for the Vacuum Ultraviolet in a 2-m Evaporator.

    PubMed

    Bradford, A P; Hass, G; Osantowski, J F; Toft, A R

    1969-06-01

    The design and features of a 2-m evaporator suitable for coating large mirrors uniformly with Al + MgF(2) and Al + LiF films of high reflectance in the vacuum uv are described. The techniques used for monitoring film thicknesses during the film deposition and for producing films of uniform thicknesses over large areas are discussed. It is shown that the Al films for MgF(2)_ and LiF-protected mirrors of highest reflectance in the vacuum uv down to 1000 A should be 700-800 A thick. Data on the vacuum uv reflectance of Al coated with MgF(2) films of various thicknesses are presented. It was found that mirror coatings prepared in a large evaporator have a higher reflectance in the vacuum uv than those deposited under the same vacuum and deposition conditions in a small vacuum unit. At lambda = 1216 A, the reflectance of Al overcoated with 250 A of MgF(2) was measured to be about 85%.

  17. International Test Program for Synergistic Atomic Oxygen and Vacuum Ultraviolet Radiation Exposure of Spacecraft Materials

    NASA Technical Reports Server (NTRS)

    Miller, Sharon K.

    2001-01-01

    The components and materials of spacecraft in low Earth orbit can degrade in thermal and optical performance through interaction with atomic oxygen and vacuum ultraviolet (VUV) radiation, which are predominant in low Earth orbit. Because of the importance of low Earth orbit durability and performance to manufacturers and users, an international test program for assessing the durability of spacecraft materials and components was initiated. Initial tests at the NASA Glenn Research Center consisted of exposure of samples representing a variety of thermal control paints, multilayer insulation materials, and Sun sensors that have been used in space. Materials donated from various international sources were tested alongside materials whose performance is well known, such as Teflon FEP, Kapton H, or Z-93-P white paint. The optical, thermal, or mass loss data generated during the tests were then provided to the participating material suppliers. Data were not published unless the participant donating the material consented to publication. The test program is intended to give spacecraft builders and users a better understanding of degradation processes and effects so that they can improve their predictions of spacecraft performance.

  18. Reflection polarizers for the vacuum ultraviolet using Al + MgF2 mirrors and an MgF2 plate

    NASA Technical Reports Server (NTRS)

    Hass, G.; Hunter, W. R.

    1978-01-01

    Consideration is given to the design and operation of a three-mirror reflecting polarizer where one of the reflecting surfaces is an MgF2 plate, the other surfaces are Al + MgF2 coatings, and one reflection occurs at or near the true Brewster angle. It is found that the polarizer is most efficient in the 1200-2000 A wavelength region, and that by optimum selection of the angle of incidence on the MgF2 plate, polarization values of 100 and over are yielded from 900 to 3000 A. The polarizer may be used at wavelengths as short as 500 A, although it is observed that at such wavelengths the polarization value decreases to about 10. It is noted that all reflecting polarizers operating in the vacuum ultraviolet wavelength may manifest changing characteristics as their mirrors become contaminated, and that polarization must therefore be occasionally remeasured.

  19. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  20. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  1. Radiometric calibration of the vacuum-ultraviolet spectrograph SUMER on the SOHO spacecraft with the B detector.

    PubMed

    Schühle, U; Curdt, W; Hollandt, J; Feldman, U; Lemaire, P; Wilhelm, K

    2000-01-20

    The Solar Ultraviolet Measurement of Emitted Radiation (SUMER) vacuum-ultraviolet spectrograph was calibrated in the laboratory before the integration of the instrument on the Solar and Heliospheric Observatory (SOHO) spacecraft in 1995. During the scientific operation of the SOHO it has been possible to track the radiometric calibration of the SUMER spectrograph since March 1996 by a strategy that employs various methods to update the calibration status and improve the coverage of the spectral calibration curve. The results for the A Detector were published previously [Appl. Opt. 36, 6416 (1997)]. During three years of operation in space, the B detector was used for two and one-half years. We describe the characteristics of the B detector and present results of the tracking and refinement of the spectral calibration curves with it. Observations of the spectra of the stars alpha and rho Leonis permit an extrapolation of the calibration curves in the range from 125 to 149.0 nm. Using a solar coronal spectrum observed above the solar disk, we can extrapolate the calibration curves by measuring emission line pairs with well-known intensity ratios. The sensitivity ratio of the two photocathode areas can be obtained by registration of many emission lines in the entire spectral range on both KBr-coated and bare parts of the detector's active surface. The results are found to be consistent with the published calibration performed in the laboratory in the wavelength range from 53 to 124 nm. We can extrapolate the calibration outside this range to 147 nm with a relative uncertainty of ?30% (1varsigma) for wavelengths longer than 125 nm and to 46.5 nm with 50% uncertainty for the short-wavelength range below 53 nm.

  2. Soft x-ray microscopy and extreme ultraviolet lithography: Imaging in the 20-50 nm regime (abstract) (invited)

    NASA Astrophysics Data System (ADS)

    Attwood, David

    2002-03-01

    Advances in short wavelength optics, covering the range from 1 to 14 nm, are providing new results and new opportunities. Zone plate lenses [E. Anderson et al., J. Vac. Sci. Techno. B 18, 2970 (2000)] for soft x-ray microscopy [G. Denbeaux, Rev. Sci. Instrum. (these proceedings); W. Chao, Proc. SPIE 4146, 171 (2000)] are now made to high accuracy with outer zone widths of 25 nm, and demonstrated resolution of 23 nm with proper illumination and stability. These permit important advances in the study of protein specific transport and structure in the life sciences [C. Larabell (private communication); W. Meyer-Ilse et al., J. Microsc. 201, 395 (2001)] and the study of magnetic materials [P. Fischer et al., J. Synchrotron. Radiat. 8, 325 (2001)] with elemental sensitivity at the resolution of individual domains. Major corporations (members of the EUV Limited Liability Company are Intel, Motorola, AMD, Micron, Infineon, and IBM) are now preparing the path for the fabrication of future computer chips, in the years 2007 and beyond, using multilayer coated reflective optics, which achieve reflectivities of 70% in the 11-14 nm region [T. Barbee et al., Appl. Opt. 24, 883 (1985); C. Montcalm et al., Proc. SPIE 3676, 710 (1999)]. These coated optics are to be incorporated in extreme ultraviolet (EUV) print cameras, known as "steppers." Electronic patterns with features in the range of 50-70 nm have been printed. The first alpha tool stepper recently demonstrated all critical technologies [D. Tichenor et al., Proc. SPIE 4343, 19 (2001)] needed for EUV lithography. Preproduction beta tools are targeted for delivery by leading suppliers [ASML, the Netherlands, at the SPIE Microlithography Conference, Santa Clara, CA, March 2001] in 2004, with high volume production tools available in late 2006 for manufacturing in 2007. New results in these two areas will be discussed in the context of the synergy of science and technology.

  3. Attosecond transient absorption of argon atoms in the vacuum ultraviolet region: line energy shifts versus coherent population transfer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, Wei; Warrick, Erika R.; Neumark, Daniel M.

    Using attosecond transient absorption, the dipole response of an argon atom in the vacuum ultraviolet (VUV) region is studied when an external electromagnetic field is present. An isolated attosecond VUV pulse populates Rydberg states lying 15 eV above the argon ground state. A synchronized few-cycle near infrared (NIR) pulse modifies the oscillating dipoles of argon impulsively, leading to alterations in the VUV absorption spectra. As the NIR pulse is delayed with respect to the VUV pulse, multiple features in the absorption profile emerge simultaneously including line broadening, sideband structure, sub-cycle fast modulations, and 5-10 fs slow modulations. These features indicatemore » the coexistence of two general processes of the light-matter interaction: the energy shift of individual atomic levels and coherent population transfer between atomic eigenstates, revealing coherent superpositions. Finally, an intuitive formula is derived to treat both effects in a unifying framework, allowing one to identify and quantify the two processes in a single absorption spectrogram.« less

  4. Attosecond transient absorption of argon atoms in the vacuum ultraviolet region: line energy shifts versus coherent population transfer

    NASA Astrophysics Data System (ADS)

    Cao, Wei; Warrick, Erika R.; Neumark, Daniel M.; Leone, Stephen R.

    2016-01-01

    Using attosecond transient absorption, the dipole response of an argon atom in the vacuum ultraviolet (VUV) region is studied when an external electromagnetic field is present. An isolated attosecond VUV pulse populates Rydberg states lying 15 eV above the argon ground state. A synchronized few-cycle near infrared (NIR) pulse modifies the oscillating dipoles of argon impulsively, leading to alterations in the VUV absorption spectra. As the NIR pulse is delayed with respect to the VUV pulse, multiple features in the absorption profile emerge simultaneously including line broadening, sideband structure, sub-cycle fast modulations, and 5-10 fs slow modulations. These features indicate the coexistence of two general processes of the light-matter interaction: the energy shift of individual atomic levels and coherent population transfer between atomic eigenstates, revealing coherent superpositions. An intuitive formula is derived to treat both effects in a unifying framework, allowing one to identify and quantify the two processes in a single absorption spectrogram.

  5. Attosecond transient absorption of argon atoms in the vacuum ultraviolet region: line energy shifts versus coherent population transfer

    DOE PAGES

    Cao, Wei; Warrick, Erika R.; Neumark, Daniel M.; ...

    2016-01-18

    Using attosecond transient absorption, the dipole response of an argon atom in the vacuum ultraviolet (VUV) region is studied when an external electromagnetic field is present. An isolated attosecond VUV pulse populates Rydberg states lying 15 eV above the argon ground state. A synchronized few-cycle near infrared (NIR) pulse modifies the oscillating dipoles of argon impulsively, leading to alterations in the VUV absorption spectra. As the NIR pulse is delayed with respect to the VUV pulse, multiple features in the absorption profile emerge simultaneously including line broadening, sideband structure, sub-cycle fast modulations, and 5-10 fs slow modulations. These features indicatemore » the coexistence of two general processes of the light-matter interaction: the energy shift of individual atomic levels and coherent population transfer between atomic eigenstates, revealing coherent superpositions. Finally, an intuitive formula is derived to treat both effects in a unifying framework, allowing one to identify and quantify the two processes in a single absorption spectrogram.« less

  6. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  7. Mask fabrication and its applications to extreme ultra-violet diffractive optics

    NASA Astrophysics Data System (ADS)

    Cheng, Yang-Chun

    Short-wavelength radiation around 13nm of wavelength (Extreme Ultra-Violet, EUV) is being considered for patterning microcircuits, and other electronic chips with dimensions in the nanometer range. Interferometric Lithography (IL) uses two beams of radiation to form high-resolution interference fringes, as small as half the wavelength of the radiation used. As a preliminary step toward manufacturing technology, IL can be used to study the imaging properties of materials in a wide spectral range and at nanoscale dimensions. A simple implementation of IL uses two transmission diffraction gratings to form the interference pattern. More complex interference patterns can be created by using different types of transmission gratings. In this thesis, I describe the development of a EUV lithography system that uses diffractive optical elements (DOEs), from simple gratings to holographic structures. The exposure system is setup on a EUV undulator beamline at the Synchrotron Radiation Center, in the Center for NanoTechnology clean room. The setup of the EUV exposure system is relatively simple, while the design and fabrication of the DOE "mask" is complex, and relies on advanced nanofabrication techniques. The EUV interferometric lithography provides reliable EUV exposures of line/space patterns and is ideal for the development of EUV resist technology. In this thesis I explore the fabrication of these DOE for the EUV range, and discuss the processes I have developed for the fabrication of ultra-thin membranes. In addition, I discuss EUV holographic lithography and generalized Talbot imaging techniques to extend the capability of our EUV-IL system to pattern arbitrary shapes, using more coherent sources than the undulator. In a series of experiments, we have demonstrated the use of a soft X-ray (EUV) laser as effective source for EUV lithography. EUV-IL, as implemented at CNTech, is being used by several companies and research organizations to characterize photoresist

  8. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  9. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  10. Vacuum and ultraviolet radiation effects on binders and pigments for spacecraft thermal control coatings

    NASA Technical Reports Server (NTRS)

    Progar, D. J.; Wade, W. R.

    1971-01-01

    An evaluation of several silicone resin binders and powdered inorganic pigments for potential use in spacecraft thermal-control paint formulations is presented. The pigments were selected on the basis of a hypothesis relating the heat of formation of a compound to the compound's resistance to ultra-radiation-induced degradation. Reflectance measurements were made in situ to determine degradation rates due to ultraviolet radiation. The tested polydimethylsiloxane resins were not significantly affected by long exposures to ultraviolet radiation. All the pigments, which were dispersed in a polydimethylsiloxane resin, were degraded by ultraviolet radiation as determined by an increase of solar absorptance. For the materials evaluated in this study, no evidence was found to indicate that pigments with high heats of formation were resistant to ultraviolet degradation.

  11. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  12. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  13. Dynamic photolytical actinometry of the vacuum-ultraviolet radiation produced by multichannel surface discharges of submicrosecond duration.

    PubMed

    Tcheremiskine, V I; Uteza, O P; Sentis, M L; Mikheev, L D

    2007-06-01

    Absolute measurements of the vacuum-ultraviolet (VUV) radiation power produced by a planar broadband optical source of submicrosecond light pulse duration are carried out in the transient regime of formation of a photodissociation (bleaching) wave in a photodecomposing absorptive medium. The source is based on a multichannel surface discharge initiated in ArN(2) gas mixtures on the area of approximately 0.1 m(2). The energetic characteristics of the produced VUV radiation are determined on the basis of spatially and temporally resolved observations of the pulsed photolysis of XeF(2) vapors. It is shown that the photon flux intensity produced by the source within the spectral range of 120-200 nm reaches 1.1 x 10(23) photonscm(2) s corresponding to the effective brightness temperature of discharge plasma of 20 kK and to the intrinsic efficiency of the discharge VUV emission of 3.2%. Numerical simulations of the photolysis process show a rather weak sensitivity of the results to the fraction of discharge radiation emitted into the line spectrum, as well as to the angular distribution of emitted radiation. The spectral band of measurements can be selected according to the choice of parent photodecomposing particles.

  14. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition,more » the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.« less

  15. The efficacy of post porosity plasma protection against vacuum-ultraviolet damage in porous low-k materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lionti, K.; Volksen, W.; Darnon, M.

    2015-03-21

    As of today, plasma damage remains as one of the main challenges to the reliable integration of porous low-k materials into microelectronic devices at the most aggressive node. One promising strategy to limit damage of porous low-k materials during plasma processing is an approach we refer to as post porosity plasma protection (P4). In this approach, the pores of the low-k material are filled with a sacrificial agent prior to any plasma treatment, greatly minimizing the total damage by limiting the physical interactions between plasma species and the low-k material. Interestingly, the contribution of the individual plasma species to themore » total plasma damage is not fully understood. In this study, we investigated the specific damaging effect of vacuum-ultraviolet (v-UV) photons on a highly porous, k = 2.0 low-k material and we assessed the P4 protective effect against them. It was found that the impact of the v-UV radiation varied depending upon the v-UV emission lines of the plasma. More importantly, we successfully demonstrated that the P4 process provides excellent protection against v-UV damage.« less

  16. Degradation of organic pollutants by Vacuum-Ultraviolet (VUV): Kinetic model and efficiency.

    PubMed

    Xie, Pengchao; Yue, Siyang; Ding, Jiaqi; Wan, Ying; Li, Xuchun; Ma, Jun; Wang, Zongping

    2018-04-15

    Vacuum-Ultraviolet (VUV), an efficient and green method to produce hydroxyl radical (•OH), is effective in degrading numerous organic contaminants in aqueous solution. Here, we proposed an effective and simple kinetic model to describe the degradation of organic pollutants in VUV system, by taking the •OH scavenging effects of formed organic intermediates as co-existing organic matter in whole. Using benzoic acid (BA) as a •OH probe, •OH was regarded vital for pollutant degradation in VUV system, and the thus developed model successfully predicted its degradation kinetics under different conditions. Effects of typical influencing factors such as BA concentrations and UV intensity were investigated quantitatively by the model. Temperature was found to be an important influencing factor in the VUV system, and the quantum yield of •OH showed a positive linear dependence on temperature. Impacts of humic acid (HA), alkalinity, chloride, and water matrices (realistic waters) on the oxidation efficiency were also examined. BA degradation was significantly inhibited by HA due to its scavenging of •OH, but was influenced much less by the alkalinity and chloride; high oxidation efficiency was still obtained in the realistic water. The degradation kinetics of three other typical micropollutants including bisphenol A (BPA), nitrobenzene (NB) and dimethyl phthalate (DMP), and the mixture of co-existing BA, BPA and DMP were further studied, and the developed model predicted the experimental data well, especially in realistic water. It is expected that this study will provide an effective approach to predict the degradation of organic micropollutants by the promising VUV system, and broaden the application of VUV system in water treatment. Copyright © 2018 Elsevier Ltd. All rights reserved.

  17. Vacuum ultraviolet instrumentation for solar irradiance and thermospheric airglow

    NASA Technical Reports Server (NTRS)

    Woods, Thomas N.; Rottman, Gary J.; Bailey, Scott M.; Solomon, Stanley C.

    1993-01-01

    A NASA sounding rocket experiment was developed to study the solar extreme ultraviolet (EUV) spectral irradiance and its effect on the upper atmosphere. Both the solar flux and the terrestrial molecular nitrogen via the Lyman-Birge-Hopfield bands in the far ultraviolet (FUV) were measured remotely from a sounding rocket on October 27, 1992. The rocket experiment also includes EUV instruments from Boston University (Supriya Chakrabarti), but only the National Center for Atmospheric Research (NCAR)/University of Colorado (CU) four solar instruments and one airglow instrument are discussed here. The primary solar EUV instrument is a 1/4 meter Rowland circle EUV spectrograph which has flown on three rockets since 1988 measuring the solar spectral irradiance from 30 to 110 nm with 0.2 nm resolution. Another solar irradiance instrument is an array of six silicon XUV photodiodes, each having different metallic filters coated directly on the photodiodes. This photodiode system provides a spectral coverage from 0.1 to 80 nm with about 15 nm resolution. The other solar irradiance instrument is a silicon avalanche photodiode coupled with pulse height analyzer electronics. This avalanche photodiode package measures the XUV photon energy providing a solar spectrum from 50 to 12,400 eV (25 to 0.1 nm) with an energy resolution of about 50 eV. The fourth solar instrument is an XUV imager that images the sun at 17.5 nm with a spatial resolution of 20 arc-seconds. The airglow spectrograph measures the terrestrial FUV airglow emissions along the horizon from 125 to 160 nm with 0.2 nm spectral resolution. The photon-counting CODACON detectors are used for three of these instruments and consist of coded arrays of anodes behind microchannel plates. The one-dimensional and two-dimensional CODACON detectors were developed at CU by Dr. George Lawrence. The pre-flight and post-flight photometric calibrations were performed at our calibration laboratory and at the Synchrotron Ultraviolet

  18. Electronic state spectroscopy by high-resolution vacuum ultraviolet photoabsorption, He(I) photoelectron spectroscopy and ab initio calculations of ethyl acetate

    NASA Astrophysics Data System (ADS)

    Śmialek, Malgorzata A.; Łabuda, Marta; Guthmuller, Julien; Hubin-Franskin, Marie-Jeanne; Delwiche, Jacques; Hoffmann, Søren Vrønning; Jones, Nykola C.; Mason, Nigel J.; Limão-Vieira, Paulo

    2016-06-01

    The high-resolution vacuum ultraviolet photoabsorption spectrum of ethyl acetate, C4H8O2, is presented over the energy range 4.5-10.7 eV (275.5-116.0 nm). Valence and Rydberg transitions and their associated vibronic series observed in the photoabsorption spectrum, have been assigned in accordance with new ab initio calculations of the vertical excitation energies and oscillator strengths. Also, the photoabsorption cross sections have been used to calculate the photolysis lifetime of this ester in the upper stratosphere (20-50 km). Calculations have also been carried out to determine the ionisation energies and fine structure of the lowest ionic state of ethyl acetate and are compared with a newly recorded photoelectron spectrum (from 9.5 to 16.7 eV). Vibrational structure is observed in the first photoelectron band of this molecule for the first time.

  19. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  20. High-Throughput Nanofabrication of Infra-red and Chiral Metamaterials using Nanospherical-Lens Lithography

    PubMed Central

    Chang, Yun-Chorng; Lu, Sih-Chen; Chung, Hsin-Chan; Wang, Shih-Ming; Tsai, Tzung-Da; Guo, Tzung-Fang

    2013-01-01

    Various infra-red and planar chiral metamaterials were fabricated using the modified Nanospherical-Lens Lithography. By replacing the light source with a hand-held ultraviolet lamp, its asymmetric light emission pattern produces the elliptical-shaped photoresist holes after passing through the spheres. The long axis of the ellipse is parallel to the lamp direction. The fabricated ellipse arrays exhibit localized surface plasmon resonance in mid-infra-red and are ideal platforms for surface enhanced infra-red absorption (SEIRA). We also demonstrate a way to design and fabricate complicated patterns by tuning parameters in each exposure step. This method is both high-throughput and low-cost, which is a powerful tool for future infra-red metamaterials applications. PMID:24284941

  1. Note: a novel vacuum ultraviolet light source assembly with aluminum-coated electrodes for enhancing the ionization efficiency of photoionization mass spectrometry.

    PubMed

    Zhu, Zhixiang; Wang, Jian; Qiu, Keqing; Liu, Chengyuan; Qi, Fei; Pan, Yang

    2014-04-01

    A novel vacuum ultraviolet (VUV) light source assembly (VUVLSA) for enhancing the ionization efficiency of photoionization mass spectrometer has been described. The VUVLSA composes of a Krypton lamp and a pair of disk electrodes with circular center cavities. The two interior surfaces that face the photoionization region were aluminum-coated. VUV light can be reflected back and forth in the photoionization region between the electrodes, thus the photoionization efficiency can be greatly enhanced. The performances of two different shaped electrodes, the coated double flat electrodes (DFE), and double conical electrodes, were studied. We showed that the signal amplification of coated DFE is around 4 times higher than that of uncoated electrodes without VUV light reflection. The relationship between the pressure of ionization chamber and mass signal enhancement has also been studied.

  2. Swords to plowshares: Shock wave applications to advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trucano, T.G.; Grady, D.E.; Kubiak, G.D.

    1995-03-01

    Extreme UltraViolet Lithography (EUVL) seeks to apply radiation in a wavelength region centered near 13 nm to produce microcircuits having features sizes 0.1 micron or less. A critical requirement for the commercial application of this technology is the development of an economical, compact source of this radiation which is suitable for lithographic applications. A good candidate is a laser-plasma source, which is generated by the interaction of an intermediate intensity laser pulse (up to 10{sup 12} W/cm{sup 2}) with a metallic target. While such a source has radiative characteristics which satisfy the needs of an EUVL source, the debris generatedmore » during the laser-target interaction strikes at the economy of the source. Here, the authors review the use of concepts and computer modeling, originally developed for hypervelocity impact analysis, to study this problem.« less

  3. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  4. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  5. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  6. MoRu/Be multilayers for extreme ultraviolet applications

    DOEpatents

    Bajt, Sasa C.; Wall, Mark A.

    2001-01-01

    High reflectance, low intrinsic roughness and low stress multilayer systems for extreme ultraviolet (EUV) lithography comprise amorphous layers MoRu and crystalline Be layers. Reflectance greater than 70% has been demonstrated for MoRu/Be multilayers with 50 bilayer pairs. Optical throughput of MoRu/Be multilayers can be 30-40% higher than that of Mo/Be multilayer coatings. The throughput can be improved using a diffusion barrier to make sharper interfaces. A capping layer on the top surface of the multilayer improves the long-term reflectance and EUV radiation stability of the multilayer by forming a very thin native oxide that is water resistant.

  7. Engineering support for an ultraviolet imager for the ISTP mission

    NASA Technical Reports Server (NTRS)

    Torr, Douglas G.

    1991-01-01

    Design and development activities were carried out for the Ultraviolet Imager (UVI) to be flown on the Polar Spacecraft of the INternational Solar Terrestrial Physics (ISTP) Mission. The following tasks were performed: (1) design and fabrication of prototype/engineering model of the UVI imager; (2) preliminary design review; (3) vacuum ultraviolet filter design; (4) auroral energy deposition code; (5) model of LBH vehicle glow; (6) laboratory measurement program of collision cross-sections; and (7) support of ISTP meetings.

  8. Vacuum polarization in Coulomb field revisited

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zamastil, J., E-mail: zamastil@karlov.mff.cuni.cz; Šimsa, D.

    2017-04-15

    Simplified derivation of Wichmann–Kroll term is presented. The derivation uses two formulas for hypergeometric functions, but otherwise is elementary. It is found that Laplace transform of the vacuum charge density diverges at zero momentum transfer. This divergence has nothing to do with known ultraviolet divergence. The latter is related to the large momentum behavior of the pertinent integral, while the former to the small momentum behavior. When these divergences are removed, the energy shift caused by vacuum polarization for an ordinary hydrogen obtained here is in an exact agreement with the result obtained by Wichmann and Kroll. Also, for muonicmore » hydrogen the result obtained here reasonably agrees with that given in literature.« less

  9. Isobutyl acetate: electronic state spectroscopy by high-resolution vacuum ultraviolet photoabsorption, He(I) photoelectron spectroscopy and ab initio calculations

    NASA Astrophysics Data System (ADS)

    Śmiałek, Malgorzata A.; Łabuda, Marta; Hubin-Franskin, Marie-Jeanne; Delwiche, Jacques; Hoffmann, Søren Vrønning; Jones, Nykola C.; Mason, Nigel J.; Limão-Vieira, Paulo

    2017-05-01

    The high-resolution vacuum ultraviolet photoabsorption spectrum of isobutyl acetate, C6H12O2, is presented here and was measured over the energy range 4.3-10.8 eV (290-115 nm). Valence and Rydberg transitions with their associated vibronic series have been observed in the photoabsorption spectrum and are assigned in accordance with new ab initio calculations of the vertical excitation energies and oscillator strengths. The measured photoabsorption cross sections have been used to calculate the photolysis lifetime of this ester in the Earth's upper atmosphere (20-50 km). Calculations have also been carried out to determine the ionization energies and fine structure of the lowest ionic state of isobutyl acetate and are compared with a photoelectron spectrum (from 9.5 to 16.7 eV), recorded for the first time. Vibrational structure is observed in the first photoelectron band of this molecule. Contribution to the Topical Issue: "Dynamics of Systems at the Nanoscale", edited by Andrey Solov'yov and Andrei Korol.

  10. Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography

    DOEpatents

    Montcalm, Claude; Stearns, Daniel G.; Vernon, Stephen P.

    1999-01-01

    A passivating overcoat bilayer is used for multilayer reflective coatings for extreme ultraviolet (EUV) or soft x-ray applications to prevent oxidation and corrosion of the multilayer coating, thereby improving the EUV optical performance. The overcoat bilayer comprises a layer of silicon or beryllium underneath at least one top layer of an elemental or a compound material that resists oxidation and corrosion. Materials for the top layer include carbon, palladium, carbides, borides, nitrides, and oxides. The thicknesses of the two layers that make up the overcoat bilayer are optimized to produce the highest reflectance at the wavelength range of operation. Protective overcoat systems comprising three or more layers are also possible.

  11. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  12. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  13. Vacuum ultraviolet spectra of the late twilight airglow.

    NASA Technical Reports Server (NTRS)

    Buckley, J. L.; Moos, H. W.

    1971-01-01

    Evaluation of sounding rocket spectra of the late twilight (solar-zenith angle of 120 deg) ultraviolet airglow between 1260 and 1900 A. The only observed features are O I 1304 and 1356. When the instrument looked at an elevation of 17 deg above the western horizon, the brightnesses were 70 and 33 rayleighs, respectively. The upper limits on the total intensity of the Lyman-Birge-Hopfield and Vegard-Kaplan systems of N2 were 26 plus or minus 26 and 55 plus or minus 55 rayleighs, respectively. An estimate shows that a large part of the O I emissions may be due to excitation by conjugate-point electrons.

  14. A thermal vacuum-UV solar simulator test system for assessing microbiological viability

    NASA Technical Reports Server (NTRS)

    Ross, D. S.; Wardle, M. D.; Taylor, D. M.

    1975-01-01

    Microorganisms were exposed to a simulated space environment in order to assess the photobiological effect of broad spectrum, nonionizing solar electromagnetic radiation in terms of viability. A thermal vacuum chamber capable of maintaining a vacuum of 0.000133n/sq m and an ultraviolet rich solar simulator were the main ingredients of the test system. Results to date indicate the system to be capable of providing reliable microbiological data.

  15. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  16. Fourier-transform spectroscopy of HD in the vacuum ultraviolet at λ = 87-112 nm

    NASA Astrophysics Data System (ADS)

    Ivanov, T. I.; Dickenson, G. D.; Roudjane, M.; de Oliveira, N.; Joyeux, D.; Nahon, L.; Tchang-Brillet, W.-Ü. L.; Ubachs, W.

    2010-03-01

    Absorption spectroscopy in the vacuum ultraviolet (VUV) domain was performed on the hydrogen-deuteride molecule with a novel Fourier-transform spectrometer based upon wavefront division interferometry. This unique instrument, which is a permanent endstation of the undulator-based beamline DESIRS on the synchrotron SOLEIL facility, opens the way to Fourier-transform spectroscopy in the VUV range. The HD spectral lines in the Lyman and Werner bands were recorded in the 87-112 nm range from a quasi-static gas sample in a windowless configuration and with a Doppler-limited resolution. Line positions of some 268 transitions in the ? Lyman bands and 141 transitions in the ? Werner bands were deduced with uncertainties of 0.04 cm-1 (1σ) which correspond to Δλ/λ ∼ 4 × 10-7. This extensive laboratory database is of relevance for comparison with astronomical observations of H2 and HD spectra from highly redshifted objects, with the goal of extracting a possible variation of the proton-to-electron mass ratio (μ = m p /m e ) on a cosmological time scale. For this reason also calculations of the so-called sensitivity coefficients K i were performed in order to allow for deducing constraints on Δμ/μ. The K i coefficients, associated with the line shift that each spectral line undergoes as a result of a varying value for μ, were derived from calculations as a function of μ solving the Schrödinger equation using ab initio potentials.

  17. Determination of ionization energies of CnN (n=4-12): Vacuum-ultraviolet (VUV) photoionization experiments and theoretical calculations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kostko, Oleg; Zhou, Jia; Sun, Bian Jian

    2010-06-10

    Results from single photon vacuum ultraviolet photoionization of astrophysically relevant CnN clusters, n = 4 - 12, in the photon energy range of 8.0 eV to 12.8 eV are presented. The experimental photoionization efficiency curves, combined with electronic structure calculations, provide improved ionization energies of the CnN species. A search through numerous nitrogen-terminated CnN isomers for n=4-9 indicates that the linear isomer has the lowest energy, and therefore should be the most abundant isomer in the molecular beam. Comparison with calculated results also shed light on the energetics of the linear CnN clusters, particularly in the trends of the even-carbonmore » and the odd-carbon series. These results can help guide the search of potential astronomical observations of these neutral molecules together with their cations in highly ionized regions or regions with a high UV/VUV photon flux (ranging from the visible to VUV with flux maxima in the Lyman- region) in the interstellar medium.« less

  18. Determination of ionization energies of CnN (n=4-12): Vacuum-ultraviolet (VUV) photoionization experiments and theoretical calculations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kostko, Oleg; Zhou, Jia; Sun, Bian Jian

    2010-03-02

    Results from single photon vacuum ultraviolet photoionization of astrophysically relevant CnN clusters, n = 4 - 12, in the photon energy range of 8.0 eV to 12.8 eV are presented. The experimental photoionization efficiency curves, combined with electronic structure calculations, provide improved ionization energies of the CnN species. A search through numerous nitrogen-terminated CnN isomers for n=4-9 indicates that the linear isomer has the lowest energy, and therefore should be the most abundant isomer in the molecular beam. Comparison with calculated results also shed light on the energetics of the linear CnN clusters, particularly in the trends of the even-carbonmore » and the odd-carbon series. These results can help guide the search of potential astronomical observations of these neutral molecules together with their cations in highly ionized regions or regions with a high UV/VUV photon flux (ranging from the visible to VUV with flux maxima in the Lyman-a region) in the interstellar medium.« less

  19. Evaluation of Thermal Control Coatings and Polymeric Materials Exposed to Ground Simulated Atomic Oxygen and Vacuum Ultraviolet Radiation

    NASA Technical Reports Server (NTRS)

    Kamenetzky, R. R.; Vaughn, J. A.; Finckenor, M. M.; Linton, R. C.

    1995-01-01

    Numerous thermal control and polymeric samples with potential International Space Station applications were evaluated for atomic oxygen and vacuum ultraviolet radiation effects in the Princeton Plasma Physics Laboratory 5 eV Neutral Atomic Oxygen Facility and in the MSFC Atomic Oxygen Drift Tube System. Included in this study were samples of various anodized aluminum samples, ceramic paints, polymeric materials, and beta cloth, a Teflon-impregnated fiberglass cloth. Aluminum anodizations tested were black duranodic, chromic acid anodize, and sulfuric acid anodize. Paint samples consisted of an inorganic glassy black paint and Z-93 white paint made with the original PS7 binder and the new K2130 binder. Polymeric samples evaluated included bulk Halar, bulk PEEK, and silverized FEP Teflon. Aluminized and nonaluminized Chemfab 250 beta cloth were also exposed. Samples were evaluated for changes in mass, thickness, solar absorptance, and infrared emittance. In addition to material effects, an investigation was made comparing diffuse reflectance/solar absorptance measurements made using a Beckman DK2 spectroreflectometer and like measurements made using an AZ Technology-developed laboratory portable spectroreflectometer.

  20. Secondary Structure Prediction of Protein Constructs Using Random Incremental Truncation and Vacuum-Ultraviolet CD Spectroscopy

    PubMed Central

    Pukáncsik, Mária; Orbán, Ágnes; Nagy, Kinga; Matsuo, Koichi; Gekko, Kunihiko; Maurin, Damien; Hart, Darren; Kézsmárki, István; Vertessy, Beata G.

    2016-01-01

    A novel uracil-DNA degrading protein factor (termed UDE) was identified in Drosophila melanogaster with no significant structural and functional homology to other uracil-DNA binding or processing factors. Determination of the 3D structure of UDE is excepted to provide key information on the description of the molecular mechanism of action of UDE catalysis, as well as in general uracil-recognition and nuclease action. Towards this long-term aim, the random library ESPRIT technology was applied to the novel protein UDE to overcome problems in identifying soluble expressing constructs given the absence of precise information on domain content and arrangement. Nine constructs of UDE were chosen to decipher structural and functional relationships. Vacuum ultraviolet circular dichroism (VUVCD) spectroscopy was performed to define the secondary structure content and location within UDE and its truncated variants. The quantitative analysis demonstrated exclusive α-helical content for the full-length protein, which is preserved in the truncated constructs. Arrangement of α-helical bundles within the truncated protein segments suggested new domain boundaries which differ from the conserved motifs determined by sequence-based alignment of UDE homologues. Here we demonstrate that the combination of ESPRIT and VUVCD spectroscopy provides a new structural description of UDE and confirms that the truncated constructs are useful for further detailed functional studies. PMID:27273007

  1. Real-time radiative divertor feedback control development for the NSTX-U tokamak using a vacuum ultraviolet spectrometer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Soukhanovskii, V. A., E-mail: vlad@llnl.gov; Kaita, R.; Stratton, B.

    2016-11-15

    A radiative divertor technique is planned for the NSTX-U tokamak to prevent excessive erosion and thermal damage of divertor plasma-facing components in H-mode plasma discharges with auxiliary heating up to 12 MW. In the radiative (partially detached) divertor, extrinsically seeded deuterium or impurity gases are used to increase plasma volumetric power and momentum losses. A real-time feedback control of the gas seeding rate is planned for discharges of up to 5 s duration. The outer divertor leg plasma electron temperature T{sub e} estimated spectroscopically in real time will be used as a control parameter. A vacuum ultraviolet spectrometer McPherson Modelmore » 251 with a fast charged-coupled device detector is developed for temperature monitoring between 5 and 30 eV, based on the Δn = 0, 1 line intensity ratios of carbon, nitrogen, or neon ion lines in the spectral range 300–1600 Å. A collisional-radiative model-based line intensity ratio will be used for relative calibration. A real-time T{sub e}-dependent signal within a characteristic divertor detachment equilibration time of ∼10–15 ms is expected.« less

  2. Real-time radiative divertor feedback control development for the NSTX-U tokamak using a vacuum ultraviolet spectrometer

    DOE PAGES

    Soukhanovskii, V. A.; Kaita, R.; Stratton, B.

    2016-08-04

    Here, a radiative divertor technique is planned for the NSTX-U tokamak to prevent excessive erosion and thermal damage of divertor plasma-facing components in H-mode plasma discharges with auxiliary heating up to 12 MW. In the radiative (partially detached) divertor, extrinsically seeded deuterium or impurity gases are used to increase plasma volumetric power and momentum losses. A real-time feedback control of the gas seeding rate is planned for discharges of up to 5 s duration. The outer divertor leg plasma electron temperature T e estimated spectroscopically in real time will be used as a control parameter. A vacuum ultraviolet spectrometer McPhersonmore » Model 251 with a fast charged-coupled device detector is developed for temperature monitoring between 5 and 30 eV, based on the Δn = 0, 1 line intensity ratios of carbon, nitrogen, or neon ion lines in the spectral range 300–1600 Å. A collisional-radiative model-based line intensity ratio will be used for relative calibration. A real-time T e-dependent signal within a characteristic divertor detachment equilibration time of ~10–15 ms is expected.« less

  3. Photodissociation dynamics of H2O at 111.5 nm by a vacuum ultraviolet free electron laser

    NASA Astrophysics Data System (ADS)

    Wang, Heilong; Yu, Yong; Chang, Yao; Su, Shu; Yu, Shengrui; Li, Qinming; Tao, Kai; Ding, Hongli; Yang, Jaiyue; Wang, Guanglei; Che, Li; He, Zhigang; Chen, Zhichao; Wang, Xingan; Zhang, Weiqing; Dai, Dongxu; Wu, Guorong; Yuan, Kaijun; Yang, Xueming

    2018-03-01

    Photodissociation dynamics of H2O via the F ˜ state at 111.5 nm were investigated using the high resolution H-atom Rydberg "tagging" time-of-flight (TOF) technique, in combination with the tunable vacuum ultraviolet free electron laser at the Dalian Coherent Light Source. The product translational energy distributions and angular distributions in both parallel and perpendicular directions were derived from the recorded TOF spectra. Based on these distributions, the quantum state distributions and angular anisotropy parameters of OH (X) and OH (A) products have been determined. For the OH (A) + H channel, highly rotationally excited OH (A) products have been observed. These products are ascribed to a fast direct dissociation on the B ˜ 1A1 state surface after multi-step internal conversions from the initial excited F ˜ state to the B ˜ state. While for the OH (X) + H channel, very highly rotationally excited OH (X) products with moderate vibrational excitation are revealed and attributed to the dissociation via a nonadiabatic pathway through the well-known two conical intersections between the B ˜ -state and the X ˜ -state surfaces.

  4. Fabrication of SiC membrane HCG blue reflector using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lai, Ying-Yu; Matsutani, Akihiro; Lu, Tien-Chang; Wang, Shing-Chung; Koyama, Fumio

    2015-02-01

    We designed and fabricated a suspended SiC-based membrane high contrast grating (HCG) reflectors. The rigorous coupled-wave analysis (RCWA) was employed to verify the structural parameters including grating periods, grating height, filling factors and air-gap height. From the optimized simulation results, the designed SiC-based membrane HCG has a wide reflection stopband (reflectivity (R) <90%) of 135 nm for the TE polarization, which centered at 480 nm. The suspended SiC-based membrane HCG reflectors were fabricated by nanoimprint lithography and two-step etching technique. The corresponding reflectivity was measured by using a micro-reflectivity spectrometer. The experimental results show a high reflectivity (R<90%), which is in good agreement with simulation results. This achievement should have an impact on numerous III-N based photonic devices operating in the blue wavelength or even ultraviolet region.

  5. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  6. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  7. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  8. Printable Top-Gate-Type Polymer Light-Emitting Transistors with Surfaces of Amorphous Fluoropolymer Insulators Modified by Vacuum Ultraviolet Light Treatment

    NASA Astrophysics Data System (ADS)

    Kajii, Hirotake; Terashima, Daiki; Kusumoto, Yusuke; Ikezoe, Ikuya; Ohmori, Yutaka

    2013-04-01

    We investigated the fabrication and electrical and optical properties of top-gate-type polymer light-emitting transistors with the surfaces of amorphous fluoropolymer insulators, CYTOP (Asahi Glass) modified by vacuum ultraviolet light (VUV) treatment. The surface energy of CYTOP, which has a good solution barrier property was increased by VUV irradiation, and the gate electrode was fabricated by solution processing on the CYTOP film using the Ag nano-ink. The influence of VUV irradiation on the optical properties of poly(9,9-dioctylfluorene-co-benzothiadiazole) (F8BT) films with various gate insulators was investigated to clarify the passivation effect of gate insulators. It was found that the poly(methyl methacrylate) (PMMA) film prevented the degradation of the F8BT layer under VUV irradiation because the PMMA film can absorb VUV. The solution-processed F8BT device with multilayer PMMA/CYTOP insulators utilizing a gate electrode fabricated using the Ag nano-ink exhibited both the ambipolar characteristics and yellow-green emission.

  9. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  10. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  11. Line spectrum and ion temperature measurements from tungsten ions at low ionization stages in large helical device based on vacuum ultraviolet spectroscopy in wavelength range of 500-2200 Å.

    PubMed

    Oishi, T; Morita, S; Huang, X L; Zhang, H M; Goto, M

    2014-11-01

    Vacuum ultraviolet spectra of emissions released from tungsten ions at lower ionization stages were measured in the Large Helical Device (LHD) in the wavelength range of 500-2200 Å using a 3 m normal incidence spectrometer. Tungsten ions were distributed in the LHD plasma by injecting a pellet consisting of a small piece of tungsten metal and polyethylene tube. Many lines having different wavelengths from intrinsic impurity ions were observed just after the tungsten pellet injection. Doppler broadening of a tungsten candidate line was successfully measured and the ion temperature was obtained.

  12. Experimental sub-Rayleigh resolution by an unseeded high-gain optical parametric amplifier for quantum lithography

    NASA Astrophysics Data System (ADS)

    Sciarrino, Fabio; Vitelli, Chiara; de Martini, Francesco; Glasser, Ryan; Cable, Hugo; Dowling, Jonathan P.

    2008-01-01

    Quantum lithography proposes to adopt entangled quantum states in order to increase resolution in interferometry. In the present paper we experimentally demonstrate that the output of a high-gain optical parametric amplifier can be intense yet exhibits quantum features, namely, sub-Rayleigh fringes, as proposed by [Agarwal , Phys. Rev. Lett. 86, 1389 (2001)]. We investigate multiphoton states generated by a high-gain optical parametric amplifier operating with a quantum vacuum input for gain values up to 2.5. The visibility has then been increased by means of three-photon absorption. The present paper opens interesting perspectives for the implementation of such an advanced interferometrical setup.

  13. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  14. Direct index of refraction measurements at extreme-ultraviolet and soft-x-ray wavelengths.

    PubMed

    Rosfjord, Kristine; Chang, Chang; Miyakawa, Ryan; Barth, Holly; Attwood, David

    2006-03-10

    Coherent radiation from undulator beamlines has been used to directly measure the real and imaginary parts of the index of refraction of several materials at both extreme-ultraviolet and soft-x-ray wavelengths. Using the XOR interferometer, we measure the refractive indices of silicon and ruthenium, essential materials for extreme-ultraviolet lithography. Both materials are tested at wavelength (13.4 nm) and across silicon's L2 (99.8 eV) and L3 (99.2 eV) absorption edges. We further extend this direct phase measurement method into the soft-x-ray region, where measurements of chromium and vanadium are performed around their L3 absorption edges at 574.1 and 512.1 eV, respectively. These are the first direct measurements, to our knowledge, of the real part of the index of refraction made in the soft-x-ray region.

  15. Fundamental investigation of ultraviolet radiation effects in polymeric film-forming materials

    NASA Technical Reports Server (NTRS)

    Giori, C.; Yamauchi, T.; Llewellen, P.; Gilligan, J.

    1974-01-01

    A literature search from 1958 to present was conducted on the effect of ultraviolet radiation on polymeric materials, with particular emphasis on vacuum photolysis, mechanisms of degradation, and energy transfer phenomena. The literature from 1958 to 1968 was searched manually, while the literature from 1968 to present was searched by using a computerized keyword system. The primary objective was to provide the necessary background information for the design of new or modified materials with improved stability to the vacuum-radiation environment of space.

  16. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  17. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  18. Vacuum-deposited polymer/silver reflector material

    NASA Astrophysics Data System (ADS)

    Affinito, John D.; Martin, Peter M.; Gross, Mark E.; Bennett, Wendy D.

    1994-09-01

    Weatherable, low cost, front surface, solar reflectors on flexible substrates would be highly desirable for lamination to solar concentrator panels. The method to be described in this paper may permit such reflector material to be fabricated for less the 50$CNT per square foot. Vacuum deposited Polymer/Silver/Polymer reflectors and Fabry-Perot interference filters were fabricated in a vacuum web coating operation on polyester substrates. Reflectivities were measured in the wavelength range from .4 micrometers to .8 micrometers . It is hoped that a low cost substrate can be used with the substrate laminated to the concentrator and the weatherable acrylic polymer coating facing the sun. This technique should be capable of deposition line speeds approaching 1500 linear feet/minute2. Central to this technique is a new vacuum deposition process for the high rate deposition of polymer films. This polymer process involves the flash evaporation of an acrylic monomer onto a moving substrate. The monomer is subsequently cured by an electron beam or ultraviolet light. This high speed polymer film deposition process has been named the PML process- for Polymer Multi- Layer.

  19. Vacuum ultraviolet imagery of the Virgo cluster region

    NASA Astrophysics Data System (ADS)

    Onaka, T.; Tanaka, W.; Watanabe, T.; Watanabe, J.; Yamaguchi, A.; Nakagiri, M.; Kodaira, K.; Nakano, M.; Sasaki, M.; Tsujimura, T.; Yamashita, K.

    1989-07-01

    The results are reported of an experiment using the UV imager aboard an attitude-controlled S520 type sounding rocket. The total UV fluxes of galaxies in the Virgo Cluster as well as the flux level of the diffuse UV background around the cluster were measured. The data on NGC 4486 and NGC 4472 confirm the variation in the degree of the 'turnup' below 200 nm in the energy spectrum of the total light of elliptical galaxies. At two-color diagram of galaxies of visual/near-UV/vacuum UV indicates that colors of spiral galaxies are distributed within a strip and well-correlated with the morphological type, while elliptical galaxies are located differently from spiral galaxies.

  20. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    PubMed

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  1. Comparison of direct and alternating current vacuum ultraviolet lamps in atmospheric pressure photoionization.

    PubMed

    Vaikkinen, Anu; Haapala, Markus; Kersten, Hendrik; Benter, Thorsten; Kostiainen, Risto; Kauppila, Tiina J

    2012-02-07

    A direct current induced vacuum ultraviolet (dc-VUV) krypton discharge lamp and an alternating current, radio frequency (rf) induced VUV lamp that are essentially similar to lamps in commercial atmospheric pressure photoionization (APPI) ion sources were compared. The emission distributions along the diameter of the lamp exit window were measured, and they showed that the beam of the rf lamp is much wider than that of the dc lamp. Thus, the rf lamp has larger efficient ionization area, and it also emits more photons than the dc lamp. The ionization efficiencies of the lamps were compared using identical spray geometries with both lamps in microchip APPI mass spectrometry (μAPPI-MS) and desorption atmospheric pressure photoionization-mass spectrometry (DAPPI-MS). A comprehensive view on the ionization was gained by studying six different μAPPI solvent compositions, five DAPPI spray solvents, and completely solvent-free DAPPI. The observed reactant ions for each solvent composition were very similar with both lamps except for toluene, which showed a higher amount of solvent originating oxidation products with the rf lamp than with the dc lamp in μAPPI. Moreover, the same analyte ions were detected with both lamps, and thus, the ionization mechanisms with both lamps are similar. The rf lamp showed a higher ionization efficiency than the dc lamp in all experiments. The difference between the lamp ionization efficiencies was greatest when high ionization energy (IE) solvent compositions (IEs above 10 eV), i.e., hexane, methanol, and methanol/water, (1:1 v:v) were used. The higher ionization efficiency of the rf lamp is likely due to the larger area of high intensity light emission, and the resulting larger efficient ionization area and higher amount of photons emitted. These result in higher solvent reactant ion production, which in turn enables more efficient analyte ion production. © 2012 American Chemical Society

  2. Line spectrum and ion temperature measurements from tungsten ions at low ionization stages in large helical device based on vacuum ultraviolet spectroscopy in wavelength range of 500–2200 Å

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oishi, T., E-mail: oishi@LHD.nifs.ac.jp; Morita, S.; Goto, M.

    2014-11-15

    Vacuum ultraviolet spectra of emissions released from tungsten ions at lower ionization stages were measured in the Large Helical Device (LHD) in the wavelength range of 500–2200 Å using a 3 m normal incidence spectrometer. Tungsten ions were distributed in the LHD plasma by injecting a pellet consisting of a small piece of tungsten metal and polyethylene tube. Many lines having different wavelengths from intrinsic impurity ions were observed just after the tungsten pellet injection. Doppler broadening of a tungsten candidate line was successfully measured and the ion temperature was obtained.

  3. Photocatalytic pretreatment of oily wastewater from the restaurant by a vacuum ultraviolet/TiO2 system.

    PubMed

    Kang, Jian-xiong; Lu, Lu; Zhan, Wei; Li, Bo; Li, Dao-sheng; Ren, Yong-zheng; Liu, Dong-qi

    2011-02-15

    The present study aims at investigating the performance of a vacuum ultraviolet (VUV, 185 nm) and TiO(2) oxidation system for the pretreatment of oily wastewater from restaurant. The influence of irradiation time, pH, dissolved oxygen (DO), the dosage of TiO(2) and the initial chemical oxygen demand (COD) concentration on COD removal efficiency was ascertained and optimum process conditions for stable and effective operation were determined. Under the optimum conditions of irradiation 10 min, initial COD 3981 mg/L, TiO(2) 150 mg/L, pH 7.0 and flow rate of air 40 L/h, the process of VUV and TiO(2)/VUV achieved removal efficiencies of COD, BOD(5) and oil as 50±3%, 37±2%, 86±3%, and 63±3%, 43±2%, 70±3%, respectively. The biodegradability factor f(B) of the wastewater was determined as 1.56 which indicated that the VUV/TiO(2) process improved the biodegradability of the oily wastewater significantly. Results clearly indicate that VUV/TiO(2) photolysis tends to destruct parts of COD, BOD(5), and ammonia, as well as enhances the biodegradability of the oily wastewater simultaneously. Thus, this technique could be used as a pretreatment step for conventional biological treatment of oily wastewater. Copyright © 2010 Elsevier B.V. All rights reserved.

  4. Carrier Conduction and Light Emission by Modification of Poly(alkylfluorene) Interface under Vacuum Ultraviolet Light Irradiation

    NASA Astrophysics Data System (ADS)

    Ohmori, Yutaka; Kajii, Hirotake; Terashima, Daiki; Kusumoto, Yusuke

    2013-03-01

    Organic field effect transistors (OFETs) have been extensively studied for flexible electronics. The characteristics of poly(9,9-dioctylfluorenyl-2,7-dyl) (F8) modified by thermal or light are strongly dependent on the carrier transport and optical characteristics. We investigate all solution-processed OFETs with Ag nano-ink as gate electrodes patterned by Vacuum Ultraviolet (VUV) (172 nm). Bi-layer gate insulators of amorphous fluoro-polymer CYTOP (Asahi Glass Corp.) and poly(methylmethacrylate) (PMMA) were used. Top-gate-type OFETs with ITO source/drain electrode utilizing F8 or poly(9,9-dioctylfluorene-co-benzothiadiazole) (F8BT) as an active layer were fabricated, and investigated the carrier conduction and emission characteristic. Without VUV irradiation, both OFETs showed the ambipolar and light-emitting characteristics. On the other hand, F8 devices with VUV exhibited only p-type conduction. The quenching centers were generated in F8 layer by VUV irradiation, which are related to the electron trap sites at the interface. OFETs with F8BT showed both p- and n-type conduction even after VUV. F8BT suffers less damage by VUV and maintain light emission. Light emitting transistors were realized utilizing F8BT patterned by VUV irradiation. This research was partially supported financially by MEXT. The authors thank Harima Chemicals Inc. for providing Ag nano-ink.

  5. Vacuum ultraviolet radiation/atomic oxygen synergism in materials reactivity

    NASA Technical Reports Server (NTRS)

    Koontz, Steven; Leger, Lubert; Albyn, Keith; Cross, Jon

    1990-01-01

    Experimental results are presented which indicate that low fluxes of vacuum UV (VUV) radiation exert a pronounced influence on the atomic oxygen reactivity of such fluorocarbon and fluorocarbon spacecraft materials as the FEP Teflon and PCTFE that are under consideration for the Space Station Freedom. With simultaneous exposure to VUV fluxes comparable to those experienced in LEO, the reactivity of these materials becomes comparable to that of Kapton; VUV radiation has also been shown to increase the reactivity of Kapton with thermal-energy oxygen atoms.

  6. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  7. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  8. Investigating the effective range of vacuum ultraviolet-mediated breakdown in high-power microwave metamaterials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Chien-Hao, E-mail: cliu82@wisc.edu; Neher, Joel D., E-mail: jdneher@wisc.edu; Booske, John H., E-mail: booske@engr.wisc.edu

    2014-10-14

    Metamaterials and periodic structures operating under high-power excitations are susceptible to breakdown. It was recently demonstrated that a localized breakdown created in a given region of a periodic structure can facilitate breakdown in other regions of the structure where the intensity of the incident electromagnetic fields may not be high enough to cause breakdown under normal circumstances. It was also demonstrated that this phenomenon is due to the generation of vacuum ultraviolet radiation at the location of the initial discharge, which propagates to the neighboring regions (e.g., other unit cells in a periodic structure) and facilitates the generation of amore » discharge at a lower incident power level. In this paper, we present the results of an experimental study conducted to determine the effective range of this physical phenomenon for periodic structures that operate in air and in pure nitrogen gas at atmospheric pressure levels. It is demonstrated that when breakdown is induced in a periodic structure using a high-power pulse with a frequency of 9.382 GHz, duration of 0.8 μs, and peak power level of 25 kW, this phenomenon is highly likely to happen in radii of approximately 16–17 mm from the location of the initial discharge under these test conditions. The results of this study are significant in designing metamaterials and periodic structures for high-power microwave applications as they suggest that a localized discharge created in such a periodic structure with a periodicity less than 16–17 mm can spread over a large surface and result in a distributed discharge.« less

  9. Vacuum-Ultraviolet photoionization studies of the microhydrationof DNA bases (Guanine, Cytosine, Adenine and Thymine)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belau, L.; Wilson, K.R.; Leone, S.R.

    2007-01-22

    In this work, we report on a photoionization study of the microhydration of the four DNA bases. Gas-phase clusters of water with DNA bases [guanine (G), cytosine (C), adenine (A), and thymine (T)] are generated via thermal vaporization of the bases and expansion of the resultant vapor in a continuous supersonic jet expansion of water seeded in Ar. The resulting clusters are investigated by single-photon ionization with tunable vacuum-ultraviolet synchrotron radiation and mass analyzed using reflectron mass spectrometry. Photoionization efficiency (PIE) curves are recorded for the DNA bases and the following water (W) clusters: G, GW{sub n} (n = 1-3);more » C, CW{sub n} (n = 1-3); A, AW{sub n} (n = 1,2); and T, TW{sub n} (n = 1-3). Appearance energies (AE) are derived from the onset of these PIE curves (all energies in eV): G (8.1 {+-} 0.1), GW (8.0 {+-} 0.1), GW{sub 2} (8.0 {+-} 0.1), and GW{sub 3} (8.0); C (8.65 {+-} 0.05), CW (8.45 {+-} 0.05), CW{sub 2} (8.4 {+-} 0.1), and CW{sub 3} (8.3 {+-} 0.1); A (8.30 {+-} 0.05), AW (8.20 {+-} 0.05), and AW{sub 2} (8.1 {+-} 0.1); T (8.90 {+-} 0.05); and TW (8.75 {+-} 0.05), TW{sub 2} (8.6 {+-} 0.1), and TW{sub 3} (8.6 {+-} 0.1). The AEs of the DNA bases decrease slightly with the addition of water molecules (up to three) but do not converge to values found for photoinduced electron removal from DNA bases in solution.« less

  10. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  11. Production of reactive species using vacuum ultraviolet photodissociation as a tool for studying their effects in plasma medicine: simulations and measurements

    NASA Astrophysics Data System (ADS)

    Ono, Ryo; Tokumitsu, Yusuke; Zen, Shungo; Yonemori, Seiya

    2014-11-01

    We propose a method for producing OH, H, O, O3, and O2(a1Δg) using the vacuum ultraviolet photodissociation of H2O and O2 as a tool for studying the reaction processes of plasma medicine. For photodissociation, an H2O/He or O2/He mixture flowing in a quartz tube is irradiated by a Xe2 or Kr2 excimer lamp. The effluent can be applied to a target. Simulations show that the Xe2 lamp method can produce OH radicals within 0.1-1 ppm in the effluent at 5 mm from a quartz tube nozzle. This is comparable to those produced by a helium atmospheric-pressure plasma jet (He-APPJ) currently used in plasma medicine. The Xe2 lamp method also produces H atoms of, at most, 6 ppm. In contrast, the maximum O densities produced by the Xe2 and Kr2 lamp methods are 0.15 ppm and 2.5 ppm, respectively; these are much lower than those from He-APPJ (several tens of ppm). Both lamp methods can produce ozone at concentrations above 1000 ppm and O2(a1Δg) at tens of ppm. The validity of the simulations is verified by measuring the O3 and OH densities produced by the Xe2 lamp method using ultraviolet absorption and laser-induced fluorescence. The differences between the measured and simulated densities for O3 and OH are 20% and factors of 3-4, respectively.

  12. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  13. Single photon ionization and chemical ionization combined ion source based on a vacuum ultraviolet lamp for orthogonal acceleration time-of-flight mass spectrometry.

    PubMed

    Hua, Lei; Wu, Qinghao; Hou, Keyong; Cui, Huapeng; Chen, Ping; Wang, Weiguo; Li, Jinghua; Li, Haiyang

    2011-07-01

    A novel combined ion source based on a vacuum ultraviolet (VUV) lamp with both single photon ionization (SPI) and chemical ionization (CI) capabilities has been developed for an orthogonal acceleration time-of-flight mass spectrometer (oaTOFMS). The SPI was accomplished using a commercial 10.6 eV krypton discharge lamp with a photon flux of about 10(11) photons s(-1), while the CI was achieved through ion-molecule reactions with O(2)(+) reactant ions generated by photoelectron ionization at medium vacuum pressure (MVP). To achieve high ionization efficiency, the ion source pressure was elevated to 0.3 mbar and the photoionization length was extended to 36 mm. As a result, limits of detection (LODs) down to 3, 4, and 6 ppbv were obtained for benzene, toluene, and p-xylene in MVP-SPI mode, and values of 8 and 10 ppbv were obtained for toluene and chloroform, respectively, in SPI-CI mode. As it is feasible to switch between MVP-SPI mode and SPI-CI mode rapidly, this system is capable of monitoring complex organic mixtures with a wide range of ionization energies (IEs). The analytical capacity of this system was demonstrated by measuring dehydrogenation products of long-chain paraffins to olefins through direct capillary sampling and drinking water disinfection byproducts from chlorine through a membrane interface.

  14. Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

    NASA Astrophysics Data System (ADS)

    Singh, Vikram; Satyanarayana, Vardhineedi Sri Venkata; Batina, Nikola; Reyes, Israel Morales; Sharma, Satinder K.; Kessler, Felipe; Scheffer, Francine R.; Weibel, Daniel E.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2014-10-01

    Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.

  15. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  16. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  17. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  18. Synchrotron vacuum ultraviolet radiation studies of the D 1Πu state of H2

    NASA Astrophysics Data System (ADS)

    Dickenson, G. D.; Ivanov, T. I.; Roudjane, M.; de Oliveira, N.; Joyeux, D.; Nahon, L.; Tchang-Brillet, W.-Ü. L.; Glass-Maujean, M.; Haar, I.; Ehresmann, A.; Ubachs, W.

    2010-10-01

    The 3pπD Π1u state of the H2 molecule was reinvestigated with different techniques at two synchrotron installations. The Fourier transform spectrometer in the vacuum ultraviolet wavelength range of the DESIRS beamline at the SOLEIL synchrotron was used for recording absorption spectra of the D Π1u state at high resolution and high absolute accuracy, limited only by the Doppler contribution at 100 K. From these measurements, line positions were extracted, in particular, for the narrow resonances involving Π1u - states, with an accuracy estimated at 0.06 cm-1. The new data also closely match multichannel quantum defect calculations performed for the Π- components observed via the narrow Q-lines. The Λ-doubling in the D Π1u state was determined up to v =17. The 10 m normal incidence scanning monochromator at the beamline U125/2 of the BESSY II synchrotron, combined with a home-built target chamber and equipped with a variety of detectors, was used to unravel information on ionization, dissociation, and intramolecular fluorescence decay for the D Π1u vibrational series. The combined results yield accurate information on the characteristic Beutler-Fano profiles associated with the strongly predissociated Πu+ parity components of the D Π1u levels. Values for the parameters describing the predissociation width as well as the Fano-q line shape parameters for the J =1 and J =2 rotational states were determined for the sequence of vibrational quantum numbers up to v =17.

  19. Vacuum-ultraviolet photoionization studies of the microhydration of DNA bases (guanine, cytosine, adenine, and thymine).

    PubMed

    Belau, Leonid; Wilson, Kevin R; Leone, Stephen R; Ahmed, Musahid

    2007-08-09

    In this work, we report on a photoionization study of the microhydration of the four DNA bases. Gas-phase clusters of water with DNA bases [guanine (G), cytosine (C), adenine (A), and thymine (T)] are generated via thermal vaporization of the bases and expansion of the resultant vapor in a continuous supersonic jet expansion of water seeded in Ar. The resulting clusters are investigated by single-photon ionization with tunable vacuum-ultraviolet synchrotron radiation and mass analyzed using reflectron mass spectrometry. Photoionization efficiency (PIE) curves are recorded for the DNA bases and the following water (W) clusters: G, GWn (n = 1-3); C, CWn (n = 1-3); A, AWn (n = 1,2); and T, TWn (n = 1-3). Appearance energies (AE) are derived from the onset of these PIE curves (all energies in eV): G (8.1 +/- 0.1), GW (8.0 +/- 0.1), GW2 (8.0 +/- 0.1), and GW3 (8.0); C (8.65 +/- 0.05), CW (8.45 +/- 0.05), CW2 (8.4 +/- 0.1), and CW3 (8.3 +/- 0.1); A (8.30 +/- 0.05), AW (8.20 +/- 0.05), and AW2 (8.1 +/- 0.1); T (8.90 +/- 0.05); and TW (8.75 +/- 0.05), TW2 (8.6 +/- 0.1), and TW3 (8.6 +/- 0.1). The AEs of the DNA bases decrease slightly with the addition of water molecules (up to three) but do not converge to values found for photoinduced electron removal from DNA bases in solution.

  20. Al nanogrid electrode for ultraviolet detectors.

    PubMed

    Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V

    2011-09-15

    Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.

  1. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  2. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  3. Oxygen isotope fractionation in the vacuum ultraviolet photodissociation of carbon monoxide: Wavelength, pressure and temperature dependency.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chakraborty, Subrata; Davis, Ryan; Ahmed, Musahid

    Several absorption bands exist in the VUV region of Carbon monoxide (CO). Emission spectra indicate that these bands are all predissociative. An experimental investigation of CO photodissociation by vacuum ultraviolet photons (90 to 108 nm; ~13 to 11 eV) from the Advanced Light Source Synchrotron and direct measurement of the associated oxygen isotopic composition of the products are presented here. A wavelength dependency of the oxygen isotopic composition in the photodissociation product was observed. Slope values (δ'{sup 18}O/ δ'{sup 17}O) ranging from 0.76 to 1.32 were observed in oxygen three-isotope space (δ'{sup 18}O vs. δ'{sup 17}O) which correlated with increasingmore » synchrotron photon energy, and indicate a dependency of the upper electronic state specific dissociation dynamics (e.g., perturbation and coupling associated with a particular state). An unprecedented magnitude in isotope separation was observed for photodissociation at the 105 and 107 nm synchrotron bands and are found to be associated with accidental predissociation of the vibrational states ({nu} = 0 and 1) of the upper electronic state E{sup 1}Π. For each synchrotron band, a large (few hundred per mil) extent of isotopic fractionation was observed and the range of fractionation is a combination of column density and exposure time. A significant temperature dependency in oxygen isotopic fractionation was observed, indicating a rotational level dependency in the predissociation process.« less

  4. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  5. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  6. Fabrication of Silicon Nanobelts and Nanopillars by Soft Lithography for Hydrophobic and Hydrophilic Photonic Surfaces.

    PubMed

    Baquedano, Estela; Martinez, Ramses V; Llorens, José M; Postigo, Pablo A

    2017-05-11

    Soft lithography allows for the simple and low-cost fabrication of nanopatterns with different shapes and sizes over large areas. However, the resolution and the aspect ratio of the nanostructures fabricated by soft lithography are limited by the depth and the physical properties of the stamp. In this work, silicon nanobelts and nanostructures were achieved by combining soft nanolithography patterning with optimized reactive ion etching (RIE) in silicon. Using polymethylmethacrylate (PMMA) nanopatterned layers with thicknesses ranging between 14 and 50 nm, we obtained silicon nanobelts in areas of square centimeters with aspect ratios up to ~1.6 and linewidths of 225 nm. The soft lithographic process was assisted by a thin film of SiO x (less than 15 nm) used as a hard mask and RIE. This simple patterning method was also used to fabricate 2D nanostructures (nanopillars) with aspect ratios of ~2.7 and diameters of ~200 nm. We demonstrate that large areas patterned with silicon nanobelts exhibit a high reflectivity peak in the ultraviolet C (UVC) spectral region (280 nm) where some aminoacids and peptides have a strong absorption. We also demonstrated how to tailor the aspect ratio and the wettability of these photonic surfaces (contact angles ranging from 8.1 to 96.2°) by changing the RIE power applied during the fabrication process.

  7. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  8. Evaluation of miniature vacuum ultraviolet lamps for stability and operating characteristics, Lyman-Alpha task

    NASA Technical Reports Server (NTRS)

    Hurd, W. A.

    1985-01-01

    Modifications required to change the near ultraviolet source in the Optical Contamination Monitor to a source with output at or near the Lyman-Alpha hydrogen line are discussed. The effort consisted of selecting, acquiring and testing candidate miniature ultraviolet lamps with significant output in or near 121.6 nm. The effort also included selection of a miniature dc high-voltage power supply capable of operating the lamp. The power supply was required to operate from available primary power supplied by the Optical Effect Module (DEM) and it should be flight qualified or have the ability to be qualified by the user.

  9. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Caudillo, Roman; Chandhok, Manish

    2010-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. Readiness of EUV materials is currently one high risk area according to recent assessments made at the 2009 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data collected utilizing Intel's Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <= 12.5mJ/cm2 with <= 4nm LWR.

  10. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  11. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  12. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  13. Research on fluorescence from photoionization, photodissociation, and vacuum, along with bending quantrum study

    NASA Technical Reports Server (NTRS)

    Judge, D. L.

    1975-01-01

    Reports of research concerning the fluorescence of CS2 are presented. Fluorescence from fragments of CS2 vapor produced by vacuum ultraviolet radiation, and fluorescence from photoionization of CS2 vapor are discussed along with fluorescence produced by photodissociation of CS2, and fluorescence from photoionization of OCS.

  14. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  15. Online investigations on ozonation products of pyrene and benz[ a]anthracene particles with a vacuum ultraviolet photoionization aerosol time-of-flight mass spectrometer

    NASA Astrophysics Data System (ADS)

    Gao, Shaokai; Zhang, Yang; Meng, Junwang; Shu, Jinian

    The reaction products of ozone with pyrene and benz[ a]anthracene absorbed on azelaic acid particles under the pseudo-first-order reaction conditions have been investigated with a vacuum ultraviolet photoionization aerosol time-of-flight mass spectrometer (VUV-ATOFMS). The pyrene and benz[ a]anthracene particles with the initial concentrations of ˜1 mg m -3 are respectively exposed to ˜22 ppm ozone in a reaction chamber with a volume of ˜180 L. The time-of-flight mass spectra of the particulate ozonides are obtained. The assignments of the mass spectra reveal that 4-carboxy-5-phenanthrene-carboxyaldehyde (71%) and hydroxypyrene (23%) are the main solid state ozonides of pyrene, while 2-(2-formyl)phenyl-3-naphthoic acid (35%), hydroxybenz[ a]anthrone (30%), and benz[ a]anthracene-7,12-dione (18%) are the main solid state ozonides of benz[ a]anthracene. The pathways of the ozonations are proposed in the paper.

  16. Extreme ultraviolet reflectivity studies of gold on glass and metal substrates

    NASA Technical Reports Server (NTRS)

    Jelinsky, Sharon R.; Malina, Roger F.; Jelinsky, Patrick

    1988-01-01

    The paper reports measurements of the extreme ultraviolet reflectivity of gold from 44 to 920 A at grazing incidence. Gold was deposited using vacuum evaporation and electroplating on substrates of glass and polished nickel, respectively. Measurements are also presented of the extreme ultraviolet reflectivity of electroless nickel in the same wavelength region, where one of the polished nickel substrates was used as a sample. Derived optical constants for evaporated and electroplated gold and electroless nickel are presented. Additional studies of the effects of various contaminants on the EUV reflectivity are also reported. The variations of the optical constants are discussed in terms of density variations, surface roughness and contamination effects. These results ae reported as part of studies for the Extreme Ultraviolet Explorer satellite program to determine acceptance criteria for the EUV optics, contamination budgets and calibration plans.

  17. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  18. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  19. Effects of solar ultraviolet radiations on Bacillus subtilis spores and T-7 bacteriophage

    NASA Technical Reports Server (NTRS)

    Spizizen, J.; Isherwood, J. E.; Taylor, G. R.

    1975-01-01

    Spores of Bacillus subtilis HA 101 and the DNA polymerase I-defective mutant HA 101 (59)F were exposed to selected wavelengths of solar ultraviolet light and space vacuum during the return of Apollo 16. In addition, coliphage T-7 suspensions were exposed to solar ultraviolet radiation as part of the Microbial Response to Space Environment Experiment. Optical filters were employed to provide different energy levels at wavelengths 254 nm and 280 nm. Dose-response curves for lethal and mutagenic effects were compared with ground-based data. A close parallel was observed between the results of solar radiation and ground tests with spores of the two strains. However, significantly greater inactivation of T-7 bacteriophage was observed after exposure to solar ultraviolet radiation.

  20. Use of Sacrificial Nanoparticles to Remove the Effects of Shot-noise in Contact Holes Fabricated by E-beam Lithography.

    PubMed

    Rananavare, Shankar B; Morakinyo, Moshood K

    2017-02-12

    Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.

  1. Coupling a versatile aerosol apparatus to a synchrotron: Vacuum ultraviolet light scattering, photoelectron imaging, and fragment free mass spectrometry

    NASA Astrophysics Data System (ADS)

    Shu, Jinian; Wilson, Kevin R.; Ahmed, Musahid; Leone, Stephen R.

    2006-04-01

    An aerosol apparatus has been coupled to the Chemical Dynamics Beamline of the Advanced Light Source at Lawrence Berkeley National Laboratory. This apparatus has multiple capabilities for aerosol studies, including vacuum ultraviolet (VUV) light scattering, photoelectron imaging, and mass spectroscopy of aerosols. By utilizing an inlet system consisting of a 200μm orifice nozzle and aerodynamic lenses, aerosol particles of ˜50nm-˜1μm in diameter can be sampled directly from atmospheric pressure. The machine is versatile and can probe carbonaceous aerosols generated by a laboratory flame, nebulized solutions of biological molecules, hydrocarbon aerosol reaction products, and synthesized inorganic nanoparticles. The sensitivity of this apparatus is demonstrated by the detection of nanoparticles with VUV light scattering, photoelectron imaging, and charged particle detection. In addition to the detection of nanoparticles, the thermal vaporization of aerosols on a heater tip leads to the generation of intact gas phase molecules. This phenomenon coupled to threshold single photon ionization, accessible with tunable VUV light, allows for fragment-free mass spectrometry of complex molecules. The initial experiments with light scattering, photoelectron imaging, and aerosol mass spectrometry reported here serve as a demonstration of the design philosophy and multiple capabilities of the apparatus.

  2. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  3. Flow-Tube Investigations of Hypergolic Reactions of a Dicyanamide Ionic Liquid Via Tunable Vacuum Ultraviolet Aerosol Mass Spectrometry.

    PubMed

    Chambreau, Steven D; Koh, Christine J; Popolan-Vaida, Denisia M; Gallegos, Christopher J; Hooper, Justin B; Bedrov, Dmitry; Vaghjiani, Ghanshyam L; Leone, Stephen R

    2016-10-07

    The unusually high heats of vaporization of room-temperature ionic liquids (RTILs) complicate the utilization of thermal evaporation to study ionic liquid reactivity. Although effusion of RTILs into a reaction flow-tube or mass spectrometer is possible, competition between vaporization and thermal decomposition of the RTIL can greatly increase the complexity of the observed reaction products. In order to investigate the reaction kinetics of a hypergolic RTIL, 1-butyl-3-methylimidazolium dicyanamide (BMIM + DCA - ) was aerosolized and reacted with gaseous nitric acid, and the products were monitored via tunable vacuum ultraviolet photoionization time-of-flight mass spectrometry at the Chemical Dynamics Beamline 9.0.2 at the Advanced Light Source. Reaction product formation at m/z 42, 43, 44, 67, 85, 126, and higher masses was observed as a function of HNO 3 exposure. The identities of the product species were assigned to the masses on the basis of their ionization energies. The observed exposure profile of the m/z 67 signal suggests that the excess gaseous HNO 3 initiates rapid reactions near the surface of the RTIL aerosol. Nonreactive molecular dynamics simulations support this observation, suggesting that diffusion within the particle may be a limiting step. The mechanism is consistent with previous reports that nitric acid forms protonated dicyanamide species in the first step of the reaction.

  4. Vacuum ultraviolet emission characteristics from He-Ne-Xe gas discharge in an alternating current plasma display panel cell

    NASA Astrophysics Data System (ADS)

    Seo, Jeong Hyun; Jeong, Heui Seob; Lee, Joo Yul; Yoon, Cha Keun; Kim, Joong Kyun; Whang, Ki-Woong

    2000-08-01

    We measured the time integrated vacuum ultraviolet (VUV) emission spectra of He-Ne-Xe gas mixture from a surface type alternating current (ac) plasma display panel cell. The measured emission lines are the resonance line (147 nm) from Xe*(1s4), the first continuum (150 nm) and the second continuum (173 nm) from Xe dimer excited states. The relative intensities of VUV spectral lines from Xe* and Xe2* are dependent on the He/Ne mixing ratio as well as the Xe partial and total pressure. The intensity of 147 nm VUV increases with the Ne content increase and Xe2* molecular emission increases with the He content increase. Infrared (IR) spectra and the time variation of VUV were measured to explain the reaction pathway and the effect of the mixing ratio of He/Ne on the spectral intensity. A detailed study for the decay time shows that the decay time of 147 nm has two time constants and the radiation of 150 and 173 nm results mainly from Xe*(1s5). The IR spectra shows that the contribution from Xe**(>6 s) to Xe*(1s5) and Xe*(1s4) in He-Xe is different from that of Ne-Xe. The change of IR intensity explains the spectral intensity variations of He-Xe and Ne-Xe discharge.

  5. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  6. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    NASA Astrophysics Data System (ADS)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  7. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    NASA Astrophysics Data System (ADS)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  8. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  9. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  10. Trehalose glycopolymer resists allow direct writing of protein patterns by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Bat, Erhan; Lee, Juneyoung; Lau, Uland Y.; Maynard, Heather D.

    2015-03-01

    Direct-write patterning of multiple proteins on surfaces is of tremendous interest for a myriad of applications. Precise arrangement of different proteins at increasingly smaller dimensions is a fundamental challenge to apply the materials in tissue engineering, diagnostics, proteomics and biosensors. Herein, we present a new resist that protects proteins during electron-beam exposure and its application in direct-write patterning of multiple proteins. Polymers with pendant trehalose units are shown to effectively crosslink to surfaces as negative resists, while at the same time providing stabilization to proteins during the vacuum and electron-beam irradiation steps. In this manner, arbitrary patterns of several different classes of proteins such as enzymes, growth factors and immunoglobulins are realized. Utilizing the high-precision alignment capability of electron-beam lithography, surfaces with complex patterns of multiple proteins are successfully generated at the micrometre and nanometre scale without requiring cleanroom conditions.

  11. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  12. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  13. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    PubMed

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  14. Reference ultraviolet wavelengths of CrIII measured by Fourier transform spectrometry

    NASA Astrophysics Data System (ADS)

    Smillie, D. G.; Pickering, J. C.; Smith, P. L.

    2008-10-01

    We report CrIII ultraviolet (UV) transition wavelengths measured using a high-resolution Fourier transform spectrometer (FTS), for the first time, available for use as wavelength standards. The doubly ionized iron group element spectra dominate the observed opacity of hot B stars in the UV, and improved, accurate, wavelengths are required for the analysis of astronomical spectra. The spectrum was excited using a chromium-neon Penning discharge lamp and measured with the Imperial College vacuum ultraviolet FTS. 140 classified 3d34s-3d34p CrIII transition lines, in the spectral range 38000 to 49000 cm-1 (2632 to 2041 Å), the strongest having wavelength uncertainties less than one part in 107, are presented.

  15. A straightforward method for Vacuum-Ultraviolet flux measurements: The case of the hydrogen discharge lamp and implications for solid-phase actinometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fulvio, D., E-mail: daniele.fulvio@uni-jena.de, E-mail: dfu@oact.inaf.it; Brieva, A. C.; Jäger, C.

    2014-07-07

    Vacuum-Ultraviolet (VUV) radiation is responsible for the photo-processing of simple and complex molecules in several terrestrial and extraterrestrial environments. In the laboratory such radiation is commonly simulated by inexpensive and easy-to-use microwave-powered hydrogen discharge lamps. However, VUV flux measurements are not trivial and the methods/devices typically used for this purpose, mainly actinometry and calibrated VUV silicon photodiodes, are not very accurate or expensive and lack of general suitability to experimental setups. Here, we present a straightforward method for measuring the VUV photon flux based on the photoelectric effect and using a gold photodetector. This method is easily applicable to mostmore » experimental setups, bypasses the major problems of the other methods, and provides reliable flux measurements. As a case study, the method is applied to a microwave-powered hydrogen discharge lamp. In addition, the comparison of these flux measurements to those obtained by O{sub 2} actinometry experiments allow us to estimate the quantum yield (QY) values QY{sub 122} = 0.44 ± 0.16 and QY{sub 160} = 0.87 ± 0.30 for solid-phase O{sub 2} actinometry.« less

  16. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  17. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  18. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  19. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  20. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  1. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  2. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  3. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  4. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE PAGES

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun; ...

    2016-07-12

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  6. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  7. High-resolution, vacuum-ultraviolet absorption spectrum of boron trifluoride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hughes, Patrick P.; Thompson, Alan K.; Vest, Robert E.

    2014-11-21

    In the course of investigations of thermal neutron detection based on mixtures of {sup 10}BF{sub 3} with other gases, knowledge was required of the photoabsorption cross sections of {sup 10}BF{sub 3} for wavelengths between 135 and 205 nm. Large discrepancies in the values reported in existing literature led to the absolute measurements reported in this communication. The measurements were made at the SURF III Synchrotron Ultraviolet Radiation Facility at the National Institute of Standards and Technology. The measured absorption cross sections vary from 10{sup −20} cm{sup 2} at 135 nm to less than 10{sup −21} cm{sup 2} in the regionmore » from 165 to 205 nm. Three previously unreported absorption features with resolvable structure were found in the regions 135–145 nm, 150–165 nm, and 190–205 nm. Quantum mechanical calculations, using the TD-B3LYP/aug-cc-pVDZ variant of time-dependent density functional theory implemented in Gaussian 09, suggest that the observed absorption features arise from symmetry-changing adiabatic transitions.« less

  8. Multistep Ionization of Argon Clusters in Intense Femtosecond Extreme Ultraviolet Pulses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bostedt, C.; Thomas, H.; Hoener, M.

    The interaction of intense extreme ultraviolet femtosecond laser pulses ({lambda}=32.8 nm) from the FLASH free electron laser (FEL) with clusters has been investigated by means of photoelectron spectroscopy and modeled by Monte Carlo simulations. For laser intensities up to 5x10{sup 13} W/cm{sup 2}, we find that the cluster ionization process is a sequence of direct electron emission events in a developing Coulomb field. A nanoplasma is formed only at the highest investigated power densities where ionization is frustrated due to the deep cluster potential. In contrast with earlier studies in the IR and vacuum ultraviolet spectral regime, we find nomore » evidence for electron emission from plasma heating processes.« less

  9. Reference Ultraviolet Wavelengths of Cr III Measured by Fourier Transform Spectrometry

    NASA Technical Reports Server (NTRS)

    Smillie, D.G.; Pickering, J.C.; Smith, P.L.

    2008-01-01

    We report Cr III ultraviolet (UV) transition wavelengths measured using a high-resolution Fourier transform spectrometer (FTS), for the first time, available for use as wavelength standards. The doubly ionized iron group element spectra dominate the observed opacity of hot B stars in the UV, and improved, accurate, wavelengths are required for the analysis of astronomical spectra. The spectrum was excited using a chromium-neon Penning discharge lamp and measured with the Imperial College vacuum ultraviolet FTS. 140 classified 3d(exp 3)4s- 3d(exp 3)4p Cr III transition lines, in the spectral range 38,000 to 49,000 cm(exp -1) (2632 to 2041 A), the strongest having wavelength uncertainties less than one part in 10(exp 7), are presented.

  10. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  11. Low surface energy polymeric release coating for improved contact print lithography

    NASA Astrophysics Data System (ADS)

    Mancini, David P.; Resnick, Douglas J.; Gehoski, Kathleen A.; Popovich, Laura L.; Chang, Daniel

    2002-03-01

    Contact printing has been used for decades in many various lithography applications in the microelectronic industry. While vacuum contact printing processes offer sub-micron resolution and high throughput, they often suffer from some important drawbacks. One of the most common problems is degradation in both resolution and defect density which occurs when the same mask si used for multiple exposures without frequent mask cleans. This is largely due to the relatively high surface energy of both quartz and chrome and the tendency of most photoresists to adhere to these surfaces. As a result, when a mask and wafer are pressed into intimate contact, resist will tend to stick to the mask creating a defect on the wafer, effectively propagating defects to subsequent wafers. In this study, DuPont Teflon AF 1601S is used as a photomask coating and evaluated for its ability to act as a release agent and reduce defects while maintaining resolution for multiple exposures. Teflon AF is an amorphous, transparent, low surface energy, polymeric material that can be spin coated into a thin conformal film. Tests have shown that when using an uncoated mask in vacuum contact, resolution of 0.75 micrometers dense lines is severely degraded after less than 10 consecutive exposures. However, when the mask is coated, 0.75 micrometers dense lines were successfully resolved using vacuum contact for over 200 exposures without cleaning. In addition, it has been demonstrated that Teflon AF coatings impart to a mask a self-cleaning capability, since particles tend to stick to the photoresist rather than the mask. A coated mask, which was purposefully contaminated with particulates, resolved 0.75 micrometers dense lines on all but the first wafer of a series of 25 consecutive exposures. The patented mask releases layer process has successfully been demonstrated with a positive novolak resist. Additional data which describes the system chemistry, dilution and coating process, and film morphology

  12. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    PubMed

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  13. Classifying the Basic Parameters of Ultraviolet Copper Bromide Laser

    NASA Astrophysics Data System (ADS)

    Gocheva-Ilieva, S. G.; Iliev, I. P.; Temelkov, K. A.; Vuchkov, N. K.; Sabotinov, N. V.

    2009-10-01

    The performance of deep ultraviolet copper bromide lasers is of great importance because of their applications in medicine, microbiology, high-precision processing of new materials, high-resolution laser lithography in microelectronics, high-density optical recording of information, laser-induced fluorescence in plasma and wide-gap semiconductors and more. In this paper we present a statistical study on the classification of 12 basic lasing parameters, by using different agglomerative methods of cluster analysis. The results are based on a big amount of experimental data for UV Cu+ Ne-CuBr laser with wavelengths 248.6 nm, 252.9 nm, 260.0 nm and 270.3 nm, obtained in Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences. The relevant influence of parameters on laser generation is also evaluated. The results are applicable in computer modeling and planning the experiments and further laser development with improved output characteristics.

  14. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  15. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  16. Rotation of dwarf star chromospheres in the ultraviolet

    NASA Technical Reports Server (NTRS)

    Hallam, K. L.; Wolff, C. L.

    1981-01-01

    Periodic variations in the ultraviolet fluxes of chromospheric emission line multiplets are investigated for F, G and K stars as evidence of rotational modulation. Vacuum ultraviolet spectra were obtained with the IUE spacecraft for six stars as many as 11 times over the period April 23 to December 3, 1980. Variations in the emission fluxes of the hydrogen Lyman-alpha, Si II and Mg II lines are observed with periods up to 47 days. The periodicity, which is identified with rotational modulation, is found to persist over many rotational cycles, although the periods and time dependences of the fluxes from the different ionic species are not identical, probably due to differential rotation and global distributions. The spread of the UV periods is observed to be within 10%, with one or two peaks per cycle and a ratio of modulated to umodulated flux ranging from 1.1 to 3.0, analogous to solar behavior.

  17. Theoretical study on sensitivity enhancement in energy-deficit region of chemically amplified resists used for extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2017-10-01

    The role of photons in lithography is to transfer the energy and information required for resist pattern formation. In the information-deficit region, a trade-off relationship is observed between line edge roughness (LER) and sensitivity. However, the sensitivity can be increased without increasing LER in the energy-deficit region. In this study, the sensitivity enhancement limit was investigated, assuming line-and-space patterns with a half-pitch of 11 nm. LER was calculated by a Monte Carlo method. It was unrealistic to increase the sensitivity twofold while keeping the line width roughness (LWR) within 10% critical dimension (CD), whereas the twofold sensitivity enhancement with 20% CD LWR was feasible. The requirements are roughly that the sensitization distance should be less than 2 nm and that the total sensitizer concentration should be higher than 0.3 nm-3.

  18. Airfoil sampling of a pulsed Laval beam with tunable vacuum ultraviolet synchrotron ionization quadrupole mass spectrometry: application to low-temperature kinetics and product detection.

    PubMed

    Soorkia, Satchin; Liu, Chen-Lin; Savee, John D; Ferrell, Sarah J; Leone, Stephen R; Wilson, Kevin R

    2011-12-01

    A new pulsed Laval nozzle apparatus with vacuum ultraviolet (VUV) synchrotron photoionization quadrupole mass spectrometry is constructed to study low-temperature radical-neutral chemical reactions of importance for modeling the atmosphere of Titan and the outer planets. A design for the sampling geometry of a pulsed Laval nozzle expansion has been developed that operates successfully for the determination of rate coefficients by time-resolved mass spectrometry. The new concept employs airfoil sampling of the collimated expansion with excellent sampling throughput. Time-resolved profiles of the high Mach number gas flow obtained by photoionization signals show that perturbation of the collimated expansion by the airfoil is negligible. The reaction of C(2)H with C(2)H(2) is studied at 70 K as a proof-of-principle result for both low-temperature rate coefficient measurements and product identification based on the photoionization spectrum of the reaction product versus VUV photon energy. This approach can be used to provide new insights into reaction mechanisms occurring at kinetic rates close to the collision-determined limit.

  19. Infrared-Vacuum Ultraviolet Pulsed Field Ionization-Photoelectron Study of C₂H₄ + Using a High-Resolution Infrared Laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xing, Xi; Reed, Beth; Bahng, Mi-Kyung

    The research described in this product was performed in part in the Environmental Molecular Sciences Laboratory, a national scientific user facility sponsored by the Department of Energy's Office of Biological and Environmental Research and located at Pacific Northwest National Laboratory. The infrared (IR)-vacuum ultraviolet (VUV)-pulsed field ionization-photoelectron (IR-VUV-PFI-PE) spectrum for C₂H₄(X 1A g, V 11 = 1, N' Ka' Kc'=3₀₃) in the VUV range of 83 000-84 800 cm -1 obtained using a single mode infrared laser revealed 24 rotationally resolved vibrational bands for the ion C₂H₄ +(X 2B 3u) ground state. The frequencies and symmetry of the vibrational bandsmore » thus determined, together with the anharmonic frequency predictions calculated at the CCSD(T)/aug-cc-pVQZ level, have allowed the unambiguous assignment of these vibrational bands. These bands are mostly combination bands. The measured frequencies of these bands yield the fundamental frequencies for V 8 + ) 1103± ( 10 cm -1 and V 10 + ) 813 ( 10 cm -1 of C₂H₄ +(X 2B 3u), which have not been determined previously. The present IR-VUV-PFI-PE study also provides truly rovibrationally selected and resolved state-to-state cross sections for the photoionization transitions C₂H₄(X~ 1A g; V 11, N' Ka' Kc') → C₂H₄ +(X ~ 2B 3u; V i +, N + Ka + Kc +), where N' Ka' Kc' denotes the rotational level of C₂H₄(X ~ 1Ag; V 11), and V i + and N + Ka + Kc + represent the vibrational and rotational states of the cation.« less

  20. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    NASA Astrophysics Data System (ADS)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  1. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  2. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  3. Valence and ionic lowest-lying electronic states of ethyl formate as studied by high-resolution vacuum ultraviolet photoabsorption, He(I) photoelectron spectroscopy, and ab initio calculations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Śmiałek, M. A., E-mail: smialek@pg.gda.pl; Łabuda, M.; Guthmuller, J.

    2014-09-14

    The highest resolution vacuum ultraviolet photoabsorption spectrum of ethyl formate, C{sub 2}H{sub 5}OCHO, yet reported is presented over the wavelength range 115.0–275.5 nm (10.75–4.5 eV) revealing several new spectral features. Valence and Rydberg transitions and their associated vibronic series, observed in the photoabsorption spectrum, have been assigned in accordance with new ab initio calculations of the vertical excitation energies and oscillator strengths. Calculations have also been carried out to determine the ionization energies and fine structure of the lowest ionic state of ethyl formate and are compared with a newly recorded He(I) photoelectron spectrum (from 10.1 to 16.1 eV). Newmore » vibrational structure is observed in the first photoelectron band. The photoabsorption cross sections have been used to calculate the photolysis lifetime of ethyl formate in the upper stratosphere (20–50 km)« less

  4. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  5. Vacuum ultraviolet coatings of Al protected with MgF(2) prepared both by ion-beam sputtering and by evaporation.

    PubMed

    Fernández-Perea, Mónica; Larruquert, Juan I; Aznárez, José A; Pons, Alicia; Méndez, José A

    2007-08-01

    Ion-beam sputtering (IBS) and evaporation are the two deposition techniques that have been used to deposit coatings of Al protected with MgF(2) with high reflectance in the vacuum ultraviolet down to 115 nm. Evaporation deposited (ED) Al protected with IBS MgF(2) resulted in a larger (smaller) reflectance below (above) 125 nm than the well-known all-evaporated coatings. A similar comparison is obtained when the Al film is deposited by IBS instead of evaporation. The lower reflectance of the coatings protected with IBS versus ED MgF(2) above 125 nm is because of larger absorption of the former. Both nonprotected IBS Al, as well as IBS Al protected with ED MgF(2), resulted in a band of reflectance loss that was peaked at 127 and 157 nm, respectively. This result was attributed to the excitation of surface plasmons due to the enhancement of surface roughness with large spatial wave vectors in the sputter deposition. This reflectance loss for IBS Al protected with MgF(2) is small at the short (lambda~120 nm) and long (lambda<350 nm) wavelengths investigated. IBS Al protected with ED MgF(2) is thus a promising coating for these two spectral regions. Coatings protected with IBS MgF(2) resulted in a reflectance as high as coatings protected with ED MgF(2) at wavelengths longer than 550 nm, whereas the former had a lower reflectance below this wavelength.

  6. Ultraviolet radiation effects on the infrared damage rate of a thermal control coating

    NASA Technical Reports Server (NTRS)

    Bass, J. A.

    1972-01-01

    The effects of ultraviolet radiation on the infrared reflectance of ZnO silicone white thermal coatings were investigated. Narrow band ultraviolet radiation for wavelengths in the 2200A to 3500A range by a monochromator and a high pressure, 150-W Eimac xenon lamp. The sample was irradiated while in a vacuum of at least 0.000001 torr, and infrared reflectance was measured in situ with a spectroreflectometer at 19,500A. Reflectance degradation was studied as a function of wavelength, time, intensity, and dose. Damage was wavelength dependent at constant exposure, but no maximum was evident above the shortest wavelength investigated here. The degradation rate at constant intensity was an exponential function of time and varies with intensity.

  7. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  8. Grayscale lithography-automated mask generation for complex three-dimensional topography

    NASA Astrophysics Data System (ADS)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  9. Design, fabrication and performance of two grazing incidence telescopes for celestial extreme ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Lampton, M.; Cash, W.; Malina, R. F.; Bowyer, S.

    1977-01-01

    The design and performance of grazing incidence telescopes for celestial extreme ultraviolet (EUV) astronomy are described. The telescopes basically consist of a star tracker, collimator, grazing incidence mirror, vacuum box lid, vacuum housing, filters, a ranicon detector, an electronics box, and an aspect camera. For the survey mirror a Wolter-Schwarzschild type II configuration was selected. Diamond-turning was used for mirror fabrication, a technique which machines surfaces to the order of 10 microns over the required dimensions. The design of the EUV spectrometer is discussed with particular reference to the optics for a primarily spectroscopic application and the fabrication of the f/10 optics.

  10. Extreme ultraviolet patterning of tin-oxo cages

    NASA Astrophysics Data System (ADS)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  11. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  12. Full observation of ultrafast cascaded radiationless transitions from S{sub 2}(ππ{sup ∗}) state of pyrazine using vacuum ultraviolet photoelectron imaging

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Horio, Takuya; Spesyvtsev, Roman; Nagashima, Kazuki

    A photoexcited molecule undergoes multiple deactivation and reaction processes simultaneously or sequentially, which have been observed by combinations of various experimental methods. However, a single experimental method that enables complete observation of the photo-induced dynamics would be of great assistance for such studies. Here we report a full observation of cascaded electronic dephasing from S{sub 2}(ππ{sup *}) in pyrazine (C{sub 4}N{sub 2}H{sub 4}) by time-resolved photoelectron imaging (TRPEI) using 9.3-eV vacuum ultraviolet pulses with a sub-20 fs time duration. While we previously demonstrated a real-time observation of the ultrafast S{sub 2}(ππ{sup *}) → S{sub 1}(nπ{sup *}) internal conversion in pyrazinemore » using TRPEI with UV pulses, this study presents a complete observation of the dynamics including radiationless transitions from S{sub 1} to S{sub 0} (internal conversion) and T{sub 1}(nπ{sup *}) (intersystem crossing). Also discussed are the role of {sup 1}A{sub u}(nπ{sup *}) in the internal conversion and the configuration interaction of the S{sub 2}(ππ{sup *}) electronic wave function.« less

  13. Sealing Materials for Use in Vacuum at High Temperatures

    NASA Technical Reports Server (NTRS)

    Pettit, Donald R.; Camarda, Charles J.; Lee Vaughn, Wallace

    2012-01-01

    Sealing materials that can be applied and left in place in vacuum over a wide range of temperatures (especially temperatures of a few thousand degrees Celsius) have been conceived and investigated for potential utility in repairing thermal-protection tiles on the space shuttles in orbit before returning to Earth. These materials are also adaptable to numerous terrestrial applications that involve vacuum processing and/or repair of structures that must withstand high temperatures. These materials can be formulated to have mechanical handling characteristics ranging from almost freely flowing liquid-like consistency through paste-like consistency to stiff puttylike consistency, and to retain these characteristics in vacuum until heated to high curing temperatures. A sealing material of this type can be formulated to be used in any of several different ways for example, to be impregnated into a high-temperature-fabric patch, impregnated into a high-temperature-fabric gasket for sealing a patch, applied under a patch, or applied alone in the manner of putty or wallboard compound. The sealing material must be formulated to be compatible with, and adhere to, the structural material(s) to be repaired. In general, the material consists of a vacuum-compatible liquid containing one or more dissolved compound(s) and/or mixed with suspended solid particles. Depending on the intended application, the liquid can be chosen to be of a compound that can remain in place in vacuum for a time long enough to be useful, and/or to evaporate or decompose in a controlled way to leave a useful solid residue behind. The evaporation rate is determined by proper choice of vapor pressure, application of heat, and/or application of ultraviolet light or other optical radiation. The liquid chosen for the original space shuttle application is a commercial silicone vacuum-pump oil.

  14. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  15. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  16. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  17. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  18. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  19. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  20. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  1. Vacuum compatible miniature CCD camera head

    DOEpatents

    Conder, Alan D.

    2000-01-01

    A charge-coupled device (CCD) camera head which can replace film for digital imaging of visible light, ultraviolet radiation, and soft to penetrating x-rays, such as within a target chamber where laser produced plasmas are studied. The camera head is small, capable of operating both in and out of a vacuum environment, and is versatile. The CCD camera head uses PC boards with an internal heat sink connected to the chassis for heat dissipation, which allows for close(0.04" for example) stacking of the PC boards. Integration of this CCD camera head into existing instrumentation provides a substantial enhancement of diagnostic capabilities for studying high energy density plasmas, for a variety of military industrial, and medical imaging applications.

  2. Semi-automated high-efficiency reflectivity chamber for vacuum UV measurements

    NASA Astrophysics Data System (ADS)

    Wiley, James; Fleming, Brian; Renninger, Nicholas; Egan, Arika

    2017-08-01

    This paper presents the design and theory of operation for a semi-automated reflectivity chamber for ultraviolet optimized optics. A graphical user interface designed in LabVIEW controls the stages, interfaces with the detector system, takes semi-autonomous measurements, and monitors the system in case of error. Samples and an optical photodiode sit on an optics plate mounted to a rotation stage in the middle of the vacuum chamber. The optics plate rotates the samples and diode between an incident and reflected position to measure the absolute reflectivity of the samples at wavelengths limited by the monochromator operational bandpass of 70 nm to 550 nm. A collimating parabolic mirror on a fine steering tip-tilt motor enables beam steering for detector peak-ups. This chamber is designed to take measurements rapidly and with minimal oversight, increasing lab efficiency for high cadence and high accuracy vacuum UV reflectivity measurements.

  3. Full-wafer fabrication by nanostencil lithography of micro/nanomechanical mass sensors monolithically integrated with CMOS.

    PubMed

    Arcamone, J; van den Boogaart, M A F; Serra-Graells, F; Fraxedas, J; Brugger, J; Pérez-Murano, F

    2008-07-30

    Wafer-scale nanostencil lithography (nSL) is used to define several types of silicon mechanical resonators, whose dimensions range from 20 µm down to 200 nm, monolithically integrated with CMOS circuits. We demonstrate the simultaneous patterning by nSL of ∼2000 nanodevices per wafer by post-processing standard CMOS substrates using one single metal evaporation, pattern transfer to silicon and subsequent etch of the sacrificial layer. Resonance frequencies in the MHz range were measured in air and vacuum. As proof-of-concept towards an application as high performance sensors, CMOS integrated nano/micromechanical resonators are successfully implemented as ultra-sensitive areal mass sensors. These devices demonstrate the ability to monitor the deposition of gold layers whose average thickness is smaller than a monolayer. Their areal mass sensitivity is in the range of 10(-11) g cm(-2) Hz(-1), and their thickness resolution corresponds to approximately a thousandth of a monolayer.

  4. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  5. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  6. Comparison of surface vacuum ultraviolet emissions with resonance level number densities. II. Rare-gas plasmas and Ar-molecular gas mixtures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boffard, John B., E-mail: jboffard@wisc.edu; Lin, Chun C.; Wang, Shicong

    2015-03-15

    Vacuum ultraviolet (VUV) emissions from excited plasma species can play a variety of roles in processing plasmas, including damaging the surface properties of materials used in semiconductor processing. Depending on their wavelength, VUV photons can easily transmit thin upper dielectric layers and affect the electrical characteristics of the devices. Despite their importance, measuring VUV fluxes is complicated by the fact that few materials transmit at VUV wavelengths, and both detectors and windows are easily damaged by plasma exposure. The authors have previously reported on measuring VUV fluxes in pure argon plasmas by monitoring the concentrations of Ar(3p{sup 5}4s) resonance atomsmore » that produce the VUV emissions using noninvasive optical emission spectroscopy in the visible/near-infrared wavelength range [Boffard et al., J. Vac. Sci. Technol., A 32, 021304 (2014)]. Here, the authors extend this technique to other rare-gases (Ne, Kr, and Xe) and argon-molecular gas plasmas (Ar/H{sub 2}, Ar/O{sub 2}, and Ar/N{sub 2}). Results of a model for VUV emissions that couples radiation trapping and the measured rare-gas resonance level densities are compared to measurements made with both a calibrated VUV photodiode and a sodium salicylate fluorescence detection scheme. In these more complicated gas mixtures, VUV emissions from a variety of sources beyond the principal resonance levels of the rare gases are found to contribute to the total VUV flux.« less

  7. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  8. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  9. Formation of protein sub-visible particles during vacuum degassing of etanercept solutions.

    PubMed

    Wang, Haibin; Zheng, Hong-Jian; Wang, Zhao; Bai, Hua; Carpenter, John F; Chen, Shuqing; Fang, Wei-Jie

    2014-05-01

    The main purpose of this manuscript is to describe a phenomenon in which vacuum degassing a reconstituted freeze-dried fusion protein etanercept formulation caused a significant amount of protein sub-visible particles (SbVP). Physical stability of etanercept was monitored by micro-flow imaging (MFI), dynamic light scattering (DLS), size-exclusion high pressure liquid chromatography (SE-HPLC) and far- and near-ultraviolet circular dichroism (far- and near-UV CD). One potential explanation of this phenomenon is that bubble collapses when the vacuum is applied, leads to substantial heat formation, and ultimately free radical formation. Subsequently, the effect of a free-radical scavenger (ascorbic acid, AA) on SbVP formation was also evaluated. Degassing of etanercept solution by applying vacuum caused substantial increase of SbVP, as detected by MFI and DLS. However, traditional techniques such as SE-HPLC could not detect any change. The addition of free-radical scavenger had minimal effect on SbVP formation, therefore the formation of free radicals was probably not the main cause for this effect. Copyright © 2014 Elsevier B.V. All rights reserved.

  10. Microbial survival of space vacuum and extreme ultraviolet irradiation: strain isolation and analysis during a rocket flight.

    PubMed

    Saffary, Roya; Nandakumar, Renu; Spencer, Dennis; Robb, Frank T; Davila, Joseph M; Swartz, Marvin; Ofman, Leon; Thomas, Roger J; DiRuggiero, Jocelyne

    2002-09-24

    We have recovered new isolates from hot springs, in Yellowstone National Park and the Kamchatka Peninsula, after gamma-irradiation and exposure to high vacuum (10(-6) Pa) of the water and sediment samples. The resistance to desiccation and ionizing radiation of one of the isolates, Bacillus sp. strain PS3D, was compared to that of the mesophilic bacterium, Deinococcus radiodurans, a species well known for its extraordinary resistance to desiccation and high doses of ionizing radiation. Survival of these two microorganisms was determined in real and simulated space conditions, including exposure to extreme UV radiation (10-100 nm) during a rocket flight. We found that up to 15 days of desiccation alone had little effect on the viability of either bacterium. In contrast, exposure to space vacuum ( approximately 10(-6) Pa) decreased cell survival by two and four orders of magnitude for Bacillus sp. strain PS3D and D. radiodurans, respectively. Simultaneous exposure to space vacuum and extreme UV radiation further decreased the survival of both organisms, compared to unirradiated controls. This is the first report on the isolated effect of extreme UV at 30 nm on cell survival. Extreme UV can only be transmitted through high vacuum, therefore its penetration into the cells may only be superficial, suggesting that in contrast to near UV, membrane proteins rather than DNA were damaged by the radiation.

  11. Design and manufacture of optical system for use in ultraviolet lithography with the free-electron laser

    NASA Astrophysics Data System (ADS)

    Byrd, Donald A.; Viswanathan, Vriddhachalam K.; Woodfin, Gregg L.; Horn, William W.; Lazazzera, Vito J.; Schmell, Rodney A.

    1993-08-01

    At Los Alamos National Laboratory, we are preparing to image submicrometer-size features using the Free Electron Laser (FEL) operating at 248 nm. This article describes the optical transfer systems that were designed to relay the ultraviolet (UV) optical output of the FEL, resulting in expected imaged feature sizes in the range 0.3 - 0.5 micrometers . Nearly all optical subsystems are reflective, and once the coatings were optimized any optical wavelength could be used. All refractive optics were UV-grade fused silica. The optical design, engineering, and manufacture of the various component systems are described along with some experimental results.

  12. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  13. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  14. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  15. Vacuum force

    NASA Astrophysics Data System (ADS)

    Han, Yongquan

    2015-03-01

    To study on vacuum force, we must clear what is vacuum, vacuum is a space do not have any air and also ray. There is not exist an absolute the vacuum of space. The vacuum of space is relative, so that the vacuum force is relative. There is a certain that vacuum vacuum space exists. In fact, the vacuum space is relative, if the two spaces compared to the existence of relative vacuum, there must exist a vacuum force, and the direction of the vacuum force point to the vacuum region. Any object rotates and radiates. Rotate bend radiate- centripetal, gravity produced, relative gravity; non gravity is the vacuum force. Gravity is centripetal, is a trend that the objects who attracted wants to Centripetal, or have been do Centripetal movement. Any object moves, so gravity makes the object curve movement, that is to say, the radiation range curve movement must be in the gravitational objects, gravity must be existed in non vacuum region, and make the object who is in the region of do curve movement (for example: The earth moves around the sun), or final attracted in the form gravitational objects, and keep relatively static with attract object. (for example: objects on the earth moves but can't reach the first cosmic speed).

  16. Experimental realization of a polarization-independent ultraviolet/visible coaxial plasmonic metamaterial.

    PubMed

    van de Haar, M A; Maas, R; Schokker, H; Polman, A

    2014-11-12

    We report the experimental realization of an optical metamaterial composed of a hexagonal array of coaxial plasmonic metal/insulator/metal waveguides that shows strong polarization-independent optical mode index dispersion in the ultraviolet/blue. The metamaterial is composed of silicon coaxes with a well-defined diameter in the range of 150-168 nm with extremely thin sidewalls (13-15 nm), embedded in a silver film, fabricated using a combination of electron beam lithography, physical vapor deposition, reactive ion etching, and focused ion beam polishing. Using a Mach-Zehnder interferometer the phase advance is measured on several metamaterial samples with different dimensions in the UV/visible part of the spectrum. For all geometries the spectral features as well as the geometry dependence of the data correspond well with numerical finite-difference time domain simulations and the calculated waveguide dispersion diagram, showing a negative mode index between 440 and 500 nm.

  17. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  18. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  19. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  20. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    PubMed

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  1. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  2. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  3. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  4. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  5. Investigation of electron beam lithography effects on metal-insulator transition behavior of vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Yuce, H.; Alaboz, H.; Demirhan, Y.; Ozdemir, M.; Ozyuzer, L.; Aygun, G.

    2017-11-01

    Vanadium dioxide (VO2) shows metal-insulator phase transition at nearly 68 °C. This metal-insulator transition (MIT) in VO2 leads to a significant change in near-infrared transmittance and an abrupt change in the resistivity of VO2. Due to these characteristics, VO2 plays an important role on optic and electronic devices, such as thermochromic windows, meta-materials with tunable frequency, uncooled bolometers and switching devices. In this work, VO2 thin films were fabricated by reactive direct current magnetron sputtering in O2/Ar atmosphere on sapphire substrates without any further post annealing processes. The effect of sputtering parameters on optical characteristics and structural properties of grown thin films was investigated by SEM, XRD, Raman and UV/VIS spectrophotometer measurements. Patterning process of VO2 thin films was realized by e-beam lithography technique to monitor the temperature dependent electrical characterization. Electrical properties of VO2 samples were characterized using microprobe station in a vacuum system. MIT with hysteresis behavior was observed for the unpatterned square samples at around 68 °C. By four orders of magnitude of resistivity change was measured for the deposited VO2 thin films at transition temperature. After e-beam lithography process, substantial results in patterned VO2 thin films were observed. In this stage, for patterned VO2 thin films as stripes, the change in resistivity of VO2 was reduced by a factor of 10. As a consequence of electrical resistivity measurements, MIT temperature was shifted from 68 °C to 50 °C. The influence of e-beam process on the properties of VO2 thin films and the mechanism of the effects are discussed. The presented results contribute to the achievement of VO2 based thermochromic windows and bolometer applications.

  6. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  7. Imaging performance improvement of coherent extreme-ultraviolet scatterometry microscope with high-harmonic-generation extreme-ultraviolet source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-06-01

    In extreme-ultraviolet (EUV) lithography, the development of a review apparatus for the EUV mask pattern at an exposure wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern and a Mo/Si multilayer on a glass substrate. This mask pattern has a three-dimensional (3D) structure. The 3D structure would modulate the EUV reflection phase, which would cause focus and pattern shifts. Thus, the review of the EUV phase image is also important. We have developed a coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. The EUV phase and intensity images were reconstructed with diffraction images by ptychography. For a standalone mask review, the high-harmonic-generation (HHG) EUV source was employed. In this study, we updated the sample stage, pump-laser reduction system, and gas-pressure control system to reconstruct the image. As a result, an 88 nm line-and-space pattern and a cross-line pattern were reconstructed. In addition, a particle defect of 2 µm diameter was well reconstructed. This demonstrated the high capability of the standalone CSM, which can hence be used in factories, such as mask shops and semiconductor fabrication plants.

  8. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  9. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  10. A technique for synergistic atomic oxygen and vacuum ultraviolet radiation durability evaluation of materials for use in LEO

    NASA Technical Reports Server (NTRS)

    Rutledge, Sharon K.; Banks, Bruce A.

    1996-01-01

    Material erosion data collected during flight experiments such as the Environmental Oxygen Interaction with Materials (EOIM)-3 and the Long Duration Exposure Facility (LDEF) have raised questions as to the sensitivity of material erosion to levels of atomic oxygen exposure and vacuum ultraviolet (VUV) radiation. The erosion sensitivity of some materials such as FEP Teflon used as a thermal control material on satellites in low Earth orbit (LEO), is particularly important but difficult to determine. This is in large part due to the inability to hold all but one exposure parameter constant during a flight experiment. This is also difficult to perform in a ground based facility, because often the variation of the level of atomic oxygen or VUV radiation also results in a change in the level of the other parameter. A facility has been developed which allows each parameter to be changed almost independently and offer broad area exposure. The resulting samples can be made large enough for mechanical testing. The facility uses an electron cyclotron resonance plasma source to provide the atomic oxygen. A series of glass plates is used to focus the atomic oxygen while filtering the VUV radiation from the plasma source. After filtering, atomic oxygen effective flux levels can still be measured which are as high as 7 x 10(exp 15) atoms/cm(exp 2)-sec which is adequate for accelerated testing. VUV radiation levels after filtering can be as low as 0.3 suns. Additional VUV suns can be added with the use of deuterium lamps which allow the VUV level to be changed while keeping the flux of atomic oxygen constant. This paper discusses the facility, and results from exposure of Kapton and FEP at pre-determined atomic oxygen flux and VUV sun levels.

  11. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  12. INTRODUCTION: The 8th International Conference on Vacuum Ultraviolet Radiation Physics

    NASA Astrophysics Data System (ADS)

    Nilsson, Per Olof; Hedin, Lars

    1987-01-01

    The VUV conferences series The international conferences on vacuum ultraviolet radiation physics started in 1962, and are now being held every third year. VUV-8 took place at Lund University, August 4-8, 1986. VUV-9 will be arranged at the University of Hawaii, USA, August 14-18, 1989, with Prof. C S Fadley as conference chairman. Chairman of the international advisory board for the period 1986-89 is Prof. L Hedin. The theme of the series can be summarized as experimental and theoretical progress in research fields utilizing the interaction of VUV radiation with matter. The topics cover broad areas within atomic and molecular physics, solid state physics and VUV instrumentation. The conferences emphasize interdisciplinary aspects. To these belong common experimental techniques as, e.g., synchrotron radiation instrumentation, and common theoretical foundations for the description of photon interactions with matter. The VUV-8 conference The VUV-8 conference in Lund was attended by 300 participants from 26 countries. An address list of the participants is given at the end of this volume. There were 33 invited papers given as plenary or key-note talks. As many as 229 posters were presented; 49 of them were also given orally. These numbers are typical for the VUV conferences, except for the number of posters, which was unusually large. In the conference planning the poster sessions were stressed, and particular care was taken to provide a good atmosphere at these sessions. Thus the posters were kept up during the whole conference, coffee was served in the hail with the posters and there were convenient places to sit down close to the posters. Considering the wide scope of the conference it was necessary to emphasize a limited number of topics of high current interest and importance. Thus besides traditional topics, several rapidly expanding fields were discussed in special sessions. At VUV-8 there were the following sessions. Theory of atoms and molecules

  13. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  14. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  15. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  16. Vacuum ultraviolet emission spectrum measurement of a microwave-discharge hydrogen-flow lamp in several configurations: Application to photodesorption of CO ice

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Y.-J.; Wu, C.-Y. R.; Chuang, K.-J.

    2014-01-20

    We report measurements of the vacuum ultraviolet (VUV) emission spectra of a microwave-discharge hydrogen-flow lamp (MDHL), a common tool in astrochemistry laboratories working on ice VUV photoprocessing. The MDHL provides hydrogen Ly-α (121.6 nm) and H{sub 2} molecular emission in the 110-180 nm range. We show that the spectral characteristics of the VUV light emitted in this range, in particular the relative proportion of Ly-α to molecular emission bands, strongly depend on the pressure of H{sub 2} inside the lamp, the lamp geometry (F type versus T type), the gas used (pure H{sub 2} versus H{sub 2} seeded in He),more » and the optical properties of the window used (MgF{sub 2} versus CaF{sub 2}). These different configurations are used to study the VUV irradiation of CO ice at 14 K. In contrast to the majority of studies dedicated to the VUV irradiation of astrophysical ice analogs, which have not taken into consideration the emission spectrum of the MDHL, our results show that the processes induced by photons in CO ice from a broad energy range are different and more complex than the sum of individual processes induced by monochromatic sources spanning the same energy range, as a result of the existence of multistate electronic transitions and discrepancy in absorption cross sections between parent molecules and products in the Ly-α and H{sub 2} molecular emission ranges.« less

  17. Electronic Structure and Optical Properties of Cu2ZnGeSe4 : First-Principles Calculations and Vacuum-Ultraviolet Spectroscopic Ellipsometric Studies

    NASA Astrophysics Data System (ADS)

    Choi, S. G.; Park, J.-S.; Donohue, A. L.; Christensen, S. T.; To, B.; Beall, C.; Wei, S.-H.; Repins, I. L.

    2015-11-01

    Cu2ZnGeSe4 is of interest for the development of next-generation thin-film photovoltaic technologies. To understand its electronic structure and related fundamental optical properties, we perform first-principles calculations for three structural variations: kesterite, stannite, and primitive-mixed CuAu phases. The calculated data are compared with the room-temperature dielectric function ɛ =ɛ1+i ɛ2 spectrum of polycrystalline Cu2ZnGeSe4 determined by vacuum-ultraviolet spectroscopic ellipsometry in the photon-energy range of 0.7 to 9.0 eV. Ellipsometric data are modeled with the sum of eight Tauc-Lorentz oscillators, and the best-fit model yields the band-gap and Tauc-gap energies of 1.25 and 1.19 eV, respectively. A comparison of overall peak shapes and relative intensities between experimental spectra and the calculated ɛ data for three structural variations suggests that the sample may not have a pure (ordered) kesterite phase. The complex refractive index N =n +i k , normal-incidence reflectivity R , and absorption coefficients α are calculated from the modeled ɛ spectrum, which are also compared with those of Cu2ZnSnSe4 . The spectral features for Cu2ZnGeSe4 appear to be weaker and broader than those for Cu2ZnSnSe4 , which is possibly due to more structural imperfections presented in Cu2ZnGeSe4 than Cu2ZnSnSe4 .

  18. Elastomeric Seal Performance after Terrestrial Ultraviolet Radiation Exposure

    NASA Technical Reports Server (NTRS)

    Daniels, Christopher C.; Oravec, Heather A.; Mather, Janice L.; Taylor, Shawn C.; Dunlap, Patrick H.

    2015-01-01

    Ultraviolet radiation was evaluated to determine its negative effects on the performance of elastomeric gas pressure seals. The leak rates of the silicone elastomer S0383-70 O-ring test articles were used to quantify the degradation of the seals after exposure to vacuum-ultraviolet and/or middle-to-near-ultraviolet wavelength radiation. Three groups of seals were exposed in terrestrial facilities to 115-165 nm wavelength radiation, 230-500 nm wavelength radiation, or both spectrums, for an orbital spaceflight equivalent of 125 hours. The leak rates of the silicone elastomer S0383-70 seals were quantified and compared to samples that received no radiation. Each lot contained six samples and statistical t-tests were used to determine the separate and combined influences of exposure to the two wavelength ranges. A comparison of the mean leak rates of samples exposed to 115-165 nm wavelength radiation to the control specimens showed no difference, suggesting that spectrum was not damaging. The 230-500 nm wavelength appeared to be damaging, as the mean leak rates of the specimens exposed to that range of wavelengths, and those exposed to the combined 115-165 nm and 230-500 nm spectrums, were significantly different from the leak rates of the control specimens. Most importantly, the test articles exposed to both wavelength spectrums exhibited mean leak rates two orders of magnitude larger than any other exposed specimens, which suggested that both wavelength spectrums are important when simulating the orbital environment.

  19. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  20. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  1. Extreme Ultraviolet Fractional Orbital Angular Momentum Beams from High Harmonic Generation

    PubMed Central

    Turpin, Alex; Rego, Laura; Picón, Antonio; San Román, Julio; Hernández-García, Carlos

    2017-01-01

    We investigate theoretically the generation of extreme-ultraviolet (EUV) beams carrying fractional orbital angular momentum. To this end, we drive high-order harmonic generation with infrared conical refraction (CR) beams. We show that the high-order harmonic beams emitted in the EUV/soft x-ray regime preserve the characteristic signatures of the driving beam, namely ringlike transverse intensity profile and CR-like polarization distribution. As a result, through orbital and spin angular momentum conservation, harmonic beams are emitted with fractional orbital angular momentum, and they can be synthesized into structured attosecond helical beams –or “structured attosecond light springs”– with rotating linear polarization along the azimuth. Our proposal overcomes the state of the art limitations for the generation of light beams far from the visible domain carrying non-integer orbital angular momentum and could be applied in fields such as diffraction imaging, EUV lithography, particle trapping, and super-resolution imaging. PMID:28281655

  2. Far Ultraviolet Spectroscopy of Saturn's Icy Moon Rhea

    NASA Astrophysics Data System (ADS)

    Elowitz, Mark; Hendrix, Amanda; Mason, Nigel J.; Sivaraman, Bhalamurugan

    2018-01-01

    We present an analysis of spatially resolved, far-UV reflectance spectra of Saturn’s icy satellite Rhea, collected by the Cassini Ultraviolet Imaging Spectrograph (UVIS). In recent years ultraviolet spectroscopy has become an important tool for analysing the icy satellites of the outer solar system (1Hendrix & Hansen, 2008). Far-UV spectroscopy provides unique information about the molecular structure and electronic transitions of chemical species. Many molecules that are suspected to be present in the icy surfaces of moons in the outer solar system have broad absorption features due to electronic transitions that occur in the far-UV portion of the spectrum. The studies show that Rhea, like the other icy satellites of the Saturnian system are dominated by water-ice as evident by the 165-nm absorption edge, with minor UV absorbing contaminants. Far-UV spectra of several Saturnian icy satellites, including Rhea and Dione, show an unexplained weak absorption feature centered near 184 nm. To carry out the geochemical survey of Rhea’s surface, the UVIS observations are compared with vacuum-UV spectra of thin-ice samples measured in laboratory experiments. Thin film laboratory spectra of water-ice and other molecular compounds in the solid phase were collected at near-vacuum conditions and temperatures identical to those at the surface of Rhea. Comparison between the observed far-UV spectra of Rhea’s surface ice and modelled spectra based on laboratory absorption measurements of different non-water-ice compounds show that two possible chemical compounds could explain the 184-nm absorption feature. The two molecular compounds include simple chlorine molecules and hydrazine monohydrate. Attempts to explain the source(s) of these compounds on Rhea and the scientific implications of their possible discovery will be summarized.[1] Hendrix, A. R. & Hansen, C. J. (2008). Icarus, 193, pp. 323-333.

  3. Simple Refractometers for Index Measurements by Minimum Deviation Method from Far-ultraviolet to Near Infrared

    NASA Technical Reports Server (NTRS)

    Leviton, Douglas B.; Madison, Timothy J.; Petrone, Peter

    1998-01-01

    The focal shift of an optical filter used in non-collimated light depends directly on substrate thickness and index of refraction. The HST Advanced Camera for Surveys (ACS) requires a set of filters whose focal shifts are tightly matched. Knowing the index of refraction for substrate glasses allows precise substrate thicknesses to be specified. Two refractometers have been developed at the Goddard Space Flight Center (GSFC) to determine the indices of refraction of materials from which ACS filters are made. Modem imaging detectors for the near infrared, visible, and far ultraviolet spectral regions make these simple yet sophisticated refractometers possible. A new technology, high accuracy, angular encoder also developed at GSFC makes high precision index measurement possible in the vacuum ultraviolet.

  4. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  6. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  7. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  8. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  9. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  10. Pauli-Zeldovich cancellation of the vacuum energy divergences, auxiliary fields and supersymmetry

    NASA Astrophysics Data System (ADS)

    Kamenshchik, Alexander Yu.; Starobinsky, Alexei A.; Tronconi, Alessandro; Vardanyan, Tereza; Venturi, Giovanni

    2018-03-01

    We have considered the Pauli-Zeldovich mechanism for the cancellation of the ultraviolet divergences in vacuum energy. This mechanism arises because bosons and fermions give contributions of the opposite signs. In contrast with the preceding papers devoted to this topic wherein mainly free fields were studied, here we have taken their interactions into account to the lowest order of perturbation theory. We have constructed some simple toy models having particles with spin 0 and spin 1 / 2, where masses of the particles are equal while the interactions can be quite non-trivial.

  11. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  12. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  13. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  14. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  15. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    PubMed

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  16. Ultraviolet Extensions

    NASA Technical Reports Server (NTRS)

    2008-01-01

    [figure removed for brevity, see original site] Side-by-Side Comparison Click on image for larger view

    This ultraviolet image from NASA's Galaxy Evolution Explorer shows the Southern Pinwheel galaxy, also know as Messier 83 or M83. It is located 15 million light-years away in the southern constellation Hydra.

    Ultraviolet light traces young populations of stars; in this image, young stars can be seen way beyond the main spiral disk of M83 up to 140,000 light-years from its center. Could life exist around one of these far-flung stars? Scientists say it's unlikely because the outlying regions of a galaxy are lacking in the metals required for planets to form.

    The image was taken at scheduled intervals between March 15 and May 20, 2007. It is one of the longest-exposure, or deepest, images ever taken of a nearby galaxy in ultraviolet light. Near-ultraviolet light (or longer-wavelength ultraviolet light) is colored yellow, and far-ultraviolet light is blue.

    What Lies Beyond the Edge of a Galaxy The side-by-side comparison shows the Southern Pinwheel galaxy, or M83, as seen in ultraviolet light (right) and at both ultraviolet and radio wavelengths (left). While the radio data highlight the galaxy's long, octopus-like arms stretching far beyond its main spiral disk (red), the ultraviolet data reveal clusters of baby stars (blue) within the extended arms.

    The ultraviolet image was taken by NASA's Galaxy Evolution Explorer between March 15 and May 20, 2007, at scheduled intervals. Back in 2005, the telescope first photographed M83 over a shorter period of time. That picture was the first to reveal far-flung baby stars forming up to 63,000 light-years from the edge of the main spiral disk. This came as a surprise to astronomers because a galaxy's outer territory typically lacks high densities of star-forming materials.

    The newest picture of M83 from the Galaxy Evolution Explorer is shown at the right, and was taken over a longer period of

  17. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  18. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  19. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  20. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  1. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  2. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  3. Indian Vacuum Society: The Indian Vacuum Society

    NASA Astrophysics Data System (ADS)

    Saha, T. K.

    2008-03-01

    The Indian Vacuum Society (IVS) was established in 1970. It has over 800 members including many from Industry and R & D Institutions spread throughout India. The society has an active chapter at Kolkata. The society was formed with the main aim to promote, encourage and develop the growth of Vacuum Science, Techniques and Applications in India. In order to achieve this aim it has conducted a number of short term courses at graduate and technician levels on vacuum science and technology on topics ranging from low vacuum to ultrahigh vacuum So far it has conducted 39 such courses at different parts of the country and imparted training to more than 1200 persons in the field. Some of these courses were in-plant training courses conducted on the premises of the establishment and designed to take care of the special needs of the establishment. IVS also regularly conducts national and international seminars and symposia on vacuum science and technology with special emphasis on some theme related to applications of vacuum. A large number of delegates from all over India take part in the deliberations of such seminars and symposia and present their work. IVS also arranges technical visits to different industries and research institutes. The society also helped in the UNESCO sponsored post-graduate level courses in vacuum science, technology and applications conducted by Mumbai University. The society has also designed a certificate and diploma course for graduate level students studying vacuum science and technology and has submitted a syllabus to the academic council of the University of Mumbai for their approval, we hope that some colleges affiliated to the university will start this course from the coming academic year. IVS extended its support in standardizing many of the vacuum instruments and played a vital role in helping to set up a Regional Testing Centre along with BARC. As part of the development of vacuum education, the society arranges the participation of

  4. Surface Chemical Conversion of Organosilane Self-Assembled Monolayers with Active Oxygen Species Generated by Vacuum Ultraviolet Irradiation of Atmospheric Oxygen Molecules

    NASA Astrophysics Data System (ADS)

    Kim, Young-Jong; Lee, Kyung-Hwang; Sano, Hikaru; Han, Jiwon; Ichii, Takashi; Murase, Kuniaki; Sugimura, Hiroyuki

    2008-01-01

    The chemical conversion of the top surface of n-octadecyltrimethoxy silane self-assembled monolayers (ODS-SAMs) on oxide-covered Si substrates using active oxygen species generated from atmospheric oxygen molecules irradiated with vacuum ultraviolet (VUV) light at 172 nm in wavelength has been studied on the basis of water contact angle measurements, ellipsometry, X-ray photoelectron spectroscopy, and atomic force microscopy. An ODS-SAM whose water contact angle was 104° on average was prepared using chemical vapor deposition with substrate and vapor temperatures of 150 °C. The VUV treatment of an ODS-SAM sample was carried out by placing the sample in air and then irradiating the sample surface with a Xe-excimer lamp. The distance between the lamp and the sample was regulated so that the VUV light emitted from the lamp was almost entirely absorbed by atmospheric oxygen molecules to generate active oxygen species, such as ozone and atomic oxygen before reaching the sample surface. Hence, the surface chemical conversion of the ODS-SAM was primarily promoted through chemical reactions with the active oxygen species. Photochemical changes in the ODS-SAM were found to be the generation of polar functional groups, such as -COOH, -CHO, and -OH, on the surface and the subsequent etching of the monolayer. Irradiation parameters, such as irradiation time, were optimized to achieve a better functionalization of the SAM top surface while minimizing the etching depth of the ODS-SAM. The ability to graft another SAM onto the modified ODS-SAM bearing polar functional groups was demonstrated by the formation of alkylsilane bilayers.

  5. Electronic Structure and Optical Properties of Cu 2ZnGeSe 4. First-Principles Calculations and Vacuum-Ultraviolet Spectroscopic Ellipsometric Studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Sukgeun; Park, Ji-Sang; Donohue, Andrea

    2015-11-19

    Cu 2ZnGeSe 4 is of interest for the development of next-generation thin-film photovoltaic technologies. To understand its electronic structure and related fundamental optical properties, we perform first-principles calculations for three structural variations: kesterite, stannite, and primitive-mixed CuAu phases. The calculated data are compared with the room-temperature dielectric functionϵ=ϵ1+iϵ2 spectrum of polycrystalline Cu 2ZnGeSe 4 determined by vacuum-ultraviolet spectroscopic ellipsometry in the photon-energy range of 0.7 to 9.0 eV. Ellipsometric data are modeled with the sum of eight Tauc-Lorentz oscillators, and the best-fit model yields the band-gap and Tauc-gap energies of 1.25 and 1.19 eV, respectively. A comparison of overall peakmore » shapes and relative intensities between experimental spectra and the calculated ϵ data for three structural variations suggests that the sample may not have a pure (ordered) kesterite phase. We found that the complex refractive index N=n+ik, normal-incidence reflectivity R, and absorption coefficients α are calculated from the modeled ϵ spectrum, which are also compared with those of Cu 2ZnSnSe 4 . The spectral features for Cu 2ZnGeSe 4 appear to be weaker and broader than those for Cu 2ZnSnSe 4 , which is possibly due to more structural imperfections presented in Cu 2ZnGeSe 4 than Cu 2ZnSnSe 4 .« less

  6. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  7. Quantification of isomerically summed hydrocarbon contributions to crude oil by carbon number, double bond equivalent, and aromaticity using gas chromatography with tunable vacuum ultraviolet ionization.

    PubMed

    Nowak, Jeremy A; Weber, Robert J; Goldstein, Allen H

    2018-03-12

    The ability to structurally characterize and isomerically quantify crude oil hydrocarbons relevant to refined fuels such as motor oil, diesel, and gasoline represents an extreme challenge for chromatographic and mass spectrometric techniques. This work incorporates two-dimensional gas chromatography coupled to a tunable vacuum ultraviolet soft photoionization source, the Chemical Dynamics Beamline 9.0.2 of the Advanced Light Source at the Lawrence Berkeley National Laboratory, with a time-of-flight mass spectrometer (GC × GC-VUV-TOF) to directly characterize and isomerically sum the contributions of aromatic and aliphatic species to hydrocarbon classes of four crude oils. When the VUV beam is tuned to 10.5 ± 0.2 eV, both aromatic and aliphatic crude oil hydrocarbons are ionized to reveal the complete chemical abundance of C 9 -C 30 hydrocarbons. When the VUV beam is tuned to 9.0 ± 0.2 eV only aromatic hydrocarbons are ionized, allowing separation of the aliphatic and aromatic fractions of the crude oil hydrocarbon chemical classes in an efficient manner while maintaining isomeric quantification. This technique provides an effective tool to determine the isomerically summed aromatic and aliphatic hydrocarbon compositions of crude oil, providing information that goes beyond typical GC × GC separations of the most dominant hydrocarbon isomers.

  8. A new membrane inlet interface of a vacuum ultraviolet lamp ionization miniature mass spectrometer for on-line rapid measurement of volatile organic compounds in air.

    PubMed

    Hou, Keyong; Wang, Junde; Li, Haiyang

    2007-01-01

    A novel membrane inlet interface coupled to a single-photon ionization (SPI) miniature time-of-flight mass spectrometer has been developed for on-line rapid measurement of volatile organic compounds (VOCs). The vacuum ultraviolet (VUV) light source for SPI was a commercial krypton discharge lamp with photon energy of 10.6 eV and photon flux of 10(10) photons/s. The experimental results showed that the sensitivity was 5 times as high as obtained with the traditional membrane inlet. The enrichment efficiency could be adjusted in the range of 10 to 20 times for different VOCs when a buffer cell was added to the inlet interface, and the memory effect was effectively eliminated. A detection limit as low as 25 parts-per-billion by volume (ppbv) for benzene has been achieved, with a linear dynamic range of three orders of magnitude. The rise times were 6 s, 10 s and 15 s for benzene, toluene and p-xylene, respectively, and the fall time was only 6 s for all of these compounds. The analytical capacity of this system was demonstrated by the on-line analysis of VOCs in single puff mainstream cigarette smoke, in which more than 50 compounds were detected in 2 s. Copyright 2007 John Wiley & Sons, Ltd.

  9. Measurement of temperature and density fluctuations in turbulence using an ultraviolet laser

    NASA Technical Reports Server (NTRS)

    Massey, G. A.

    1984-01-01

    Noninvasive measurement of density and temperature fluctuations in turbulent air flow was examined. The approach used fluorescence of oxygen molecules which are selectively excited by a tunable vacuum ultraviolet laser beam. The strength of the fluorescence signal and its dependence on laser wavelength vary with the density and temperature of the air in the laser beam. Because fluorescence can be detected at 90 degrees from the beam propagation direction, spatial resolution in three dimensions, rather than path-integrated measurements can be achieved. With spatial resolutions of the order of a millimeter and at supersonic air velocities it is necessary to perform each measurement in a time of the order of a microsecond; this is possible by by using laser pulses of ten nanosecond duration. In this method atmospheric O2 is excited by the emission of a tunable ArF excimer laser, and the fluorescence, which spans the 210 to 420 range, is detected by an ultraviolet phototube.

  10. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  11. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  12. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  13. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  14. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  15. Nanoparticle contamination control for EUVL-technology: especially for photomasks in carriers and scanners

    NASA Astrophysics Data System (ADS)

    Fissan, Heinz; Asbach, Christof; Kuhlbusch, Thomas A. J.; Wang, Jing; Pui, David Y. H.; Yook, Se-Jin; Kim, Jung H.

    2009-05-01

    Extreme Ultraviolet Lithography (EUVL) is a leading lithography technology for the sub-32 nm chip manufacturing technology. Photomasks, in a mask carrier or inside a vacuum scanner, need to be protected from contamination by nanoparticles larger than the minimum feature size expected from this technology. The most critical part with respect to contamination in the EUVL-system is the photomask. The protection is made more difficult because protective pellicles cannot be used, due to the attenuation of the EUV beam by the pellicle. We have defined a set of protection schemes to protect EUVL photomasks from particle contamination and developed models to describe their effectiveness at atmospheric pressure (e.g. in mask carriers) or during scanning operation at low pressure. These schemes include that the mask is maintained facing down to avoid gravitational settling and the establishment of a thermal gradient underneath the mask surface to thermophoretically repel particles. Experimental verification studies of the models were carried out in atmospheric-pressure carriers and in a vacuum system down to about 3.3 Pa. Particles with sizes between 60 (for experiments, isn't it 125 nm?) nm and 250 nm were injected into the vacuum chamber with controlled speed and concentration to validate the analytical and numerical models. It could be shown that a deterministic approach using free molecular expressions can be used to accurately describe particle deposition at these low pressure levels. Thermophoresis was found to be very effective at both atmospheric and low pressure against the diffusional particle deposition, whereas inertial particle deposition of large and/or fast particles can likely not be prevented. A review of the models and their verification will be presented in this paper.

  16. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  17. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  18. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  19. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  20. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  1. Calibration of a microchannel plate based extreme ultraviolet grazing incident spectrometer at the Advanced Light Source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bakeman, M. S.; Lawrence Berkeley National Laboratory, Berkeley, California 94720; Tilborg, J. van

    We present the design and calibration of a microchannel plate based extreme ultraviolet spectrometer. Calibration was performed at the Advance Light Source (ALS) at the Lawrence Berkeley National Laboratory (LBNL). This spectrometer will be used to record the single shot spectrum of radiation emitted by the tapered hybrid undulator (THUNDER) undulator installed at the LOASIS GeV-class laser-plasma-accelerator. The spectrometer uses an aberration-corrected concave grating with 1200 lines/mm covering 11-62 nm and a microchannel plate detector with a CsI coated photocathode for increased quantum efficiency in the extreme ultraviolet. A touch screen interface controls the grating angle, aperture size, and placementmore » of the detector in vacuum, allowing for high-resolution measurements over the entire spectral range.« less

  2. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  3. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  4. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  5. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  6. Vacuum ultraviolet radiation/atomic oxygen synergism in fluorinated ethylene propylene Teflon erosion

    NASA Technical Reports Server (NTRS)

    Stiegman, A. E.; Brinza, David E.; Laue, Eric G.; Anderson, Mark S.; Liang, Ranty H.

    1992-01-01

    A micrographic investigation is reported of samples of the fluorinated ethylene propylene (FEP) Teflon thermal-blanketing materials recovered from the Long-Duration Exposure Facility (LDEF) satellite. The samples are taken from the trailing edge and row 8 which correspond to exposures to vacuum UV (VUV) and VUV + atomic O, respectively. Data are taken from SEM and IR-spectra observations, and the LDEF leading-edge FEP shows a high degree of erosion, roughening, and sharp peaks angled in the direction of the flow of atomic O. The trailing edge sample influenced primarily by VUV shows a hard brittle layer and some cracked mosaic patterns. Comparisons to a reference sample suggest that the brittle layer is related to exposure to VUV and is removed by atomic-O impingement. Polymers that are stable to VUV radiation appear to be more stable in terms of atomic oxygen.

  7. Vibrational autoionization of state-selective jet-cooled methanethiol (CH 3SH) investigated with infrared + vacuum-ultraviolet photoionization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xie, Min; Shen, Zhitao; Pratt, S. T.

    Vibrational autoionization of Rydberg states provides key information about nonadiabatic processes above an ionization threshold. In this work, we employed time-of-flight mass detection of CH 3SH + to record vibrational-state selective photo-ionization efficiency (PIE) spectra of jet-cooled methanethiol (CH 3SH) on exciting CH 3SH to a specific vibrationally excited state with an infrared (IR) laser, followed by excitation with a tunable laser in the vacuum-ultraviolet (VUV) region for ionization. Autoionizing Rydberg states assigned to the ns, np, nd and nf series are identified. When IR light at 2601 (ν 3, SH stretching mode) and 2948 cm -1 (ν 2, CHmore » 3 symmetric stretching mode) was employed, the Rydberg series converged to the respective vibrationally excited (ν 3 and ν 2) states of CH 3SH +. When IR light at 3014 cm -1 (overlapped ν 1/ν 9, CH 3 antisymmetric stretching and CH 2 antisymmetric stretching modes) was employed, Rydberg series converging to two vibrationally excited states (ν 1 and ν 9) of CH 3SH + were observed. When IR light at 2867 cm -1 (2ν 10, overtone of CH 3 deformation mode) and 2892 cm -1 (2ν 4, overtone of CH 2 scissoring mode) was employed, both Δν = -1 and Δν = -2 ionization transitions were observed; there is evidence for direct ionization from the initial state into the CH 3SH + (ν 4 + = 1) continuum. In all observed IR-VUV-PIE spectra, the ns and nd series show intensity greater than the other Rydberg series, which is consistent with the fact that the highest-occupied molecular orbital of CH 3SH is a p-like lone pair orbital on the S atom. Finally, the quantum yields for autoionization of various vibrational excited states are discussed. Values of ν 1 = 3035, ν 2 = 2884, ν 3 = 2514, and ν 9 = 2936 cm -1 for CH 3SH + derived from the converged limits agree satisfactorily with values observed for Ar-tagged CH 3SH + at 3026, 2879, 2502, and 2933 cm -1.« less

  8. Vibrational autoionization of state-selective jet-cooled methanethiol (CH 3SH) investigated with infrared + vacuum-ultraviolet photoionization

    DOE PAGES

    Xie, Min; Shen, Zhitao; Pratt, S. T.; ...

    2017-10-24

    Vibrational autoionization of Rydberg states provides key information about nonadiabatic processes above an ionization threshold. In this work, we employed time-of-flight mass detection of CH 3SH + to record vibrational-state selective photo-ionization efficiency (PIE) spectra of jet-cooled methanethiol (CH 3SH) on exciting CH 3SH to a specific vibrationally excited state with an infrared (IR) laser, followed by excitation with a tunable laser in the vacuum-ultraviolet (VUV) region for ionization. Autoionizing Rydberg states assigned to the ns, np, nd and nf series are identified. When IR light at 2601 (ν 3, SH stretching mode) and 2948 cm -1 (ν 2, CHmore » 3 symmetric stretching mode) was employed, the Rydberg series converged to the respective vibrationally excited (ν 3 and ν 2) states of CH 3SH +. When IR light at 3014 cm -1 (overlapped ν 1/ν 9, CH 3 antisymmetric stretching and CH 2 antisymmetric stretching modes) was employed, Rydberg series converging to two vibrationally excited states (ν 1 and ν 9) of CH 3SH + were observed. When IR light at 2867 cm -1 (2ν 10, overtone of CH 3 deformation mode) and 2892 cm -1 (2ν 4, overtone of CH 2 scissoring mode) was employed, both Δν = -1 and Δν = -2 ionization transitions were observed; there is evidence for direct ionization from the initial state into the CH 3SH + (ν 4 + = 1) continuum. In all observed IR-VUV-PIE spectra, the ns and nd series show intensity greater than the other Rydberg series, which is consistent with the fact that the highest-occupied molecular orbital of CH 3SH is a p-like lone pair orbital on the S atom. Finally, the quantum yields for autoionization of various vibrational excited states are discussed. Values of ν 1 = 3035, ν 2 = 2884, ν 3 = 2514, and ν 9 = 2936 cm -1 for CH 3SH + derived from the converged limits agree satisfactorily with values observed for Ar-tagged CH 3SH + at 3026, 2879, 2502, and 2933 cm -1.« less

  9. Research in extreme ultraviolet and far ultraviolet astronomy

    NASA Technical Reports Server (NTRS)

    Labov, S. E.

    1985-01-01

    Instruments designed to explore different aspects of far and extreme ultraviolet cosmic radiation were studied. The far ultraviolet imager (FUVI) was flown on the Aries sounding rocket. Its unique large format 75mm detector mapped out the far ultraviolet background radiation with a resolution of only a few arc minutes. Analysis of this data indicates to what extent the FUVI background is extra galactic in origin. A power spectrum of the spatial fluctuations will have direct consequences for galactic evolution.

  10. A sterilization system using ultraviolet photochemical reactions based on nitrous oxide and oxygen gases.

    PubMed

    Ohnishi, Yasutaka; Matsumoto, Hiroyuki; Iwamori, Satoru

    2016-03-01

    Active oxygen species (AOS) generated under ultraviolet (UV) lamps can be applied for various industrial processes owing to extremely strong oxidative abilities. We have already reported on an application of the AOS for a sterilization process of microorganisms. Here, a sterilization method using active oxygen generated under ultraviolet (UV) lamps introducing nitrous oxide (N2O) and oxygen gases into a vacuum chamber was investigated. Nitrogen dioxide (NO2) gas was readily produced from N2O by UV photochemical reactions under the low-pressure mercury lamp and then used to sterilize medical devices. We compared the ability of the N2O gas to sterilize Geobacillus stearothermophilus spores with those of conventional methods. Successful sterilization of spores on various biological indicators was achieved within 60 min, not only in sterilization bags but also in a lumen device. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  12. XUV generation from the interaction of pico- and nanosecond laser pulses with nanostructured targets

    NASA Astrophysics Data System (ADS)

    Barte, Ellie Floyd; Lokasani, Ragava; Proska, Jan; Stolcova, Lucie; Maguire, Oisin; Kos, Domagoj; Sheridan, Paul; O'Reilly, Fergal; Sokell, Emma; McCormack, Tom; O'Sullivan, Gerry; Dunne, Padraig; Limpouch, Jiri

    2017-05-01

    Laser-produced plasmas are intense sources of XUV radiation that can be suitable for different applications such as extreme ultraviolet lithography, beyond extreme ultraviolet lithography and water window imaging. In particular, much work has focused on the use of tin plasmas for extreme ultraviolet lithography at 13.5 nm. We have investigated the spectral behavior of the laser produced plasmas formed on closely packed polystyrene microspheres and porous alumina targets covered by a thin tin layer in the spectral region from 2.5 to 16 nm. Nd:YAG lasers delivering pulses of 170 ps (Ekspla SL312P )and 7 ns (Continuum Surelite) duration were focused onto the nanostructured targets coated with tin. The intensity dependence of the recorded spectra was studied; the conversion efficiency (CE) of laser energy into the emission in the 13.5 nm spectral region was estimated. We have observed an increase in CE using high intensity 170 ps Nd:YAG laser pulses as compared with a 7 ns pulse.

  13. Vacuum mechatronics

    NASA Technical Reports Server (NTRS)

    Hackwood, Susan; Belinski, Steven E.; Beni, Gerardo

    1989-01-01

    The discipline of vacuum mechatronics is defined as the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. The importance of vacuum mechatronics is growing with an increased application of vacuum in space studies and in manufacturing for material processing, medicine, microelectronics, emission studies, lyophylisation, freeze drying and packaging. The quickly developing field of vacuum mechatronics will also be the driving force for the realization of an advanced era of totally enclosed clean manufacturing cells. High technology manufacturing has increasingly demanding requirements for precision manipulation, in situ process monitoring and contamination-free environments. To remove the contamination problems associated with human workers, the tendency in many manufacturing processes is to move towards total automation. This will become a requirement in the near future for e.g., microelectronics manufacturing. Automation in ultra-clean manufacturing environments is evolving into the concept of self-contained and fully enclosed manufacturing. A Self Contained Automated Robotic Factory (SCARF) is being developed as a flexible research facility for totally enclosed manufacturing. The construction and successful operation of a SCARF will provide a novel, flexible, self-contained, clean, vacuum manufacturing environment. SCARF also requires very high reliability and intelligent control. The trends in vacuum mechatronics and some of the key research issues are reviewed.

  14. High-resolution crystal spectrometer for the 10-60 A extreme ultraviolet region

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beiersdorfer, P.; Brown, G.V.; Goddard, R.

    2004-10-01

    A vacuum crystal spectrometer with nominal resolving power approaching 1000 is described for measuring emission lines with wavelength in the extreme ultraviolet region up to 60 A. The instrument utilizes a flat octadecyl hydrogen maleate crystal and a thin-window 1D position-sensitive gas proportional detector. This detector employs a 1-{mu}m-thick 100x8 mm{sup 2} aluminized polyimide window and operates at one atmosphere pressure. The spectrometer has been implemented on the Livermore electron beam ion traps. The performance of the instrument is illustrated in measurements of the newly discovered magnetic field-sensitive line in Ar{sup 8+}.

  15. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    PubMed

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  16. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  17. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  18. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  19. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  20. SCOUT: a small vacuum chamber for nano-wire grid polarizer tests in the ultraviolet band

    NASA Astrophysics Data System (ADS)

    Landini, F.; Pancrazzi, M.; Totaro, M.; Pennelli, G.; Romoli, M.

    2012-01-01

    Within the Section of Astronomy of the Department of Physics and Astronomy of the University of Firenze, Italy), the XUVLab laboratory is active since 1998 dedicated to technological development, mainly UV oriented. The technological research is focused both on electronics and optics. Our last approach is dedicated to the development of innovative wiregrid polarizers optimized to work in transmission at 121.6 nm. The manufacturing of such optical devices requires advanced technological expertise and suitable experimental structures. First, nanotechnology capability is necessary, in order to build several tiny parallel conductive lines separated by tens of nanometers on wide areas to be macroscopically exploitable in an optical laboratory. Moreover, the characterization of such an advanced optical device has to be performed in vacuum, being air absorptive at 121.6 nm. A dedicated small vacuum chamber, SCOUT (Small Chamber for Optical UV Tests) was developed within our laboratory in order to perform practical and fast measurements. SCOUT hosts an optical bench and is equipped with several opening flanges, in order to be as flexible as possible. The flexibility that has been reached with SCOUT allows us to use the chamber beyond the goals it was thought for. It is exploitable by whatever compact (within 1 m) optical experiment that investigates the UV band of the spectrum.

  1. Natural vacuum electronics

    NASA Technical Reports Server (NTRS)

    Leggett, Nickolaus

    1990-01-01

    The ambient natural vacuum of space is proposed as a basis for electron valves. Each valve is an electron controlling structure similiar to a vacuum tube that is operated without a vacuum sustaining envelope. The natural vacuum electron valves discussed offer a viable substitute for solid state devices. The natural vacuum valve is highly resistant to ionizing radiation, system generated electromagnetic pulse, current transients, and direct exposure to space conditions.

  2. Vacuum ultraviolet laser

    DOEpatents

    Berkowitz, Joseph; Ruscic, Branko M.; Greene, John P.

    1986-01-01

    Transitions from the 2p.sup.4 (.sup.1 S.sub.0)3s .sup.2 S.sub.1/2 state of atomic fluorine to all allowed lower states produces laser emission at six new wavelengths: 680.7 .ANG., 682.6 .ANG., 3592.7 .ANG., 3574.1 .ANG., 6089.2 .ANG., and 6046.8 .ANG.. Coherent radiation at these new wavelengths can be generated in an atomic fluorine laser operated as an amplifier or as an oscillator.

  3. VACUUM TRAP

    DOEpatents

    Gordon, H.S.

    1959-09-15

    An improved adsorption vacuum trap for use in vacuum systems was designed. The distinguishing feature is the placement of a plurality of torsionally deformed metallic fins within a vacuum jacket extending from the walls to the central axis so that substantially all gas molecules pass through the jacket will impinge upon the fin surfaces. T fins are heated by direct metallic conduction, thereby ol taining a uniform temperature at the adeorbing surfaces so that essentially all of the condensible impurities from the evacuating gas are removed from the vacuum system.

  4. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  5. Vacuum Ultraviolet Photodissociation and Fourier Transform-Ion Cyclotron Resonance (FT-ICR) Mass Spectrometry: Revisited.

    PubMed

    Shaw, Jared B; Robinson, Errol W; Paša-Tolić, Ljiljana

    2016-03-15

    We revisited the implementation of 193 nm ultraviolet photodissociation (UVPD) within the ion cyclotron resonance (ICR) cell of a Fourier transform-ion cyclotron resonance (FT-ICR) mass spectrometer. UVPD performance characteristics were examined in the context of recent developments in the understanding of UVPD and in-cell tandem mass spectrometry. Efficient UVPD and photo-ECD of a model peptide and proteins within the ICR cell of a FT-ICR mass spectrometer are accomplished through appropriate modulation of laser pulse timing, relative to ion magnetron motion and the potential applied to an ion optical element upon which photons impinge. It is shown that UVPD yields efficient and extensive fragmentation, resulting in excellent sequence coverage for model peptide and protein cations.

  6. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    NASA Astrophysics Data System (ADS)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  7. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  8. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  9. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  10. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  11. Degradation and Its Control of Ultraviolet Avalanche Photodiodes Using PEDOT:PSS/ZnSSe Organic-Inorganic Hybrid Structure

    NASA Astrophysics Data System (ADS)

    Abe, Tomoki; Uchida, Shigeto; Tanaka, Keita; Fujisawa, Takanobu; Kasada, Hirofumi; Ando, Koshi; Akaiwa, Kazuaki; Ichino, Kunio

    2018-05-01

    We investigated device degradation in PEDOT:PSS/ZnSSe organic-inorganic hybrid ultraviolet avalanche photodiodes (UV-APDs). ZnSSe/n-GaAs wafers were grown by molecular beam epitaxy, and PEDOT:PSS window layers were formed by inkjet technique. We observed rapid degradation with APD-mode stress (˜ 30 V) in the N2 (4 N) atmosphere, while we observed no marked change in forward bias current stress and photocurrent stress. In the case of a vacuum condition, we observed no detectable degradation in the dark avalanche current with APD-mode stress. Therefore, the degradation in the PEDOT:PSS/ZnSSe interface under the APD-mode stress was caused by the residual water vapor or oxygen in the N2 atmosphere and could be controlled by vacuum packaging.

  12. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  13. New measurements on water ice photodesorption and product formation under ultraviolet irradiation

    NASA Astrophysics Data System (ADS)

    Cruz-Diaz, Gustavo A.; Martín-Doménech, Rafael; Moreno, Elena; Muñoz Caro, Guillermo M.; Chen, Yu-Jung

    2018-03-01

    The photodesorption of icy grain mantles has been claimed to be responsible for the abundance of gas-phase molecules towards cold regions. Being water a ubiquitous molecule, it is crucial to understand its role in photochemistry and its behaviour under an ultraviolet field. We report new measurements on the ultraviolet (UV) photodesorption of water ice and its H2, OH, and O2 photoproducts using a calibrated quadrupole mass spectrometer. Solid water was deposited under ultra-high-vacuum conditions and then UV-irradiated at various temperatures starting from 8 K with a microwave discharged hydrogen lamp. Deuterated water was used for confirmation of the results. We found a photodesorption yield of 1.3 × 10-3 molecules per incident photon for water and 0.7 × 10-3 molecules per incident photon for deuterated water at the lowest irradiation temperature, 8 K. The photodesorption yield per absorbed photon is given and comparison with astrophysical scenarios, where water ice photodesorption could account for the presence of gas-phase water towards cold regions in the absence of a thermal desorption process, is addressed.

  14. Derivation of the cut-off length from the quantum quadratic enhancement of a mass in vacuum energy constant Lambda

    NASA Astrophysics Data System (ADS)

    Fukushima, Kimichika; Sato, Hikaru

    2018-04-01

    Ultraviolet self-interaction energies in field theory sometimes contain meaningful physical quantities. The self-energies in such as classical electrodynamics are usually subtracted from the rest mass. For the consistent treatment of energies as sources of curvature in the Einstein field equations, this study includes these subtracted self-energies into vacuum energy expressed by the constant Lambda (used in such as Lambda-CDM). In this study, the self-energies in electrodynamics and macroscopic classical Einstein field equations are examined, using the formalisms with the ultraviolet cut-off scheme. One of the cut-off formalisms is the field theory in terms of the step-function-type basis functions, developed by the present authors. The other is a continuum theory of a fundamental particle with the same cut-off length. Based on the effectiveness of the continuum theory with the cut-off length shown in the examination, the dominant self-energy is the quadratic term of the Higgs field at a quantum level (classical self-energies are reduced to logarithmic forms by quantum corrections). The cut-off length is then determined to reproduce today's tiny value of Lambda for vacuum energy. Additionally, a field with nonperiodic vanishing boundary conditions is treated, showing that the field has no zero-point energy.

  15. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  16. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  17. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  18. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE PAGES

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.; ...

    2017-05-10

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  19. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  20. Coherent diffractive imaging methods for semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.