Sample records for vapor deposited hfo2

  1. Stabilization of the cubic phase of HfO2 by Y addition in films grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Rauwel, E.; Dubourdieu, C.; Holländer, B.; Rochat, N.; Ducroquet, F.; Rossell, M. D.; Van Tendeloo, G.; Pelissier, B.

    2006-07-01

    Addition of yttrium in HfO2 thin films prepared on silicon by metal organic chemical vapor deposition is investigated in a wide compositional range (2.0-99.5at.%). The cubic structure of HfO2 is stabilized for 6.5at.%. The permittivity is maximum for yttrium content of 6.5-10at.%; in this range, the effective permittivity, which results from the contribution of both the cubic phase and silicate phase, is of 22. These films exhibit low leakage current density (5×10-7A /cm2 at -1V for a 6.4nm film). The cubic phase is stable upon postdeposition high temperature annealing at 900°C under NH3.

  2. Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates

    NASA Astrophysics Data System (ADS)

    Zhu, Shiyang; Nakajima, Anri

    2007-12-01

    Hafnium oxide (HfO2) thin films were deposited on Ge substrates at 300 °C using atomic layer deposition (ALD) with tetrakis(diethylamino)hafnium (termed as TDEAH) as a precursor and water as an oxidant. The deposition rate was estimated to be 0.09 nm/cycle and the deposited HfO2 films have a smooth surface and an almost stoichiometric composition, indicating that the growth follows a layer-by-layer kinetics, similarly to that on Si substrates. Si nitride thin films were also deposited on Ge by ALD using SiCl4 as a precursor and NH3 as an oxidant. Si nitride has a smaller deposition rate of about 0.055 nm/cycle and a larger gate leakage current than HfO2 deposited on Ge by ALD.

  3. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  4. Feature Modeling of HfO2 Atomic Layer Deposition Using HfCl4/H2O

    NASA Astrophysics Data System (ADS)

    Stout, Phillip J.; Adams, Vance; Ventzek, Peter L. G.

    2003-03-01

    A Monte Carlo based feature scale model (Papaya) has been applied to atomic layer deposition (ALD) of HfO2 using HfCl_4/H_20. The model includes physical effects of transport to surface, specular and diffusive reflection within feature, adsorption, surface diffusion, deposition and etching. Discussed will be the 3D feature modeling of HfO2 deposition in assorted features (vias and trenches). The effect of feature aspect ratios, pulse times, cycle number, and temperature on film thickness, feature coverage, and film Cl fraction (surface/bulk) will be discussed. Differences between HfO2 ALD on blanket wafers and in features will be highlighted. For instance, the minimum pulse times sufficient for surface reaction saturation on blanket wafers needs to be increased when depositing on features. Also, HCl products created during the HfCl4 and H_20 pulses are more likely to react within a feature than at the field, reducing OH coverage within the feature (vs blanket wafer) thus limiting the maximum coverage attainable for a pulse over a feature.

  5. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    PubMed

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  6. Effects of substrate heating and post-deposition annealing on characteristics of thin MOCVD HfO2 films

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata

    2018-02-01

    It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.

  7. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  8. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  9. Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors

    PubMed Central

    Jeong, Seong-Jun; Gu, Yeahyun; Heo, Jinseong; Yang, Jaehyun; Lee, Chang-Seok; Lee, Min-Hyun; Lee, Yunseong; Kim, Hyoungsub; Park, Seongjun; Hwang, Sungwoo

    2016-01-01

    The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer. PMID:26861833

  10. Improved interfacial and electrical properties of atomic layer deposition HfO2 films on Ge with La2O3 passivation

    NASA Astrophysics Data System (ADS)

    Li, Xue-Fei; Liu, Xiao-Jie; Cao, Yan-Qiang; Li, Ai-Dong; Li, Hui; Wu, Di

    2013-01-01

    We report the characteristics of HfO2 films deposited on Ge substrates with and without La2O3 passivation at 250 °C by atomic layer deposition (ALD) using La[N(SiMe3)2]3 and Hf[N(CH3)(C2H5)]4 as the precursors. The HfO2 is observed to form defective HfGeOx at its interface during 500 °C postdeposition annealing. The insertion of an ultrathin La2O3 interfacial passivation layer effectively prevents the Ge outdiffusion and improves interfacial and electrical properties. Capacitance equivalent thickness (CET) of 1.35 nm with leakage current density JA of 8.3 × 10-4 A/cm2 at Vg = 1 V is achieved for the HfO2/La2O3 gate stacks on Ge substrates.

  11. Chlorine mobility during annealing in N2 in ZrO2 and HfO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Ferrari, S.; Scarel, G.; Wiemer, C.; Fanciulli, M.

    2002-12-01

    Atomic layer deposition (ALD) growth of high-κ dielectric films (ZrO2 and HfO2) was performed using ZrCl4, HfCl4, and H2O as precursors. In this work, we use time of flight secondary ion mass spectrometry to investigate the chlorine distribution in ALD grown ZrO2 and HfO2 films, and its evolution during rapid thermal processes in nitrogen atmosphere. Chlorine outdiffusion is found to depend strongly upon annealing temperature and weakly upon the annealing time. While in ZrO2 chlorine concentration is significantly decreased already at 900 °C, in HfO2 it is extremely stable, even at temperatures as high as 1050 °C.

  12. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  13. ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire capacitor structure fabricated solely by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru

    2016-02-01

    HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.

  14. Influence of nitrogen-related defects on optical and electrical behaviour in HfO2-xNx deposited by high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; McCulloch, D. G.; Partridge, J. G.

    2015-09-01

    HfO2-xNx films have been deposited by high-power impulse magnetron sputtering in an Ar-O2-N2 atmosphere with a series of nitrogen partial pressures. X-ray absorption spectroscopy revealed the optimum deposition conditions required to passivate O vacancies in the HfO2-xNx films by nitrogen. Low-mobility interstitial species prevent crystallisation of nitrogen-incorporated films. These effects combine to remove leakage paths resulting in superior breakdown strengths compared to films deposited without nitrogen. The bandgap was maintained at ˜5.9 eV in the films in which nitrogen passivated the oxygen vacancies. This is essential to provide sufficient band offsets for HfO2-xNx films to be used an effective gate dielectric.

  15. Study of Direct-Contact HfO2/Si Interfaces

    PubMed Central

    Miyata, Noriyuki

    2012-01-01

    Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs) but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs. PMID:28817060

  16. Oxygen defect induced photoluminescence of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Ni, Jie; Zhou, Qin; Li, Zhengcao; Zhang, Zhengjun

    2008-07-01

    Amorphous HfO2 films prepared by e-beam deposition exhibited room-temperature photoluminescence (PL) in the visible range, i.e., at ˜620 and 700nm, due to oxygen vacancies involved during deposition. This PL can be enhanced by two orders in intensity by crystallizing the amorphous films in flowing argon, where a large amount of oxygen vacancies were introduced, and can be diminished by removal of the oxygen vacancies by annealing HfO2 films in oxygen. This study could help understand the defect-property relationship and provides ways to tune the PL property of HfO2 films.

  17. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  18. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Treesearch

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  19. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  20. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  1. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  2. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  3. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  4. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  5. Deposition and rainwater concentrations of trifluoroacetic acid in the United States from the use of HFO-1234yf

    NASA Astrophysics Data System (ADS)

    Kazil, J.; McKeen, S.; Kim, S.-W.; Ahmadov, R.; Grell, G. A.; Talukdar, R. K.; Ravishankara, A. R.

    2014-12-01

    Currently, HFC-134a (1,1,1,2-tetrafluoroethane) is the most common refrigerant in automobile air conditioners. This high global warming potential substance (100 year GWP of 1370) will likely be phased out and replaced with HFO-1234yf (2,3,3,3-tetrafluoropropene) that has a 100 year GWP of 4. HFO-1234yf will be oxidized to produce trifluoroacetic acid (TFA) in clouds. TFA, a mildly toxic substance with detrimental effects on some aquatic organisms at high concentrations (≥100μgL-1), would be transported by rain to the surface and enter bodies of water. We investigated the dry and wet deposition of TFA from HFO-1234yf over the contiguous USA using the Advanced Research Weather Research and Forecasting model (ARW) with interactive chemical, aerosol, and cloud processes (WRF/Chem) model. Special focus was placed on emissions from three continental USA regions with different meteorological characteristics. WRF/Chem simulated meteorology, cloud processes, gas and aqueous phase chemistry, and dry and wet deposition between May and September 2006. The model reproduced well the multimonth total sulfate wet deposition (4% bias) and its spatial variability (r = 0.86) observed by the National Atmospheric Deposition Program. HFO-1234yf emissions were obtained by assuming the number of automobile air conditioners to remain unchanged, and substituting HFO-1234yf, mole-per-mole for HFC-134a. Our estimates of current HFC-134a emissions were in agreement with field data. Average TFA rainwater concentration was 0.89μgL-1, with peak values of 7.8μgL-1, for the May-September 2006 period over the contiguous USA. TFA rainwater concentrations over the dry western USA were often significantly higher, but wet-deposited TFA amounts remained relatively low at such locations.

  6. Ferroelectricity in epitaxial Y-doped HfO2 thin film integrated on Si substrate

    NASA Astrophysics Data System (ADS)

    Lee, K.; Lee, T. Y.; Yang, S. M.; Lee, D. H.; Park, J.; Chae, S. C.

    2018-05-01

    We report on the ferroelectricity of a Y-doped HfO2 thin film epitaxially grown on Si substrate, with an yttria-stabilized zirconia buffer layer pre-deposited on the substrate. Piezoresponse force microscopy results show the ferroelectric domain pattern, implying the existence of ferroelectricity in the epitaxial HfO2 film. The epitaxially stabilized HfO2 film in the form of a metal-ferroelectric-insulator-semiconductor structure exhibits ferroelectric hysteresis with a clear ferroelectric switching current in polarization-voltage measurements. The HfO2 thin film also demonstrates ferroelectric retention comparable to that of current perovskite-based metal-ferroelectric-insulator-semiconductor structures.

  7. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  8. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  9. Electron holography on HfO2/HfO2-x bilayer structures with multilevel resistive switching properties

    NASA Astrophysics Data System (ADS)

    Niu, G.; Schubert, M. A.; Sharath, S. U.; Zaumseil, P.; Vogel, S.; Wenger, C.; Hildebrandt, E.; Bhupathi, S.; Perez, E.; Alff, L.; Lehmann, M.; Schroeder, T.; Niermann, T.

    2017-05-01

    Unveiling the physical nature of the oxygen-deficient conductive filaments (CFs) that are responsible for the resistive switching of the HfO2-based resistive random access memory (RRAM) devices represents a challenging task due to the oxygen vacancy related defect nature and nanometer size of the CFs. As a first important step to this goal, we demonstrate in this work direct visualization and a study of physico-chemical properties of oxygen-deficient amorphous HfO2-x by carrying out transmission electron microscopy electron holography as well as energy dispersive x-ray spectroscopy on HfO2/HfO2-x bilayer heterostructures, which are realized by reactive molecular beam epitaxy. Furthermore, compared to single layer devices, Pt/HfO2/HfO2-x /TiN bilayer devices show enhanced resistive switching characteristics with multilevel behavior, indicating their potential as electronic synapses in future neuromorphic computing applications.

  10. Interfacial structure and electrical properties of ultrathin HfO2 dielectric films on Si substrates by surface sol-gel method

    NASA Astrophysics Data System (ADS)

    Gong, You-Pin; Li, Ai-Dong; Qian, Xu; Zhao, Chao; Wu, Di

    2009-01-01

    Ultrathin HfO2 films with about ~3 nm thickness were deposited on n-type (1 0 0) silicon substrates using hafnium chloride (HfCl4) source by the surface sol-gel method and post-deposition annealing (PDA). The interfacial structure and electrical properties of ultrathin HfO2 films were investigated. The HfO2 films show amorphous structures and smooth surface morphologies with a very thin interfacial oxide layer of ~0.5 nm and small surface roughness (~0.45 nm). The 500 °C PDA treatment forms stronger Hf-O bonds, leading to passivated traps, and the interfacial layer is mainly Hf silicate (HfxSiyOz). Equivalent oxide thickness of around 0.84 nm of HfO2/Si has been obtained with a leakage current density of 0.7 A cm-2 at Vfb + 1 V after 500 °C PDA. It was found that the current conduction mechanism of HfO2/Si varied from Schottky-Richardson emission to Fowler-Nordheim tunnelling at an applied higher positive voltage due to the activated partial traps remaining in the ultrathin HfO2 films.

  11. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  12. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  13. In-situ growth of HfO2 on clean 2H-MoS2 surface: Growth mode, interface reactions and energy band alignment

    NASA Astrophysics Data System (ADS)

    Chen, Chang Pang; Ong, Bin Leong; Ong, Sheau Wei; Ong, Weijie; Tan, Hui Ru; Chai, Jian Wei; Zhang, Zheng; Wang, Shi Jie; Pan, Ji Sheng; Harrison, Leslie John; Kang, Hway Chuan; Tok, Eng Soon

    2017-10-01

    Room temperature growth of HfO2 thin film on clean 2H-MoS2 via plasma-sputtering of Hf-metal target in an argon/oxygen environment was studied in-situ using x-ray photoelectron spectroscopy (XPS). The deposited film was observed to grow akin to a layer-by-layer growth mode. At the onset of growth, a mixture of sulfate- and sulfite-like species (SOx2- where x = 3, 4), and molybdenum trioxide (MoO3), are formed at the HfO2/MoS2 interface. An initial decrease in binding energies for both Mo 3d and S 2p core-levels of the MoS2 substrate by 0.4 eV was also observed. Their binding energies, however, did not change further with increasing HfO2 thickness. There was no observable change in the Hf4f core-level binding energy throughout the deposition process. With increasing HfO2 deposition, MoO3 becomes buried at the interface while SOx2- was observed to be present in the film. The shift of 0.4 eV for both Mo 3d and S 2p core-levels of the MoS2 substrate can be attributed to a charge transfer from the substrate to the MoO3/SOx2--like interface layer. Consequently, the Type I heterojunction valence band offset (conduction band offset) becomes 1.7 eV (2.9 eV) instead of 1.3 eV (3.3 eV) expected from considering the bulk HfO2 and MoS2 valence band offset (conduction band offset). The formation of these states and its influence on band offsets will need to be considered in their device applications.

  14. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  15. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  16. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  17. Electrical properties of HfO2 high- k thin-film MOS capacitors for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Khairnar, A. G.; Patil, L. S.; Salunke, R. S.; Mahajan, A. M.

    2015-11-01

    We deposited the hafnium dioxide (HfO2) thin films on p-Si (100) substrates. The thin films were deposited with deposition time variations, viz 2, 4, 7 and 20 min using RF-sputtering technique. The thickness and refractive index of the films were measured using spectroscopic ellipsometer. The thicknesses of the films were measured to be 13.7, 21.9, 35.38 and 92.2 nm and refractive indices of 1.90, 1.93, 1.99 and 1.99, respectively, of the films deposited for 2, 4, 7 and 20 min deposition time. The crystal structures of the deposited HfO2 thin films were determined using XRD spectra and showed the monoclinic structure, confirmed with the ICDD card no 34-0104. Aluminum metallization was carried to form the Al/HfO2/ p-Si MOS structures by using thermal evaporation system with electrode area of 12.56 × 10-4 cm2. Capacitance voltage and current voltage measurements were taken to know electrical behavior of these fabricated MOS structures. The electrical parameters such as dielectric constant, flat-band shift and interface trap density determined through CV measurement were 7.99, 0.11 V and 6.94 × 1011 eV-1 cm-2, respectively. The low leakage current density was obtained from IV measurement of fabricated MOS structure at 1.5 V is 4.85 × 10-10 Acm-2. Aforesaid properties explored the suitability of the fabricated HfO2 high- k-based MOS capacitors for advanced CMOS technology.

  18. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    NASA Astrophysics Data System (ADS)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  19. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  20. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    PubMed

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  1. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    PubMed

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  2. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  3. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  4. Electrical properties of radio-frequency sputtered HfO2 thin films for advanced CMOS technology

    NASA Astrophysics Data System (ADS)

    Sarkar, Pranab Kumar; Roy, Asim

    2015-08-01

    The Hafnium oxide (HfO2) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO2 film have been studied employing Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO2 film is obtained as 23,7.57×1011eV-1 cm-2 and 2.7×10-5 Acm-2, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  5. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  6. Study of bulk Hafnium oxide (HfO2) under compression

    NASA Astrophysics Data System (ADS)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  7. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  8. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    PubMed

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  9. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  10. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    PubMed Central

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-01-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption. PMID:27312225

  11. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-01

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  12. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    NASA Astrophysics Data System (ADS)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  13. Finite element analysis of hollow out-of-plane HfO2 microneedles for transdermal drug delivery applications.

    PubMed

    Zhang, Yong-Hua; A Campbell, Stephen; Karthikeyan, Sreejith

    2018-02-17

    Transdermal drug delivery (TDD) based on microneedles is an excellent approach due to its advantages of both traditional transdermal patch and hypodermic syringes. In this paper, the fabrication method of hollow out-of-layer hafnium oxide (HfO 2 ) microneedles mainly based on deep reactive ion etching of silicon and atomic layer deposition of HfO 2  is described, and the finite element analysis of the microneedles based on ANSYS software is also presented. The fabrication process is simplified by using a single mask. The finite element analysis of a single microneedle shows that the flexibility of the microneedles can be easily adjusted for various applications. The finite element analysis of a 3 × 3 HfO 2 microneedle array applied on the skin well explains the "bed of nail" effect, i.e., the skin is not liable to be pierced when the density of microneedles in array increases. The presented research work here provides useful information for design optimization of HfO 2 microneedles used for TDD applications.

  14. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  15. Ozone and TFA impacts in North America from degradation of 2,3,3,3-Tetrafluoropropene (HFO-1234yf), a potential greenhouse gas replacement.

    PubMed

    Luecken, Deborah J; L Waterland, Robert; Papasavva, Stella; Taddonio, Kristen N; Hutzell, William T; Rugh, John P; Andersen, Stephen O

    2010-01-01

    We use a regional-scale, three-dimensional atmospheric model to evaluate U.S. air quality effects that would result from replacing HFC-134a in automobile air conditioners in the U.S. with HFO-1234yf. Although HFO-1234yf produces tropospheric ozone, the incremental amount is small, averaging less than 0.01% of total ozone formed during the simulation. We show that this production of ozone could be compensated for by a modest improvement in air conditioner efficiency. Atmospheric decomposition of HFO-1234yf produces trifluoroacetic acid (TFA), which is subject to wet and dry deposition. Deposition and concentrations of TFA are spatially variable due to HFO-1234yf's short atmospheric lifetime, with more localized peaks and less global transport when compared to HFC-134a. Over the 2.5 month simulation, deposition of TFA in the continental U.S. from mobile air conditioners averages 0.24 kg km(-2), substantially higher than previous estimates from all sources of current hydrofluorocarbons. Automobile air conditioning HFO-1234yf emissions are predicted to produce concentrations of TFA in Eastern U.S. rainfall at least double the values currently observed from all sources, natural and man-made. Our model predicts peak concentrations in rainfall of 1264 ng L(-1), a level that is 80x lower than the lowest level considered safe for the most sensitive aquatic organisms.

  16. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  17. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  18. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    NASA Astrophysics Data System (ADS)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  19. A force field for 3,3,3-fluoro-1-propenes, including HFO-1234yf.

    PubMed

    Raabe, Gabriele; Maginn, Edward J

    2010-08-12

    The European Union (EU) legislation 2006/40/EC bans from January 2011 the cooperative marketing of new car types that use refrigerants in their heating, ventilation, and air conditioning (HVAC) systems with global warming potentials (GWP) higher than 150. Thus, the phase-out of the presently used tetrafluoroethane refrigerant R134a necessitates the adoption of alternative refrigerants. Fluoropropenes such as 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf) are currently regarded as promising low GWP refrigerants, but the lack of experimental data on their thermophysical properties hampers independent studies on their performance in HVAC systems or in other technical applications. In principle, molecular modeling can be used to predict the relevant properties of refrigerants, but adequate intermolecular potential functions ("force fields") are lacking for fluoropropenes. Thus, we developed a transferable force field for fluoropropenes composed of CF(3)-, -CF=, -CH=, CF(2)=, and CH(2)= groups and applied the force field to study 3,3,3 trifluoro-1-propene (HFO-1243zf), 2,3,3,3-tetrafluoro-1-propene (HFO-1234yf), and hexafluoro-1-propene (HFO-1216). We performed Gibbs ensemble simulations on these three fluoropropenes to compute the vapor pressure, saturated densities, and heats of vaporization. In addition, molecular dynamics simulations were conducted to provide predictions for the density, thermal expansivity, isobaric heat capacity, and transport properties of liquid HFO-1234yf in the temperature range from 263.15 to 310 K and pressures up to 2 MPa. Agreement between simulation results and experimental data and/or correlations (when available) was good, thereby validating the predictive ability of the force field.

  20. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  1. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  2. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  3. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  4. Influence of oxygen vacancies in ALD HfO2-x thin films on non-volatile resistive switching phenomena with a Ti/HfO2-x/Pt structure

    NASA Astrophysics Data System (ADS)

    Sokolov, Andrey Sergeevich; Jeon, Yu-Rim; Kim, Sohyeon; Ku, Boncheol; Lim, Donghwan; Han, Hoonhee; Chae, Myeong Gyoon; Lee, Jaeho; Ha, Beom Gil; Choi, Changhwan

    2018-03-01

    We report a modulation of oxygen vacancies profile in atomic layer deposition (ALD) HfO2-x thin films by reducing oxidant pulse time (0.7 s-0.1 s) and study its effect on resistive switching behavior with a Ti/HfO2-x/Pt structure. Hf 4f spectra of x-ray photoelectron microscopy (XPS) and depth profile confirm varied oxygen vacancies profiles by shifts of binding energies of Hf 4f5/2 and Hf 4f7/2 main peaks and its according HfO2-x sub-oxides for each device. The ultraviolet photoelectron spectroscopy (UPS) confirms different electron affinity (χ) of HfO2 and HfO2-x thin films, implying that barrier height at Ti/oxide interface is reduced. Current transport mechanism is dictated by Ohmic conduction in fully oxidized HfO2 thin films - Device A (0.7 s) and by Trap Filled Space Charge Limited Conduction (TF-SCLC) in less oxidized HfO2-x thin films - Device B (0.3 s) and Device C (0.1 s). A switching mechanism related to the oxygen vacancies modulation in Ti/HfO2-x/Pt based resistive random access memory (RRAM) devices is used to explain carefully notified current transport mechanism variations from device-to-device. A proper endurance and long-time retention characteristics of the devices are also obtained.

  5. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  6. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  7. The role of film interfaces in near-ultraviolet absorption and pulsed-laser damage in ion-beam-sputtered coatings based on HfO 2/SiO 2 thin-film pairs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ristau, Detlev; Papernov, S.; Kozlov, A. A.

    2015-11-23

    The role of thin-film interfaces in the near-ultraviolet absorption and pulsed-laser–induced damage was studied for ion-beam–sputtered and electron-beam–evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage-threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and an E-field peak and averagemore » intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces, as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-ultraviolet, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. Here, the results are explained through the similarity of interfacial film structure with structure formed during the co-deposition of HfO 2 and SiO 2 materials.« less

  8. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  9. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  10. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  11. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  12. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    NASA Astrophysics Data System (ADS)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  13. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  14. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  15. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  16. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  17. SIMS study of oxygen diffusion in monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  18. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  19. Memristor and selector devices fabricated from HfO2-xNx

    NASA Astrophysics Data System (ADS)

    Murdoch, B. J.; McCulloch, D. G.; Ganesan, R.; McKenzie, D. R.; Bilek, M. M. M.; Partridge, J. G.

    2016-04-01

    Monoclinic HfO2-xNx has been incorporated into two-terminal devices exhibiting either memristor or selector operation depending on the controlled inclusion/suppression of mobile oxygen vacancies. In HfO2 memristors containing oxygen vacancies, gradual conductance modulation, short-term plasticity, and long-term potentiation were observed using appropriate voltage-spike stimulation, suggesting suitability for artificial neural networks. Passivation of oxygen vacancies, confirmed by X-ray absorption spectroscopy, was achieved in HfO2-xNx films by the addition of nitrogen during growth. Selector devices formed on these films exhibited threshold switching and current controlled negative differential resistance consistent with thermally driven insulator to metal transitions.

  20. Single layer of Ge quantum dots in HfO2 for floating gate memory capacitors.

    PubMed

    Lepadatu, A M; Palade, C; Slav, A; Maraloiu, A V; Lazanu, S; Stoica, T; Logofatu, C; Teodorescu, V S; Ciurea, M L

    2017-04-28

    High performance trilayer memory capacitors with a floating gate of a single layer of Ge quantum dots (QDs) in HfO 2 were fabricated using magnetron sputtering followed by rapid thermal annealing (RTA). The layer sequence of the capacitors is gate HfO 2 /floating gate of single layer of Ge QDs in HfO 2 /tunnel HfO 2 /p-Si wafers. Both Ge and HfO 2 are nanostructured by RTA at moderate temperatures of 600-700 °C. By nanostructuring at 600 °C, the formation of a single layer of well separated Ge QDs with diameters of 2-3 nm at a density of 4-5 × 10 15 m -2 is achieved in the floating gate (intermediate layer). The Ge QDs inside the intermediate layer are arranged in a single layer and are separated from each other by HfO 2 nanocrystals (NCs) about 8 nm in diameter with a tetragonal/orthorhombic structure. The Ge QDs in the single layer are located at the crossing of the HfO 2 NCs boundaries. In the intermediate layer, besides Ge QDs, a part of the Ge atoms is segregated by RTA at the HfO 2 NCs boundaries, while another part of the Ge atoms is present inside the HfO 2 lattice stabilizing the tetragonal/orthorhombic structure. The fabricated capacitors show a memory window of 3.8 ± 0.5 V and a capacitance-time characteristic with 14% capacitance decay in the first 3000-4000 s followed by a very slow capacitance decrease extrapolated to 50% after 10 years. This high performance is mainly due to the floating gate of a single layer of well separated Ge QDs in HfO 2 , distanced from the Si substrate by the tunnel oxide layer with a precise thickness.

  1. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  2. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  3. Band alignment of 2D WS2/HfO2 interfaces from x-ray photoelectron spectroscopy and first-principles calculations

    NASA Astrophysics Data System (ADS)

    Zhu, H. L.; Zhou, C. J.; Tang, B. S.; Yang, W. F.; Chai, J. W.; Tay, W. L.; Gong, H.; Pan, J. S.; Zou, W. D.; Wang, S. J.; Chi, D. Z.

    2018-04-01

    We report on the growth of two-dimensional (2D) WS2 on high-k HfO2/Si substrates by reactive sputtering deposition. Raman, x-ray photoelectron spectroscopy (XPS), and high-resolution transmission electron microscopy characterizations indicate that the 2D WS2 layers exhibit high-quality crystallinity and exact stoichiometry. Through high-resolution XPS valence spectra, we find a type I alignment at the interface of monolayer WS2/HfO2 with a valence band offset (VBO) of 1.95 eV and a conduction band offset (CBO) of 1.57 eV. The VBO and CBO are also found to increase up to 2.24 eV and 2.09 eV, respectively, with increasing WS2 layers. This is consistent with the results obtained from our first-principles calculations. Our theoretical calculations reveal that the remarkable splitting and shift of the W 5 d z 2 orbital originating from interlayer orbital coupling in thicker WS2 films induce a reduction of its bandgap, leading to an increase in both the VBO and CBO. This observation can be attributed to the asymmetric splitting at different high symmetric k-points caused by the interlayer orbital coupling.

  4. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  5. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  6. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  7. Role of HfO 2/SiO 2 thin-film interfaces in near-ultraviolet absorption and pulsed laser damage

    DOE PAGES

    Papernov, Semyon; Kozlov, Alexei A.; Oliver, James B.; ...

    2016-07-15

    Here, the role of thin-film interfaces in the near-ultraviolet (near-UV) absorption and pulsed laser-induced damage was studied for ion-beam-sputtered and electron-beam-evaporated coatings comprised from HfO 2 and SiO 2 thin-film pairs. To separate contributions from the bulk of the film and from interfacial areas, absorption and damage threshold measurements were performed for a one-wave (355-nm wavelength) thick, HfO 2 single-layer film and for a film containing seven narrow HfO 2 layers separated by SiO 2 layers. The seven-layer film was designed to have a total optical thickness of HfO 2 layers, equal to one wave at 355 nm and anmore » E-field peak and average intensity similar to a single-layer HfO 2 film. Absorption in both types of films was measured using laser calorimetry and photothermal heterodyne imaging. The results showed a small contribution to total absorption from thin-film interfaces as compared to HfO 2 film material. The relevance of obtained absorption data to coating near-UV, nanosecond-pulse laser damage was verified by measuring the damage threshold and characterizing damage morphology. The results of this study revealed a higher damage resistance in the seven-layer coating as compared to the single-layer HfO 2 film in both sputtered and evaporated coatings. The results are explained through the similarity of interfacial film structure with structure formed during the codeposition of HfO 2 and SiO 2 materials.« less

  8. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  9. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  10. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  11. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE PAGES

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.; ...

    2017-04-19

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  12. A comprehensive study on the structural evolution of HfO 2 thin films doped with various dopants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Min Hyuk; Schenk, Tony; Fancher, Christopher M.

    The origin of the unexpected ferroelectricity in doped HfO 2 thin films is now considered to be the formation of a non-centrosymmetric Pca2 1 orthorhombic phase. Due to the polycrystalline nature of the films as well as their extremely small thickness (~10 nm) and mixed orientation and phase composition, structural analysis of doped HfO 2 thin films remains a challenging task. As a further complication, the structural similarities of the orthorhombic and tetragonal phase are difficult to distinguish by typical structural analysis techniques such as X-ray diffraction. To resolve this issue, the changes in the grazing incidence X-ray diffraction (GIXRD)more » patterns of HfO 2 films doped with Si, Al, and Gd are systematically examined. For all dopants, the shift of o111/ t101 diffraction peak is observed with increasing atomic layer deposition (ALD) cycle ratio, and this shift is thought to originate from the orthorhombic to P4 2/ nmc tetragonal phase transition with decreasing aspect ratio (2 a/(b + c) for orthorhombic and c/a for the tetragonal phase). For quantitative phase analysis, Rietveld refinement is applied to the GIXRD patterns. A progressive phase transition from P2 1/c monoclinic to orthorhombic to tetragonal is confirmed for all dopants, and a strong relationship between orthorhombic phase fraction and remanent polarization value is uniquely demonstrated. The concentration range for the ferroelectric properties was the narrowest for the Si-doped HfO 2 films. As a result, the dopant size is believed to strongly affect the concentration range for the ferroelectric phase stabilization, since small dopants can strongly decrease the free energy of the tetragonal phase due to their shorter metal–oxygen bonds.« less

  13. Integration of lead-free ferroelectric on HfO2/Si (100) for high performance non-volatile memory applications

    PubMed Central

    Kundu, Souvik; Maurya, Deepam; Clavel, Michael; Zhou, Yuan; Halder, Nripendra N.; Hudait, Mantu K.; Banerji, Pallab; Priya, Shashank

    2015-01-01

    We introduce a novel lead-free ferroelectric thin film (1-x)BaTiO3-xBa(Cu1/3Nb2/3)O3 (x = 0.025) (BT-BCN) integrated on to HfO2 buffered Si for non-volatile memory (NVM) applications. Piezoelectric force microscopy (PFM), x-ray diffraction, and high resolution transmission electron microscopy were employed to establish the ferroelectricity in BT-BCN thin films. PFM study reveals that the domains reversal occurs with 180° phase change by applying external voltage, demonstrating its effectiveness for NVM device applications. X-ray photoelectron microscopy was used to investigate the band alignments between atomic layer deposited HfO2 and pulsed laser deposited BT-BCN films. Programming and erasing operations were explained on the basis of band-alignments. The structure offers large memory window, low leakage current, and high and low capacitance values that were easily distinguishable even after ~106 s, indicating strong charge storage potential. This study explains a new approach towards the realization of ferroelectric based memory devices integrated on Si platform and also opens up a new possibility to embed the system within current complementary metal-oxide-semiconductor processing technology. PMID:25683062

  14. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  15. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  16. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  17. Downscaling ferroelectric field effect transistors by using ferroelectric Si-doped HfO2

    NASA Astrophysics Data System (ADS)

    Martin, Dominik; Yurchuk, Ekaterina; Müller, Stefan; Müller, Johannes; Paul, Jan; Sundquist, Jonas; Slesazeck, Stefan; Schlösser, Till; van Bentum, Ralf; Trentzsch, Martin; Schröder, Uwe; Mikolajick, Thomas

    2013-10-01

    Throughout the 22 nm technology node HfO2 is established as a reliable gate dielectric in contemporary complementary metal oxide semiconductor (CMOS) technology. The working principle of ferroelectric field effect transistors FeFET has also been demonstrated for some time for dielectric materials like Pb[ZrxTi1-x]O3 and SrBi2Ta2O9. However, integrating these into contemporary downscaled CMOS technology nodes is not trivial due to the necessity of an extremely thick gate stack. Recent developments have shown HfO2 to have ferroelectric properties, given the proper doping. Moreover, these doped HfO2 thin films only require layer thicknesses similar to the ones already in use in CMOS technology. This work will show how the incorporation of Si induces ferroelectricity in HfO2 based capacitor structures and finally demonstrate non-volatile storage in nFeFETs down to a gate length of 100 nm. A memory window of 0.41 V can be retained after 20,000 switching cycles. Retention can be extrapolated to 10 years.

  18. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Effect of native defects and Co doping on ferromagnetism in HfO2: first-principles calculations.

    PubMed

    Han, Chong; Yan, Shi-Shen; Lin, Xue-Ling; Hu, Shu-Jun; Zhao, Ming-Wen; Yao, Xin-Xin; Chen, Yan-Xue; Liu, Guo-Lei; Mei, Liang-Mo

    2011-05-01

    First-principles calculations of undoped HfO(2) and cobalt-doped HfO(2) have been carried out to study the magnetic properties of the dielectric material. In contrast to previous reports, it was found that the native defects in HfO(2) could not induce strong ferromagnetism. However, the cobalt substituting hafnium is the most stable defect under oxidation condition, and the ferromagnetic (FM) coupling between the cobalt substitutions is favorable in various configurations. We found that the FM coupling is mediated by the threefold-coordinated oxygen atoms in monoclinic HfO(2) and could be further enhanced in electron-rich condition. Copyright © 2010 Wiley Periodicals, Inc.

  20. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  1. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  2. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  3. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  4. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  5. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    NASA Astrophysics Data System (ADS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-10-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer. In comparison to a memory capacitor with a single HfO2 trapping layer, the erase speed of a memory capacitor with a stacked HfO2/Ta2O5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔVFB = 4 V, the device with a stacked HfO2/Ta2O5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO2/Ta2O5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application.

  6. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  7. First-principles study on leakage current caused by oxygen vacancies at HfO2/SiO2/Si interface

    NASA Astrophysics Data System (ADS)

    Takagi, Kensuke; Ono, Tomoya

    2018-06-01

    The relationship between the position of oxygen vacancies in HfO2/SiO2/Si gate stacks and the leakage current is studied by first-principles electronic-structure and electron-conduction calculations. We find that the increase in the leakage current due to the creation of oxygen vacancies in the HfO2 layer is much larger than that in the SiO2 interlayer. According to previous first-principles total energy calculations, the formation energy of oxygen vacancies is smaller in the SiO2 interlayer than that in the HfO2 layer under the same conditions. Therefore, oxygen vacancies will be attracted from the SiO2 interlayer to minimize the energy, thermodynamically justifying the scavenging technique. Thus, the scavenging process efficiently improves the dielectric constant of HfO2-based gate stacks without increasing the number of oxygen vacancies, which cause the dielectric breakdown.

  8. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  9. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  10. Analysis of thermal stresses in HfO2/SiO2 high reflective optical coatings for high power laser applications

    NASA Astrophysics Data System (ADS)

    Gao, Chunxue; Zhao, Zhiwei; Zhu, Zhuoya; Li, Shuang; Mi, Changwen

    2015-02-01

    HfO2/SiO2 high reflective optical coatings are widely used in high power laser applications because of their high laser damage resistance and appropriate spectral performance. The residual stresses strongly influence the performance and longevity of the optical coatings. Thermal stresses are the primary components of the residual stresses. In the present work, the distribution of thermal stresses in HfO2/SiO2 high reflective optical coatings was investigated using two different computational methods: finite element method (FEM) and an analytical method based on force and moment balances and classical beam bending theory. The results by these two methods were compared and found to be in agreement with each other, demonstrating that these two methods are effective and accurate ways to predict the thermal stresses in HfO2/SiO2 optical coatings. In addition, these two methods were also used to obtain the thermal stresses in HfO2/SiO2 optical coatings with different layer number to investigate the effect of the layer number on the thermal stresses of the HfO2/SiO2 optical coatings. The results show that with the increase of the layer number, the stresses in the substrate increase, while the stresses in the respective SiO2 and HfO2 layers decrease. Besides, it was also found that the radius of curvature of the coating system decreases as the layer number increases, leading to larger bending curvature in the system.

  11. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  12. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    NASA Astrophysics Data System (ADS)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  13. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  14. Distribution of electron traps in SiO2/HfO2 nMOSFET

    NASA Astrophysics Data System (ADS)

    Xiao-Hui, Hou; Xue-Feng, Zheng; Ao-Chen, Wang; Ying-Zhe, Wang; Hao-Yu, Wen; Zhi-Jing, Liu; Xiao-Wei, Li; Yin-He, Wu

    2016-05-01

    In this paper, the principle of discharge-based pulsed I-V technique is introduced. By using it, the energy and spatial distributions of electron traps within the 4-nm HfO2 layer have been extracted. Two peaks are observed, which are located at ΔE ˜ -1.0 eV and -1.43 eV, respectively. It is found that the former one is close to the SiO2/HfO2 interface and the latter one is close to the gate electrode. It is also observed that the maximum discharge time has little effect on the energy distribution. Finally, the impact of electrical stress on the HfO2 layer is also studied. During stress, no new electron traps and interface states are generated. Meanwhile, the electrical stress also has no impact on the energy and spatial distribution of as-grown traps. The results provide valuable information for theoretical modeling establishment, material assessment, and reliability improvement for advanced semiconductor devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61334002, 61106106, and 61474091), the New Experiment Development Funds for Xidian University, China (Grant No. SY1434), and the Scientific Research Foundation for the Returned Overseas Chinese Scholars, State Education Ministry, China (Grant No. JY0600132501).

  15. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  16. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  17. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  18. Resistive switching of Sn-doped In2O3/HfO2 core-shell nanowire: geometry architecture engineering for nonvolatile memory.

    PubMed

    Huang, Chi-Hsin; Chang, Wen-Chih; Huang, Jian-Shiou; Lin, Shih-Ming; Chueh, Yu-Lun

    2017-05-25

    Core-shell NWs offer an innovative approach to achieve nanoscale metal-insulator-metal (MIM) heterostructures along the wire radial direction, realizing three-dimensional geometry architecture rather than planar type thin film devices. This work demonstrated the tunable resistive switching characteristics of ITO/HfO 2 core-shell nanowires with controllable shell thicknesses by the atomic layer deposition (ALD) process for the first time. Compared to planar HfO 2 thin film device configuration, ITO/HfO 2 core-shell nanowire shows a prominent resistive memory behavior, including lower power consumption with a smaller SET voltage of ∼0.6 V and better switching voltage uniformity with variations (standard deviation(σ)/mean value (μ)) of V SET and V RESET from 0.38 to 0.14 and from 0.33 to 0.05 for ITO/HfO 2 core-shell nanowire and planar HfO 2 thin film, respectively. In addition, endurance over 10 3 cycles resulting from the local electric field enhancement can be achieved, which is attributed to geometry architecture engineering. The concept of geometry architecture engineering provides a promising strategy to modify the electric-field distribution for solving the non-uniformity issue of future RRAM.

  19. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  20. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  1. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  2. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  3. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  4. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  5. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  6. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  7. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  8. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  9. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  10. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  11. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  12. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  13. Effect of oxygen vacancies and strain on the phonon spectrum of HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Gao, Lingyuan; Yalon, Eilam; Chew, Annabel R.; Deshmukh, Sanchit; Salleo, Alberto; Pop, Eric; Demkov, Alexander A.

    2017-06-01

    The effect of strain and oxygen deficiency on the Raman spectrum of monoclinic HfO2 is investigated theoretically using first-principles calculations. 1% in-plane compressive strain applied to a and c axes is found to blue shift the phonon frequencies, while 1% tensile strain does the opposite. The simulations are compared, and good agreement is found with the experimental results of Raman frequencies greater than 110 cm-1 for 50 nm HfO2 thin films. Several Raman modes measured below 110 cm-1 and previously assigned to HfO2 are found to be rotational modes of gases present in air ambient (nitrogen and oxygen). However, localized vibrational modes introduced by threefold-coordinated oxygen (O3) vacancies are identified at 96.4 cm-1 computationally. These results are important for a deeper understanding of vibrational modes in HfO2, which has technological applications in transistors and particularly in resistive random-access memory whose operation relies on oxygen-deficient HfOx.

  14. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  15. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  16. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  19. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  20. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  1. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  2. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  3. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  4. Ferroelectricity and antiferroelectricity of doped thin HfO2-based films.

    PubMed

    Park, Min Hyuk; Lee, Young Hwan; Kim, Han Joon; Kim, Yu Jin; Moon, Taehwan; Kim, Keum Do; Müller, Johannes; Kersch, Alfred; Schroeder, Uwe; Mikolajick, Thomas; Hwang, Cheol Seong

    2015-03-18

    The recent progress in ferroelectricity and antiferroelectricity in HfO2-based thin films is reported. Most ferroelectric thin film research focuses on perovskite structure materials, such as Pb(Zr,Ti)O3, BaTiO3, and SrBi2Ta2O9, which are considered to be feasible candidate materials for non-volatile semiconductor memory devices. However, these conventional ferroelectrics suffer from various problems including poor Si-compatibility, environmental issues related to Pb, large physical thickness, low resistance to hydrogen, and small bandgap. In 2011, ferroelectricity in Si-doped HfO2 thin films was first reported. Various dopants, such as Si, Zr, Al, Y, Gd, Sr, and La can induce ferro-electricity or antiferroelectricity in thin HfO2 films. They have large remanent polarization of up to 45 μC cm(-2), and their coercive field (≈1-2 MV cm(-1)) is larger than conventional ferroelectric films by approximately one order of magnitude. Furthermore, they can be extremely thin (<10 nm) and have a large bandgap (>5 eV). These differences are believed to overcome the barriers of conventional ferroelectrics in memory applications, including ferroelectric field-effect-transistors and three-dimensional capacitors. Moreover, the coupling of electric and thermal properties of the antiferroelectric thin films is expected to be useful for various applications, including energy harvesting/storage, solid-state-cooling, and infrared sensors. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    NASA Astrophysics Data System (ADS)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  6. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  7. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  8. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    PubMed

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  9. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  10. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  11. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  12. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  13. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  14. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  15. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  16. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  17. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    NASA Technical Reports Server (NTRS)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  18. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  19. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  20. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  1. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  2. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  3. Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation

    NASA Astrophysics Data System (ADS)

    Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.

    2011-03-01

    We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.

  4. Reactive sputter deposition of metal oxide nanolaminates

    NASA Astrophysics Data System (ADS)

    Rubin Aita, Carolyn

    2008-07-01

    We discuss the reactive sputter deposition of metal oxide nanolaminates on unheated substrates using four archetypical examples: ZrO2 Al2O3, HfO2 Al2O3, ZrO2 Y2O3, and ZrO2 TiO2. The pseudobinary bulk phase diagrams corresponding to these nanolaminates represent three types of interfaces. I. Complete immiscibility (ZrO2 Al2O3 and HfO2 Al2O3). II. Complete miscibility (ZrO2 Y2O3). III. Limited miscibility without a common end-member lattice (ZrO2 TiO2). We found that, although reactive sputter deposition is a far-from-equilibrium process, thermodynamic considerations strongly influence both phase formation within layers and at interfaces. We show that pseudobinary phase diagrams can be used to predict interfacial cation mixing in the nanolaminates. However, size effects must be considered to predict specific structures. In the absence of pseudoepitaxy, size effects play a significant role in determining the nanocrystalline phases that form within a layer (e.g. tetragonal ZrO2, tetragonal HfO2, and orthorhombic HfO2) and at interfaces (e.g. monoclinic (Zr,Ti)O2). These phases are not bulk standard temperature and pressure phases. Their formation is understood in terms of self-assembly into the lowest energy structure in individual critical nuclei.

  5. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  6. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  7. Chemical Vapor Deposition of High-Quality Large-Sized MoS2 Crystals on Silicon Dioxide Substrates.

    PubMed

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; Liu, Bo; Zhao, Xiaoxu; Liu, Yanpeng; Ren, Tianhua; Liu, Wei; Geng, Dechao; Jeong, Hu Young; Shin, Hyeon Suk; Zhou, Wu; Loh, Kian Ping

    2016-08-01

    Large-sized MoS 2 crystals can be grown on SiO 2 /Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. The electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V -1 s -1 , which is comparable to those of exfoliated flakes.

  8. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  9. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  10. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  11. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  12. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  13. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  14. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  15. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  16. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  17. Chemical vapor deposition of high-quality large-sized MoS 2 crystals on silicon dioxide substrates

    DOE PAGES

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; ...

    2016-03-31

    Large-sized MoS 2 crystals can be grown on SiO 2/Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. Additionally, the electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V –1 s –1, which is comparable to those of exfoliated flakes.

  18. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    NASA Astrophysics Data System (ADS)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  19. Evaluation of border traps and interface traps in HfO2/MoS2 gate stacks by capacitance–voltage analysis

    NASA Astrophysics Data System (ADS)

    Zhao, Peng; Khosravi, Ava; Azcatl, Angelica; Bolshakov, Pavel; Mirabelli, Gioele; Caruso, Enrico; Hinkle, Christopher L.; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2018-07-01

    Border traps and interface traps in HfO2/few-layer MoS2 top-gate stacks are investigated by C–V characterization. Frequency dependent C–V data shows dispersion in both the depletion and accumulation regions for the MoS2 devices. The border trap density is extracted with a distributed model, and interface traps are analyzed using the high-low frequency and multi-frequency methods. The physical origins of interface traps appear to be caused by impurities/defects in the MoS2 layers, performing as band tail states, while the border traps are associated with the dielectric, likely a consequence of the low-temperature deposition. This work provides a method of using multiple C–V measurements and analysis techniques to analyze the behavior of high-k/TMD gate stacks and deconvolute border traps from interface traps.

  20. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  1. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  2. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  3. Atmospheric pressure chemical vapor deposition: an alternative route to large-scale MoS2 and WS2 inorganic fullerene-like nanostructures and nanoflowers.

    PubMed

    Li, Xiao-Lin; Ge, Jian-Ping; Li, Ya-Dong

    2004-11-19

    Large-scale MoS2 and WS2 inorganic fullerene-like (IF) nanostructures (onionlike nanoparticles, nanotubes) and elegant three-dimensional nanoflowers (NF) have been selectively prepared through an atmospheric pressure chemical vapor deposition (APCVD) process with the reaction of chlorides and sulfur. The morphologies were controlled by adjusting the deposition position, the deposition temperature, and the flux of the carrier gas. All of the nanostructures have been characterized by X-ray powder diffraction (XRD), transmission electron microscopy (TEM), and scanning electron microscopy (SEM). A reaction mechanism is proposed based on the experimental results. The surface area of MoS2 IF nanoparticles and the field-emission effect of as-prepared WS2 nanoflowers is reported.

  4. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  5. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  6. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  7. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  8. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  9. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  10. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    NASA Astrophysics Data System (ADS)

    Dai, Yuehua; Pan, Zhiyong; Wang, Feifei; Li, Xiaofeng

    2016-08-01

    The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM) by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  11. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  12. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  13. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  14. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  15. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  16. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  17. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  18. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  19. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  20. Coordination polyhedron and chemical vapor deposition of Cu(hfacac)2(t-BuNH2).

    PubMed

    Woo, Kyoungja; Paek, Hojeong; Lee, Wan In

    2003-10-06

    A new pentacoordinate Cu(II) complex, Cu(hfacac)(2)(t-BuNH(2)) [hfacac = CF(3)C(O)CHC(O)CF(3)(-), t-BuNH(2) = tert-butylamine], has been synthesized and structurally characterized. Interestingly, the structure of a single crystal occurred as square pyramidal with one O atom at the apical position and one N and three O atoms at the basal positions, showing a serious degree of distortion. This contrasts with the square-pyramidal structure of Cu(hfacac)(2)L (L = H(2)O and pyrazine), which has the L ligand at the axial position. In the Cu(hfacac)(2)(t-BuNH(2)) complex, the t-BuNH(2) ligand is placed at an equatorial position with a lowered angle by 19.9(2) degrees from the basal plane. This distortion seems to reduce sigma influence and steric hindrance and so stabilizes the square-pyramidal geometry. This precursor has a lower melting point and superior stability to air, moisture, and heat than the Cu(hfacac)(2)(xH(2)O) precursor. The deposition rate of copper oxide film on a Pt layer above 450 degrees C was nearly constant with increasing temperature, indicating a mass transport limited reaction. Therefore it would be a useful metal organic chemical vapor deposition precursor for the fabrication of copper oxide film or superconducting materials. Crystal data for Cu(hfacac)(2)(t-BuNH(2)): 293(2) K, a = 9.6699(4) A, b = 18.0831(10) A, c = 12.8864(11) A, beta = 111.839(5) degrees, monoclinic, space group P2(1)/c, Z = 4.

  1. OH Radical Reaction Rate Coefficients, Infrared Spectrum, and Global Warming Potential of (CF3)2CFCH═CHF (HFO-1438ezy(E)).

    PubMed

    Papadimitriou, Vassileios C; Burkholder, James B

    2016-08-25

    Rate coefficients, k(T), for the OH radical + (E)-(CF3)2CFCH═CHF ((E)-1,3,4,4,4-pentafluoro-3-(trifluoromethyl)-1-butene, HFO-1438ezy(E)) gas-phase reaction were measured using pulsed laser photolysis-laser-induced fluorescence (PLP-LIF) between 214 and 380 K and 50 and 450 Torr (He or N2 bath gas) and with a relative rate method at 296 K between 100 and 400 Torr (synthetic air). Over the range of pressures included in this study, no pressure dependence in k(T) was observed. k(296 K) obtained using the two techniques agreed to within ∼3% with (3.26 ± 0.26) × 10(-13) cm(3) molecule(-1) s(-1) (2σ absolute uncertainty) obtained using the PLP-LIF technique. k(T) displayed non-Arrhenius behavior that is reproduced by (7.34 ± 0.30) × 10(-19)T(2) exp[(481 ± 10)/T) cm(3) molecule(-1) s(-1). With respect to OH reactive loss, the atmospheric lifetime of HFO-1438ezy(E) is estimated to be ∼36 days and HFO-1438ezy(E) is considered a very short-lived substance (VSLS) (the actual lifetime will depend on the time and location of the HFO-1438ezy(E) emission). On the basis of the HFO-1438ezy(E) infrared absorption spectrum measured in this work and its estimated lifetime, a radiative efficiency of 0.306 W m(-2) ppb(-1) (well-mixed gas) was calculated and its 100-year time-horizon global warming potential, GWP100, was estimated to be 8.6. CF3CFO, HC(O)F, and CF2O were identified using infrared spectroscopy as stable end products in the oxidation of HFO-1438ezy(E) in the presence of O2. Two additional fluorinated products were observed and theoretical calculations of the infrared spectra of likely degradation products are presented. The photochemical ozone creation potential of HFO-1438ezy(E) was estimated to be ∼2.15.

  2. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  3. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    PubMed Central

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-01-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I–V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later. PMID:28252106

  4. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    NASA Astrophysics Data System (ADS)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  5. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    PubMed

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  6. Microstructure and properties of hard and optically transparent HfO2 films prepared by high-rate reactive high-power impulse magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Patterson, Burkley Delesdernier

    Hafnium Dioxide (HfO2) has an extraordinary high bulk modulus, high hardness, high chemical stability, high melting point and high thermal stability. This material can be used as protective coatings for application involving high temperature environments. HfO2 films were fabricated on Si using high-rate reactive high-power impulse magnetron sputtering (HiPIMS) using different deposition-averaged target power density and voltage pulse durations t1. Five HfO2 films were prepared with (1) t1 = 25 mus, =7.6 Wcm-2 (T25S7), (2) t 1 = 100 mus, =7.2 Wcm-2 (T100S7), (3) t1 = 200 mus, =7.3 Wcm-2 (T200S7), (4) t1 = 200 mus, =18 Wcm-2 (T200S18) and (5) t1 = 200 mus, =54 Wcm-2 (T200S54). Atomic force microscopy (AFM) images of the T200S54, T200S18 and T200S7 films exhibit a coarser granular structure with a similar grain size varying from 25 nm to 120 nm in diameter and an average grain size of ˜70 nm. AFM images of the T25S7 and T100S7 films show smaller granular structures compared to the other three films. Transmission electron microscopy (TEM) studies show that all films are composed of an interlayer next to the Si interface followed by a nano-columnar structure layer. The interlayer structure of the films consists of a population of lower density nanoscale regions. A reduction in t1 and in films T200S54, T200S18, T200S7 and T100S7 caused an increase in the interlayer thickness and a decrease in the width of the nano-columnar structures from ˜46 nm to ˜21 nm. This microstructural change was accompanied by a concomitant change of the grain boundary structure from tight and interlocking in films T200S54 and T200S18, to rough and thicker (˜1 nm) boundaries in films T200S7 and T100S7. Film T25S7 exhibited an entirely different microstructure composed of a multilayered interlayer (˜3 nm) and nano-columnar (˜15 nm) structure. Films prepared with large t1 (200 mus) have a monoclinic HfO 2 structure and that with small t1 (25 mus) an orthorhombic HfO 2 structure. Film

  7. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  8. Spectroscopic signatures of AA' and AB stacking of chemical vapor deposited bilayer MoS 2

    DOE PAGES

    Xia, Ming; Li, Bo; Yin, Kuibo; ...

    2015-11-04

    We discuss prominent resonance Raman and photoluminescence spectroscopic differences between AA'and AB stacked bilayer molybdenum disulfide (MoS 2) grown by chemical vapor deposition are reported. Bilayer MoS 2 islands consisting of the two stacking orders were obtained under identical growth conditions. Also, resonance Raman and photoluminescence spectra of AA' and AB stacked bilayer MoS 2 were obtained on Au nanopyramid surfaces under strong plasmon resonance. Both resonance Raman and photoluminescence spectra show distinct features indicating clear differences in interlayer interaction between these two phases. The implication of these findings on device applications based on spin and valley degrees of freedom.

  9. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  10. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  11. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  12. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  13. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  14. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  15. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  16. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    PubMed

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  17. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  18. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  19. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  20. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  1. Thermal Conductivity and Stability of HfO2-Y2O3 and La2Zr2O7 Evaluated for 1650 Deg C Thermal/Environmental Barrier Coating Applications

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Bansal, Narottam P.; Miller, Robert A.

    2003-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal and environmental barrier coating (T/EBC) materials for gas turbine ceramic matrix composite (CMC) combustor applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature stability of hot-pressed and plasma sprayed specimens with representative partially-stabilized and fully-cubic HfO2-Y2O3 compositions and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasmasprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC hexoloy or SiC/SiC CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications are also discussed.

  2. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  4. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    PubMed

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  5. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  6. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  7. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  8. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    NASA Astrophysics Data System (ADS)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  9. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  10. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  11. How reduced vacuum pumping capability in a coating chamber affects the laser damage resistance of HfO 2/SiO 2 antireflection and high-reflection coatings

    DOE PAGES

    Field, Ella S.; Bellum, John C.; Kletecka, Damon E.

    2016-07-15

    Here, optical coatings with the highest laser damage thresholds rely on clean conditions in the vacuum chamber during the coating deposition process. A low-base pressure in the coating chamber, as well as the ability of the vacuum system to maintain the required pressure during deposition, are important aspects of limiting the amount of defects in an optical coating that could induce laser damage. Our large optics coating chamber at Sandia National Laboratories normally relies on three cryo pumps to maintain low pressures for e-beam coating processes. However, on occasion, one or more of the cryo pumps have been out ofmore » commission. In light of this circumstance, we explored how deposition under compromised vacuum conditions resulting from the use of only one or two cryo pumps affects the laser-induced damage thresholds of optical coatings. The coatings of this study consist of HfO 2 and SiO 2 layer materials and include antireflection coatings for 527 nm at normal incidence and high-reflection coatings for 527 nm at 45-deg angle of incidence in P-polarization.« less

  12. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  13. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  14. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  15. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  16. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  17. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  18. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  19. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  20. Structural and growth aspects of electron beam physical vapor deposited NiO-CeO{sub 2} nanocomposite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuanr, Sushil Kumar; K, Suresh Babu, E-mail: sureshbabu.nst@pondiuni.edu.in

    2016-03-15

    Deposition of composite materials as thin film by electron beam physical vapor deposition technique (EB-PVD) still remains as a challenge. Here, the authors report the deposition of NiO-CeO{sub 2} (30/70 wt. %) composites on quartz substrate by EB-PVD. Two NiO-CeO{sub 2} nanocomposite targets—one as green compact and the other after sintering at 1250 °C—were used for the deposition. Though the targets varied with respect to physical properties such as crystallite size (11–45 nm) and relative density (44% and 96%), the resultant thin films exhibited a mean crystallite size in the range of 20–25 nm underlining the role of physical nature of deposition. In spitemore » of the crystalline nature of the targets and similar elemental concentration, a transformation from amorphous to crystalline structure was observed in thin films on using sintered target. Postannealing of the as deposited film at 800 °C resulted in a polycrystalline structure consisting of CeO{sub 2} and NiO. Deposition using pure CeO{sub 2} or NiO as target resulted in the preferential orientation toward (111) and (200) planes, respectively, showing the influence of adatoms on the evaporation and growth process of NiO-CeO{sub 2} composite. The results demonstrate the influence of electron beam gun power on the adatom energy for the growth process of composite oxide thin films.« less

  1. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  2. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  3. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  4. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  5. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  6. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  7. The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film

    PubMed Central

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori; Akama, Akihiro; Konno, Toyohiko J.; Sakata, Osami; Funakubo, Hiroshi

    2016-01-01

    Ferroelectricity and Curie temperature are demonstrated for epitaxial Y-doped HfO2 film grown on (110) yttrium oxide-stabilized zirconium oxide (YSZ) single crystal using Sn-doped In2O3 (ITO) as bottom electrodes. The XRD measurements for epitaxial film enabled us to investigate its detailed crystal structure including orientations of the film. The ferroelectricity was confirmed by electric displacement filed – electric filed hysteresis measurement, which revealed saturated polarization of 16 μC/cm2. Estimated spontaneous polarization based on the obtained saturation polarization and the crystal structure analysis was 45 μC/cm2. This value is the first experimental estimations of the spontaneous polarization and is in good agreement with the theoretical value from first principle calculation. Curie temperature was also estimated to be about 450 °C. This study strongly suggests that the HfO2-based materials are promising for various ferroelectric applications because of their comparable ferroelectric properties including polarization and Curie temperature to conventional ferroelectric materials together with the reported excellent scalability in thickness and compatibility with practical manufacturing processes. PMID:27608815

  8. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  9. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  10. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  11. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  12. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  13. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  14. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  15. Role of point defects and HfO2/TiN interface stoichiometry on effective work function modulation in ultra-scaled complementary metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Pandey, R. K.; Sathiyanarayanan, Rajesh; Kwon, Unoh; Narayanan, Vijay; Murali, K. V. R. M.

    2013-07-01

    We investigate the physical properties of a portion of the gate stack of an ultra-scaled complementary metal-oxide-semiconductor (CMOS) device. The effects of point defects, such as oxygen vacancy, oxygen, and aluminum interstitials at the HfO2/TiN interface, on the effective work function of TiN are explored using density functional theory. We compute the diffusion barriers of such point defects in the bulk TiN and across the HfO2/TiN interface. Diffusion of these point defects across the HfO2/TiN interface occurs during the device integration process. This results in variation of the effective work function and hence in the threshold voltage variation in the devices. Further, we simulate the effects of varying the HfO2/TiN interface stoichiometry on the effective work function modulation in these extremely-scaled CMOS devices. Our results show that the interface rich in nitrogen gives higher effective work function, whereas the interface rich in titanium gives lower effective work function, compared to a stoichiometric HfO2/TiN interface. This theoretical prediction is confirmed by the experiment, demonstrating over 700 meV modulation in the effective work function.

  16. Organometallic chemical vapor deposition and characterization of ZnGeP2/GaP multiple heterostructures on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.

    1993-01-01

    The growth of ZnGeP2/GaP double and multiple heterostructures on GaP substrates by organometallic chemical vapor deposition is reported. These epitaxial films were deposited at a temperature of 580 C using dimethylzinc, trimethylgallium, germane, and phosphine as source gases. With appropriate deposition conditions, mirror smooth epitaxial GaP/ZnGeP2 multiple heterostructures were obtained on (001) GaP substrates. Transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) studies of the films showed that the interfaces are sharp and smooth. Etching study of the films showed dislocation density on the order of 5x10(exp 4)cm(sup -2). The growth rates of the GaP layers depend linearly on the flow rates of trimethylgallium. While the GaP layers crystallize in zinc-blende structure, the ZnGeP2 layers crystallize in the chalcopyrite structure as determined by (010) electron diffraction pattern. This is the first time that multiple heterostructures combining these two crystal structures were made.

  17. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  18. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  19. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  20. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  1. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  2. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  3. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  4. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  5. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  6. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  7. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  8. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  9. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  10. Tunable carbon nanotube-tungsten carbide nanoparticles heterostructures by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Min; Guo, Hongyan; Ge, Changchun

    2014-05-14

    A simple, versatile route for the synthesis of carbon nanotube (CNT)-tungsten carbide nanoparticles heterostructures was set up via vapor deposition process. For the first time, amorphous CNTs (α-CNTs) were used to immobilized tungsten carbide nanoparticles. By adjusting the synthesis and annealing temperature, α-CNTs/amorphous tungsten carbide, α-CNTs/W{sub 2}C, and CNTs/W{sub 2}C/WC heterostructures were prepared. This approach provides an efficient method to attach other metal carbides and other nanoparticles to carbon nanotubes with tunable properties.

  11. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    PubMed Central

    González, Gabriel; Kolosovas-Machuca, Eleazar Samuel; López-Luna, Edgar; Hernández-Arriaga, Heber; González, Francisco Javier

    2015-01-01

    In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers. PMID:25602271

  12. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  13. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  14. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  15. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  16. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  17. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  18. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  19. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  20. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  1. How reduced vacuum pumping capability in a coating chamber affects the laser damage resistance of HfO 2/SiO 2 antireflection and high reflection coatings.

    DOE PAGES

    Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.

    2016-06-01

    Optical coatings with the highest laser damage thresholds rely on clean conditions in the vacuum chamber during the coating deposition process. A low base pressure in the coating chamber, as well as the ability of the vacuum system to maintain the required pressure during deposition, are important aspects of limiting the amount of defects in an optical coating that could induce laser damage. Our large optics coating chamber at Sandia National Laboratories normally relies on three cryo pumps to maintain low pressures for e-beam coating processes. However, on occasion, one or more of the cryo pumps have been out ofmore » commission. In light of this circumstance, we explored how deposition under compromised vacuum conditions resulting from the use of only one or two cryo pumps affects the laser-induced damage thresholds of optical coatings. Finally, the coatings of this study consist of HfO 2 and SiO 2 layer materials and include antireflection coatings for 527 nm at normal incidence, and high reflection coatings for 527 nm, 45⁰ angle of incidence (AOI), in P-polarization (P-pol).« less

  2. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  3. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  4. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  5. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  6. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  7. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    NASA Astrophysics Data System (ADS)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  8. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  9. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  10. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    NASA Astrophysics Data System (ADS)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  11. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  12. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  13. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  14. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  15. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric

    PubMed Central

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-01

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS2) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS2 and an ultra-thin HfO2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS2-HfO2 interface is responsible for the generation of interface states with a density (Dit) reaching ~7.03 × 1011 cm−2 eV−1. This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in Dit could be achieved by thermally diffusing S atoms to the MoS2-HfO2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS2 devices with carrier transport enhancement. PMID:28084434

  16. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    PubMed

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  17. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  18. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  19. Passivation of InP heterojunction bipolar transistors by strain controlled plasma assisted electron beam evaporated hafnium oxide

    NASA Astrophysics Data System (ADS)

    Driad, R.; Sah, R. E.; Schmidt, R.; Kirste, L.

    2012-01-01

    We present structural, stress, and electrical properties of plasma assisted e-beam evaporated hafnium dioxide (HfO2) layers on n-type InP substrates. These layers have subsequently been used for surface passivation of InGaAs/InP heterostructure bipolar transistors either alone or in combination with plasma enhanced chemical vapor deposited SiO2 layers. The use of stacked HfO2/SiO2 results in better interface quality with InGaAs/InP heterostructures, as illustrated by smaller leakage current and improved breakdown voltage. These improvements can be attributed to the reduced defect density and charge trapping at the dielectric-semiconductor interface. The deposition at room temperature makes these films suitable for sensitive devices.

  20. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  1. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  2. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  3. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  4. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    PubMed

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  5. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  6. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  7. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  8. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  9. Critical current density of high-quality Bi2Sr2Ca2Cu3Ox thin films prepared by metalorganic chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamasaki, H.; Endo, K.; Nakagawa, Y.; Umeda, M.; Kosaka, S.; Misawa, S.; Yoshida, S.; Kajimura, K.

    1992-10-01

    Critical current densities Jc were measured in as-deposited, c-axis-oriented Bi2Sr2Ca2Cu3Ox thin films with Tc values as high as 97 K, which were prepared by metalorganic chemical-vapor deposition. These films showed high Jc (≳109 A/m2) at 77.3 K in high magnetic fields (≥1 T, H∥a-b plane). The best values are 3.3×109 A/m2 at 1 T and 9.1×108 A/m2 at 8 T, which are the highest Jc for Bi-oxide thin films among those reported so far. There were no signs of weak links in the Jc(H) behavior, and the surface morphology examined by scanning electron microscopy showed no apparent grain boundaries. The values of Jc decreased sharply when the applied field deviated from the a-b plane, and went to zero at the angles where the field component in the c direction is nearly equal to the irreversibility field Hc2* parallel to the c axis. The angular dependence of Jc of these films is most reasonably explained by the theory of intrinsic pinning.

  10. Scalable high-mobility MoS2 thin films fabricated by an atmospheric pressure chemical vapor deposition process at ambient temperature

    NASA Astrophysics Data System (ADS)

    Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.

    2014-10-01

    Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.

  11. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  12. Growth of monolayer MoS2 films in a quasi-closed crucible encapsulated substrates by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng

    2017-07-01

    Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.

  13. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  14. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  15. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  16. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  17. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  18. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  19. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    NASA Astrophysics Data System (ADS)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  20. Electronic structure and relative stability of the coherent and semi-coherent HfO2/III-V interfaces

    NASA Astrophysics Data System (ADS)

    Lahti, A.; Levämäki, H.; Mäkelä, J.; Tuominen, M.; Yasir, M.; Dahl, J.; Kuzmin, M.; Laukkanen, P.; Kokko, K.; Punkkinen, M. P. J.

    2018-01-01

    III-V semiconductors are prominent alternatives to silicon in metal oxide semiconductor devices. Hafnium dioxide (HfO2) is a promising oxide with a high dielectric constant to replace silicon dioxide (SiO2). The potentiality of the oxide/III-V semiconductor interfaces is diminished due to high density of defects leading to the Fermi level pinning. The character of the harmful defects has been intensively debated. It is very important to understand thermodynamics and atomic structures of the interfaces to interpret experiments and design methods to reduce the defect density. Various realistic gap defect state free models for the HfO2/III-V(100) interfaces are presented. Relative energies of several coherent and semi-coherent oxide/III-V semiconductor interfaces are determined for the first time. The coherent and semi-coherent interfaces represent the main interface types, based on the Ga-O bridges and As (P) dimers, respectively.

  1. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  2. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  3. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  4. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  5. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  7. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  8. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  9. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.

    2003-10-01

    SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  10. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  11. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  12. Zinc doping of large-area MoS2 films via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi; Liu, Haoming; Park, Kyungwha; Li, Zhen; Losovyj, Yaroslav; Starr, Matthew; Werbianskyj, Madilynn; Fertig, Herbert; Zhang, Shixiong

    Atomically thin molybdenum disulfide (MoS2) has attracted significant attention because of its great potential for electronic and optoelectronic applications. Undoped MoS2 is n-type presumably due to the formation of native defects, and realizing p-type conduction has often turned out to be challenging. In this work, we report on the synthesis and characterizations of large-area Zn-doped MoS2 thin films in which the zinc dopant is demonstrated to be p-type. The films were grown by chemical vapor deposition and are monolayers or bilayers with a lateral dimension on the order of millimeters. The p-type nature of Zn dopants was evidenced by the suppression of n-type conduction and a downward shift of the Fermi level with doping. Density-functional-theory calculations were carried out to demonstrate the stability of the Zn dopants and to determine the impurity states. A p-type gate transfer characteristic was observed after the Zn-MoS2 film was thermally annealed in a sulfur atmosphere. This work is supported by the NSF through Grant Nos. DMR-1506460, DMR-1506263, and DMR-1206354, the San Diego Supercomputer Center (SDSC) Gordon under DMR060009N, and by the US-Israel Binational Science Foundation.

  13. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, R.; Loomis, G.E.; Thomas, I.M.

    1999-03-16

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (ca. 1.10--1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm. 2 figs.

  14. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  15. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  16. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Mechanism for atmosphere dependence of laser damage morphology in HfO2/SiO2 high reflective films

    NASA Astrophysics Data System (ADS)

    Pu, Yunti; Ma, Ping; Chen, Songlin; Zhu, Jiliang; Wang, Gang; Pan, Feng; Sun, Ping; Zhu, Xiaohong; Zhu, Jianguo; Xiao, Dingquan

    2012-07-01

    We show in this paper single-shot and multi-shot laser-induced damage thresholds (LIDTs) of HfO2/SiO2 high reflective films (the reflectance = 99.9%) are affected by the presence of a water layer absorbed on the surface of the porous films. When the water layer was removed with the process of pumping, the single-shot LIDT measured in vacuum dropped to ˜48% of that measured in air, while the multi-shot LIDT in vacuum dropped to ˜47% of its atmospheric value for the high reflective films. Typical damage micrographs of the films in air and in vacuum were obtained, showing distinct damage morphologies. Such atmosphere dependence of the laser damage morphology was found to originate from that formation of a water layer on the surface of porous films could cause an increase of horizontal thermal conductivity and a reduction of vertical thermal conductivity. Moreover, laser-induced periodic ripple damages in air were found in the SiO2 layer from the micrographs. A model of deformation kinematics was used to illustrate the occurrence of the periodic ripple damage, showing that it could be attributed to a contraction of the HfO2 layer under irradiation by the 5-ns laser pulses in air.

  18. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer

    NASA Astrophysics Data System (ADS)

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-01

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd)4Ti3O12 films as insulator, and HfO2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO2 defect control layer shows a low leakage current density of 3.1 × 10-9 A/cm2 at a gate voltage of - 3 V.

  19. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  20. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  1. Chemical vapor deposited carbon nanotubes for aqueous H2-Cl2 fuel cells.

    PubMed

    Suryavanshi, U B; Bhosale, C H

    2010-06-01

    Carbon nanotubes having large surface area is an interesting material to develop H2-Cl2 fuel cell electrodes. The attempts were made to deposit carbon nanotubes on porous substrates by chemical vapour deposition. Turpentine oil (C10H16) was used as a precursor, decomposed at 1100 degrees C reactor temperature. Nickel, platinum, tin, Ni-Pt, Ni-Sn, Pt-Sn, Ni-Pt-Sn catalysts were used to grow carbon nanotubes. Nickel was deposited with electrodeposition, platinum with sputter coater and tin with vacuum deposition technique. The developed electrodes were characterized by XRD, SEM, TEM, FTIR, and resistivity by van-der Pauw method. Carbon nanotubes have been formed for 0.25 N nickel deposited for 45 and 60 min; 0.5 N, 0.75 N and 1 N nickel deposited for 15 to 60 min, at the interval of 15. Ni-Pt, Ni-Sn, Pt-Sn and Ni-Pt-Sn activated carbon also shows the well grown CNTs. Aqueous H2-Cl2 fuel cell performance was tested with these grown carbon nanotubes. 40% KCl with 1067 mohm(-1) cm(-1) conductivity was used as electrolyte. Linear sweep voltametry shows reduction potential for hydrogen gas. Chronoamperometry results show better half cell performance for nickel, deposited with 1 N, 45 min deposition time period; and combination of Ni-Pt-Sn with 140, and 110-100 mA/cm2 stable current density respectively.

  2. Growth of oriented polycrystalline α-HgI 2 films by ultrasonic-wave-assisted physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weiguang; Nie, Lei; Li, Dongmei; Wang, Yali; Zhou, Jie; Ma, Lei; Wang, Zhenhua; Shi, Weimin

    2011-06-01

    Polycrystalline α-HgI 2 thick films have been grown on ITO-coated glass substrates using ultrasonic-wave-assisted vapor phase deposition (UWAVPD) with the different source temperatures and ultrasonic frequencies. The influence of the assisted ultrasonic wave and source temperature on the structural and electrical properties of the polycrystalline α-HgI 2 films is investigated. It is found that the assisted ultrasonic wave plays an important role in the improvement of the structural and electrical properties. An uniformly oriented polycrystalline α-HgI 2 film with clear facets and narrow size distribution can be obtained at the source temperature of 80 °C under the assistance of 59 KHz ultrasonic frequency with the ultrasonic power of 200 W, which has the lowest value of ρ=2.2×10 12 Ω cm for E-field parallel to c-axis, approaching to that of high quality α-HgI 2 single crystals (4.0×10 12 Ω cm).

  3. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  4. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  5. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  6. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  7. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  8. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  9. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  10. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  11. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  12. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  13. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  14. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  15. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  16. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  17. Response to comment on "Environmental fate of the next generation refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    DOE PAGES

    Im, Jeongdae; Walshe-Langford, Gillian E.; Moon, Ji Won; ...

    2015-06-11

    In this study, refrigerant 2,3,3,3-tetrafluoropropene (HFO-1234yf) has been developed for use in mobile air conditioning systems to replace 1,1,1,2-tetrafluoroethane (HFC-134a), which has a much greater global warming potential.

  18. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    NASA Astrophysics Data System (ADS)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials.Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary

  19. Single-Walled Carbon Nanotube Dominated Micron-Wide Stripe Patterned-Based Ferroelectric Field-Effect Transistors with HfO2 Defect Control Layer.

    PubMed

    Tan, Qiuhong; Wang, Qianjin; Liu, Yingkai; Yan, Hailong; Cai, Wude; Yang, Zhikun

    2018-04-27

    Ferroelectric field-effect transistors (FeFETs) with single-walled carbon nanotube (SWCNT) dominated micron-wide stripe patterned as channel, (Bi,Nd) 4 Ti 3 O 12 films as insulator, and HfO 2 films as defect control layer were developed and fabricated. The prepared SWCNT-FeFETs possess excellent properties such as large channel conductance, high on/off current ratio, high channel carrier mobility, great fatigue endurance performance, and data retention. Despite its thin capacitance equivalent thickness, the gate insulator with HfO 2 defect control layer shows a low leakage current density of 3.1 × 10 -9  A/cm 2 at a gate voltage of - 3 V.

  20. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  1. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  2. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    NASA Astrophysics Data System (ADS)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  3. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  4. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  5. HfO2 Gate Dielectric on (NH4)2S Passivated (100) GaAs Grown by Atomic Layer Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, P.T.; /Stanford U., Materials Sci. Dept.; Sun, Y.

    2007-09-28

    The interface between hafnium oxide grown by atomic layer deposition and (100) GaAs treated with HCl cleaning and (NH{sub 4}){sub 2}S passivation has been characterized. Synchrotron radiation photoemission core level spectra indicated successful removal of the native oxides and formation of passivating sulfides on the GaAs surface. Layer-by-layer removal of the hafnia film revealed a small amount of As{sub 2}O{sub 3} formed at the interface during the dielectric deposition. Traces of arsenic and sulfur out-diffusion into the hafnia film were observed after a 450 C post-deposition anneal, and may be the origins for the electrically active defects. Transmission electron microscopymore » cross section images showed thicker HfO{sub 2} films for a given precursor exposure on S-treated GaAs versus the non-treated sample. In addition, the valence-band and the conduction-band offsets at the HfO{sub 2}/GaAs interface were deduced to be 3.18 eV and a range of 0.87-0.97 eV, respectively. It appears that HCl+(NH{sub 4})2{sub S} treatments provide a superior chemical passivation for GaAs and initial surface for ALD deposition.« less

  6. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  7. Electronic structure of strongly reduced (1 ‾ 1 1) surface of monoclinic HfO2

    NASA Astrophysics Data System (ADS)

    Cheng, YingXing; Zhu, Linggang; Ying, Yile; Zhou, Jian; Sun, Zhimei

    2018-07-01

    Material surface is playing an increasingly important role in electronic devices as their size down to nanoscale. Here, by first-principles calculations we studied the surface oxygen-vacancies (Vos) induced electronic-structure variation of HfO2 , in order to explore its potential applications in surface-controlled electronic devices. Firstly, it is found that single Vo tends to segregate onto the surface and attracts each other as they form pairs, making the formation of vacancies-contained functional surface possible. Then extensive Vo-chains whose formation/rupture can represent the high/low conductivity state are constructed. The electronic states induced by the Vos remain localized in the band-gap region for most of the Vo-chains studied here. A transition to a metallic conductance is found in metastable Vo-chain with formation energy increased by 0.25 eV per Vo. Moreover, we highlight the significance of the Hubbard U correction for density functional theory when studying the electronic-structure based conductance in the oxides. By comprehensive calculations, we find a conductivity-stability dilemma of the Vo-chains, providing guideline for understanding and designing the electronic devices based on HfO2 surface.

  8. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  9. Chemical Vapor Deposition Growth of Degenerate p-Type Mo-Doped ReS2 Films and Their Homojunction.

    PubMed

    Qin, Jing-Kai; Shao, Wen-Zhu; Xu, Cheng-Yan; Li, Yang; Ren, Dan-Dan; Song, Xiao-Guo; Zhen, Liang

    2017-05-10

    Substitutional doping of transition metal dichalcogenide two-dimensional materials has proven to be effective in tuning their intrinsic properties, such as band gap, transport characteristics, and magnetism. In this study, we realized substitutional doping of monolayer rhenium disulfide (ReS 2 ) with Mo via chemical vapor deposition. Scanning transmission electron microscopy demonstrated that Mo atoms are successfully doped into ReS 2 by substitutionally replacing Re atoms in the lattice. Electrical measurements revealed the degenerate p-type semiconductor behavior of Mo-doped ReS 2 field effect transistors, in agreement with density functional theory calculations. The p-n diode device based on a doped ReS 2 and ReS 2 homojunction exhibited gate-tunable current rectification behaviors, and the maximum rectification ratio could reach up to 150 at V d = -2/+2 V. The successful synthesis of p-type ReS 2 in this study could largely promote its application in novel electronic and optoelectronic devices.

  10. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  11. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  12. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition.

    PubMed

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-22

    Centimeter-scale continuous monolayer WS 2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS 2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS 2 for specific applications in (opto)electronics.

  13. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-01

    Centimeter-scale continuous monolayer WS2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS2 for specific applications in (opto)electronics.

  14. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  15. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  16. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  17. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  18. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  19. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  20. Microwave digestion-assisted HFO/biochar adsorption to recover phosphorus from swine manure.

    PubMed

    Zhang, Tao; Xu, Haoyu; Li, Huanhuan; He, Xinyue; Shi, Yuanji; Kruse, Andrea

    2018-04-15

    A sustainable management option for dealing with waste straw is to pyrolyze it to create biochar, which can then be used as a sorbent in pollution treatments, such as the recovery of phosphorus (P) from swine manure. However, the inability to directly capture soluble organic P (OP) and sparingly soluble P and the low selectivity of biochar remain key issues in this process. To overcome these, we investigated a microwave (MW) digestion pretreatment with a HFO/biochar adsorption process. The MW digestion-assisted treatment showed good performance for the solubilization of OP and sparingly soluble P. Optimized conditions (temperature=348K, time=45min, H 2 O 2 =3mL/30mL, HCl=0.13%) achieved an inorganic phosphorus (IP) release ratio of 83.98% and a total phosphorus (TP) release ratio of 91.83%. The P adsorption on the HFO/biochar was confirmed to follow pseudo-second-order kinetics, indicating that the P adsorption process was mainly controlled by chemical processes. The Freundlich model offered the best fit to the experimental data. The maximum amount of P adsorbed on HFO/biochar was in the range of 51.71-56.15mg/g. Thermodynamic calculations showed that the P adsorption process was exothermic, spontaneous, and increased the disorder in the system. Saturated adsorbed HFO/biochar was able to continually release P and was most suitable for use in an alkaline soil. The amount of P released from saturated adsorbed HFO/biochar reached 8.16mg/g after five interval extractions. A P mass balance indicated that 8.76% of the TP was available after the solubilization, capture, and recovery processes. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    PubMed

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  2. Vapor-deposited water and nitric acid ices

    NASA Astrophysics Data System (ADS)

    Leu, Ming-Taun; Keyser, Leon F.

    Ices formed by vapor deposition have been the subject of numerous laboratory investigations in connection with snow and glaciers on the ground, ice clouds in the terrestrial atmosphere, surfaces of other planets and their satellites, and the interstellar medium. In this review we will focus on these specific subjects: (1) heterogeneous chemistry on the surfaces of polar stratospheric clouds (PSCs) and (2) surfaces of satellites of the outer planets in our solar system. Stratospheric ozone provides a protective shield for mankind and the global biosphere from harmful ultraviolet solar radiation. In past decades, theoretical atmospheric models for the calculation of ozone balance frequently used only homogeneous gas-phase reactions in their studies. Since the discovery of the Antarctic ozone hole in 1985, however, it has been demonstrated that knowledge of heterogeneous reactions on the surface of PSCs is definitely needed to understand this significant natural event due to the anthropogenic emission of chlorofluorocarbons (CFCs). We will briefly discuss the experimental techniques for the investigation of heterogeneous chemistry on ice surfaces carried out in our laboratories. The experimental apparatus used include: several flow-tube reactors, an electron-impact ionization mass spectrometer, a Fourier transform infrared spectrometer, a BET adsorption apparatus, and a scanning environmental electron microscope. The adsorption experiments and electron microscopic work have demonstrated that the vapor-deposited ices are highly porous. Therefore, it is necessary to develop theoretical models for the elucidation of the uptake and reactivity of trace gases in porous ice substrates. Several measurements of uptake and reaction probabilities of these trace gases on water ices and nitric acid ices have been performed under ambient conditions in the upper troposphere and lower stratosphere, mainly in the temperature range 180-220 K. The trace gases of atmospheric importance

  3. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  4. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  5. Selenium-assisted controlled growth of graphene-Bi2Se3 nanoplates hybrid Dirac materials by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sun, Zhencui; Man, Baoyuan; Yang, Cheng; Liu, Mei; Jiang, Shouzhen; Zhang, Chao; Zhang, Jiaxin; Liu, Fuyan; Xu, Yuanyuan

    2016-03-01

    Se seed layers were used to synthesize the high-quality graphene-Bi2Se3 nanoplates hybrid Dirac materials via chemical vapor deposition (CVD) method. The morphology, crystallization and structural properties of the hybrid Dirac materials were characterized by SEM, EDS, Raman, XRD, AFM and HRTEM. The measurement results verify that the Se seed layer on the graphene surface can effectively saturate the surface dangling bonds of the graphene, which not only impel the uniform Bi2Se3 nanoplates growing along the horizontal direction but also can supply enough Se atoms to fill the Se vacancies. We also demonstrate the Se seed layer can effectively avoid the interaction of Bi2Se3 and the graphene. Further experiments testify the different Se seed layer on the graphene surface can be used to control the density of the Bi2Se3 nanoplates.

  6. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  7. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C.; Angélidès, L.

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor ablemore » to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.« less

  8. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  9. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  10. DuPont Petition to Exempt HFO from VOC List

    EPA Pesticide Factsheets

    Petition to exclude the chemcial 1, 1, 4, 4-hexafluorobut-2-ene (HFO 1336 mzz-Z) from the definition of volatile organic compound (VOC) and therefore allow it to be used in the US without regulation as a potential precursor to tropospheric ozone.

  11. Photovoltaic Enhancement with Ferroelectric HfO2Embedded in the Structure of Solar Cells

    NASA Astrophysics Data System (ADS)

    Eskandari, Rahmatollah; Malkinski, Leszek

    Enhancing total efficiency of the solar cells is focused on the improving one or all of the three main stages of the photovoltaic effect: absorption of the light, generation of the carriers and finally separation of the carriers. Ferroelectric photovoltaic designs target the last stage with large electric forces from polarized ferroelectric films that can be larger than band gap of the material and the built-in electric fields in semiconductor bipolar junctions. In this project we have fabricated very thin ferroelectric HfO2 films ( 10nm) doped with silicon using RF sputtering method. Doped HfO2 films were capped between two TiN layers ( 20nm) and annealed at temperatures of 800ºC and 1000ºC and Si content was varied between 6-10 mol. % using different size of mounted Si chip on hafnium target. Piezoforce microscopy (PFM) method proved clear ferroelectric properties in samples with 6 mol. % of Si that were annealed at 800ºC. Ferroelectric samples were poled in opposite directions and embedded in the structure of a cell and an enhancement in photovoltaic properties were observed on the poled samples vs unpoled ones with KPFM and I-V measurements. The current work is funded by the NSF EPSCoR LA-SiGMA project under award #EPS-1003897.

  12. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  13. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  14. Tungsten Doped TiO2 with Enhanced Photocatalytic and Optoelectrical Properties via Aerosol Assisted Chemical Vapor Deposition

    PubMed Central

    Sathasivam, Sanjayan; Bhachu, Davinder S.; Lu, Yao; Chadwick, Nicholas; Althabaiti, Shaeel A.; Alyoubi, Abdulrahman O.; Basahel, Sulaiman N.; Carmalt, Claire J.; Parkin, Ivan P.

    2015-01-01

    Tungsten doped titanium dioxide films with both transparent conducting oxide (TCO) and photocatalytic properties were produced via aerosol-assisted chemical vapor deposition of titanium ethoxide and dopant concentrations of tungsten ethoxide at 500 °C from a toluene solution. The films were anatase TiO2, with good n-type electrical conductivities as determined via Hall effect measurements. The film doped with 2.25 at.% W showed the lowest resistivity at 0.034 Ω.cm and respectable charge carrier mobility (14.9 cm3/V.s) and concentration (×1019 cm−3). XPS indicated the presence of both W6+ and W4+ in the TiO2 matrix, with the substitutional doping of W4+ inducing an expansion of the anatase unit cell as determined by XRD. The films also showed good photocatalytic activity under UV-light illumination, with degradation of resazurin redox dye at a higher rate than with undoped TiO2. PMID:26042724

  15. Process development for the manufacture of an integrated dispenser cathode assembly using laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnson, Ryan William

    2005-07-01

    Laser Chemical Vapor Deposition (LCVD) has been shown to have great potential for the manufacture of small, complex, two or three dimensional metal and ceramic parts. One of the most promising applications of the technology is in the fabrication of an integrated dispenser cathode assembly. This application requires the deposition of a boron nitride-molybdenum composite structure. In order to realize this structure, work was done to improve the control and understanding of the LCVD process and to determine experimental conditions conducive to the growth of the required materials. A series of carbon fiber and line deposition studies were used to characterize process-shape relationships and study the kinetics of carbon LCVD. These studies provided a foundation for the fabrication of the first high aspect ratio multi-layered LCVD wall structures. The kinetics studies enabled the formulation of an advanced computational model in the FLUENT CFD package for studying energy transport, mass and momentum transport, and species transport within a forced flow LCVD environment. The model was applied to two different material systems and used to quantify deposition rates and identify rate-limiting regimes. A computational thermal-structural model was also developed using the ANSYS software package to study the thermal stress state within an LCVD deposit during growth. Georgia Tech's LCVD system was modified and used to characterize both boron nitride and molybdenum deposition independently. The focus was on understanding the relations among process parameters and deposit shape. Boron nitride was deposited using a B3 N3H6-N2 mixture and growth was characterized by sporadic nucleation followed by rapid bulk growth. Molybdenum was deposited from the MoCl5-H2 system and showed slow, but stable growth. Each material was used to grow both fibers and lines. The fabrication of a boron nitride-molybdenum composite was also demonstrated. In sum, this work served to both advance the

  16. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  17. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    PubMed

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  18. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  19. Nucleation and growth mechanism of 2D SnS2 by chemical vapor deposition: initial 3D growth followed by 2D lateral growth

    NASA Astrophysics Data System (ADS)

    Zhang, Haodong; van Pelt, Thomas; Nalin Mehta, Ankit; Bender, Hugo; Radu, Iuliana; Caymax, Matty; Vandervorst, Wilfried; Delabie, Annelies

    2018-07-01

    Tin disulfide (SnS2) is a n-type semiconductor with a hexagonally layered crystal structure and has promising applications in nanoelectronics, optoelectronics and sensors. Such applications require the deposition of SnS2 with controlled crystallinity and thickness control at monolayer level on large area substrate. Here, we investigate the nucleation and growth mechanism of two-dimensional (2D) SnS2 by chemical vapor deposition (CVD) using SnCl4 and H2S as precursors. We find that the growth mechanism of 2D SnS2 is different from the classical layer-by-layer growth mode, by which monolayer-thin 2D transition metal dichalcogenides can be formed. In the initial nucleation stage, isolated 2D SnS2 domains of several monolayers high are formed. Next, 2D SnS2 crystals grow laterally while keeping a nearly constant height until layer closure is achieved, due to the higher reactivity of SnS2 crystal edges than basal planes. We infer that the thickness of the 2D SnS2 crystals is determined by the height of initial SnS2 islands. After layer closure, SnS2 grows on grain boundaries and results in 3D growth mode, accompanied by spiral growth. Our findings suggest an approach to prepare 2D SnS2 with a controlled thickness of several monolayers and add more knowledge on the nucleation and growth mechanism of 2D materials.

  20. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  1. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    NASA Astrophysics Data System (ADS)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  2. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  3. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  4. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE PAGES

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; ...

    2017-02-16

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  5. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  6. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High Tmore » c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  7. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  8. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  9. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  10. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  11. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  12. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    PubMed

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  13. Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO2) thin films grown using HfO2 ceramic target

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguirre, B.; Vemuri, R. S.; Zubia, David

    2011-01-01

    Hafnium oxide (HfO₂) thin films have been made by radio-frequency (rf) magnetron-sputtering onto Si(100) substrates under varying growth temperature (Ts). HfO₂ ceramic target has been employed for sputtering while varying the Ts from room temperature to 500⁰C during deposition. The effect of Ts on the growth and microstructure of deposited HfO₂ films has been studied using grazing incidence x-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and high-resolution scanning electron microscopy (HR-SEM) coupled with energy dispersive x-ray spectrometry (EDS). The results indicate that the effect of Ts is significant on the growth, surface and interface structure, morphology and chemical composition ofmore » the HfO₂ films. Structural characterization indicates that the HfO₂ films grown at Ts<200 ⁰C are amorphous while films grown at Ts>200 ⁰C are nanocrystalline. An amorphous-to-crystalline transition occurs at Ts=200 ⁰C. Nanocrystalline HfO₂ films crystallized in a monoclinic structure with a (-111) orientation. XPS measurements indicated the high surface-chemical quality and stoichiometric nature of the grown HfO₂ films. An interface layer (IL) formation occurs due to reaction at the HfO₂-Si interface for HfO₂ films deposited at Ts>200 ⁰C. The thickness of IL increases with increasing Ts. XPS and EDS at the HfO₂-Si cross-section indicate the IL is a (Hf, Si)-O compound. The electrical characterization using capacitance-voltage measurements indicate that the dielectric constant decreases from 25 to 16 with increasing Ts.« less

  14. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    PubMed

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  15. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  16. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  17. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  18. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  19. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  20. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  1. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    NASA Astrophysics Data System (ADS)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; Knight, Kim B.; Isselhardt, Brett H.; Matzel, Jennifer E.; Weber, Peter K.; Prussin, Stan G.; Hutcheon, Ian D.

    2017-03-01

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamic fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device (235U/238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO2 is found to be relatively invariable across the samples and interfaces (∼3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may also be

  2. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE PAGES

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; ...

    2016-10-29

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  3. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  4. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Impacts of the Degradation of 2,3,3,3-Tetrafluoropropene into Trifluoroacetic Acid from Its Application in Automobile Air Conditioners in China, the United States, and Europe.

    PubMed

    Wang, Ziyuan; Wang, Yuhang; Li, Jianfeng; Henne, Stephan; Zhang, Boya; Hu, Jianxin; Zhang, Jianbo

    2018-03-06

    HFO-1234yf (2,3,3,3-tetrafluoropropene) was proposed as an automobile air conditioner (MAC) refrigerant worldwide. However, its atmospheric degradation product is the highly soluble and phytotoxic trifluoroacetic acid (TFA), which persists in aquatic environments. We used a global three-dimensional chemical transport model to assess the potential environmental effects resulting from complete future conversion of the refrigerant in all MAC to HFO-1234yf in China, the United States, and Europe. The annual mean atmospheric concentrations of HFO-1234yf were 2.62, 2.20, and 2.73 pptv, and the mean deposition rates of TFA were 0.96, 0.45, and 0.52 kg km -2 yr -1 , in three regions. The regional TFA deposition sources mainly came from emissions within the same region. The annual TFA deposition in the North Pole region was lower than the global average and mainly originated from European emissions. A potential doubling in the future HFO-1234yf emissions in China mainly affected the local TFA depositions. The TFA concentrations in rainwater were strongly affected by the regional precipitation rates. North Africa and the Middle East, regions with scant rainfall, had extremely high TFA concentrations. The rainwater concentrations of TFA during individual rain events can exceed the level considered to be safe, indicating substantial potential regional risks from future HFO-1234yf use.

  6. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  7. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  8. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  9. Glasses and Liquids Low on the Energy Landscape Prepared by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel; Fakhraai, Zahra; Ediger, Mark

    2014-03-01

    The lower portions of the potential energy landscape for glass-forming materials such as polymers and small molecules were historically inaccessible by experiments. Physical vapor deposition is uniquely able to prepare materials in this portion of the energy landscape, with the properties of the deposited material primarily modulated by the substrate temperature. Here we report on high-throughput experiments which utilize a temperature gradient stage to enable rapid screening of vapor-deposited organic glasses. Using ellipsometry, we characterize a 100 K range of substrate temperatures in a single experiment, allowing us to rapidly determine the density, kinetic stability, fictive temperature and molecular orientation of these glasses. Their properties fall into three temperature regimes. At substrate temperatures as low as 0.97Tg, we prepare materials which are equivalent to the supercooled liquid produced by cooling the melt. Below 0.9Tg (1.16TK) the properties of materials are kinetically controlled and highly tunable. At intermediate substrate temperatures we are able to produce materials whose bulk properties match those expected for the equilibrium supercooled liquid, down to 1.16TK, but are structurally anisotropic.

  10. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  11. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  12. Hybrid gas-to-particle conversion and chemical vapor deposition for production of high-surface area films

    NASA Astrophysics Data System (ADS)

    Nguyen, Quynh Tan

    A hybrid process, based upon gas-to-particle conversion and chemical vapor deposition, is presented as an alternative technique for producing porous films with the main advantages of solvent-free, low-substrate temperature operation. Starting from solid precursors, nanoparticles were produced in the vapor phase. Downstream of this reaction zone, these nanoparticles were collected via thermophoresis onto a cooled substrate forming a porous film. Initially, alumina (Al2O3) films were produced. Later, multi-component processing was explored by incorporating platinum (Pt) nanoparticles into the Al2O3 matrix leading to the production of Pt/Al 2O3 films by two routes: simultaneous precursor injection processing or by a layer-by-layer approach. In single component processing, the formation of nanoparticle aggregates was evident within the amorphous Al2O3 films. Aggregates, composed of these particles, are likely held together by relatively weak van der Waals forces leading to the observed poor physical cohesion. In multi-component processing, reasonable control of composition and distribution of species is possible with Pt nanoparticles appearing to be co-agglomerated with alumina. Deposited crystalline Pt nanoparticles may encourage the crystallization of the amorphous Al2O3. Finally, from chemisorption results, the produced sample appears to have potentially greater catalytic activity than a commercially available standard. A model is in development to study nanoparticle interactions with a gas and deposition occurring in stagnation flow onto the cooled horizontal substrate within the tubular reactor. Using velocity and temperature fields generated from numerical solutions to the Navier-Stokes and energy equations, particle trajectories were calculated from the summation of drag, gravitational, thermophoretic, and Brownian forces. In rectangular coordinates, cooling stage width to reactor diameter ratio, deposition stage temperature, and initial velocity were the

  13. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  14. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  15. Nanoscale arrays of antimony telluride single crystals by selective chemical vapor deposition

    PubMed Central

    Huang, Ruomeng; Benjamin, Sophie L.; Gurnani, Chitra; Wang, Yudong; Hector, Andrew L.; Levason, William; Reid, Gillian; De Groot, C. H. (Kees)

    2016-01-01

    Arrays of individual single nanocrystals of Sb2Te3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor. Crystals are self-assembled reproducibly in confined spaces of 100 nm diameter with pitch down to 500 nm. The distribution of crystallite sizes across the arrays is very narrow (standard deviation of 15%) and is affected by both the hole diameter and the array pitch. The preferred growth of the crystals in the <1 1 0> orientation along the diagonal of the square holes strongly indicates that the diffusion of adatoms results in a near thermodynamic equilibrium growth mechanism of the nuclei. A clear relationship between electrical resistivity and selectivity is established across a range of metal selenides and tellurides, showing that conductive materials result in more selective growth and suggesting that electron donation is of critical importance for selective deposition. PMID:27283116

  16. Charge transfer in crystalline germanium/monolayer MoS 2 heterostructures prepared by chemical vapor deposition

    DOE PAGES

    Lin, Yung-Chen; Bilgin, Ismail; Ahmed, Towfiq; ...

    2016-09-21

    Heterostructuring provides novel opportunities for exploring emergent phenomena and applications by developing designed properties beyond those of homogeneous materials. Advances in nanoscience enable the preparation of heterostructures formed incommensurate materials. Two-dimensional (2D) materials, such as graphene and transition metal dichalcogenides, are of particular interest due to their distinct physical characteristics. There have been recent changes in new research areas related to 2D/2D heterostructures. But, other heterostructures such as 2D/three-dimensional (3D) materials have not been thoroughly studied yet although the growth of 3D materials on 2D materials creating 2D/3D heterostructures with exceptional carrier transport properties has been reported. Here also wemore » report a novel heterostructure composed of Ge and monolayer MoS 2, prepared by chemical vapor deposition. A single crystalline Ge (110) thin film was grown on monolayer MoS 2. The electrical characteristics of Ge and MoS 2 in the Ge/MoS 2 heterostructure were remarkably different from those of isolated Ge and MoS 2. The field-effect conductivity type of the monolayer MoS 2 is converted from n-type to p-type by growth of the Ge thin film on top of it. Undoped Ge on MoS 2 is highly conducting. The observations can be explained by charge transfer in the heterostructure as opposed to chemical doping via the incorporation of impurities, based on our first-principles calculations.« less

  17. Strain transfer across grain boundaries in MoS2 monolayers grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niehues, Iris; Blob, Anna; Stiehm, Torsten; Schmidt, Robert; Jadriško, Valentino; Radatović, Borna; Čapeta, Davor; Kralj, Marko; Michaelis de Vasconcellos, Steffen; Bratschitsch, Rudolf

    2018-07-01

    Monolayers of transition metal dichalcogenides (TMDC) mechanically exfoliated from bulk crystals have exceptional mechanical and optical properties. They are extremely flexible, sustaining mechanical strain of about 10% without breaking. Their optical properties dramatically change with applied strain. However, the fabrication of a large number of mechanical devices is tedious due to the micromechanical exfoliation process. Alternatively, monolayers can be grown by chemical vapor deposition (CVD) on the wafer scale, with the drawback of cracks and grain boundaries in the material. Therefore, it is important to investigate the mechanical properties of CVD-grown material and its potential as a material for mass production of nanomechanical devices. Here, we measure the optical absorption of CVD-grown MoS2 monolayers with applied uniaxial tensile strain. We derive a strain-dependent shift for the A exciton of  ‑42 meV/%. This value is identical to MoS2 monolayers, which are mechanically exfoliated from natural molybdenite crystals. Using angle-resolved second-harmonic generation spectroscopy, we find that the applied uniaxial tensile strain is fully transferred across grain boundaries of the CVD-grown monolayer. Our work demonstrates that large-area artificially grown MoS2 monolayers are promising for mass-produced nanomechanical devices.

  18. Performance of chemical vapor deposition fabricated graphene absorber mirror in Yb3+ : Sc2SiO5 mode-locked laser

    NASA Astrophysics Data System (ADS)

    Cai, Wei; Li, Yaqi; Zhu, Hongtong; Jiang, Shouzhen; Xu, Shicai; Liu, Jie; Zheng, Lihe; Su, Liangbi; Xu, Jun

    2014-12-01

    A reflective graphene saturable absorber mirror (SAM) was successfully fabricated by chemical vapor deposition technology. A stable diode-pumped passively mode-locked Yb3+:Sc2SiO5 laser using a graphene SAM as a saturable absorber was accomplished for the first time. The measured average output power amounts to 351 mW under the absorbed pump power of 12.5 W. Without prisms compensating for dispersion, the minimum pulse duration of 7 ps with a repetition rate of 97 MHz has been obtained at the central wavelength of 1063 nm. The corresponding peak power and the maximum pulse energy were 516 W and 3.6 nJ, respectively.

  19. Chemical vapor deposition of yttria-stabilized zirconia as a thermal barrier coating for gas turbine engines

    NASA Astrophysics Data System (ADS)

    Varanasi, Venu Gopal

    The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004

  20. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  1. 77 FR 16988 - Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2012-03-23

    ... Protection of Stratospheric Ozone: Amendment to HFO-1234yf SNAP Rule for Motor Vehicle Air Conditioning... hydrofluoroolefin (HFO)-1234yf (2,3,3,3-tetrafluoroprop-1-ene), a substitute for ozone- depleting substances (ODSs... EPA's Stratospheric Ozone Web site at http://www.epa.gov/ozone/snap/regs . The full list of SNAP...

  2. Transfer of monolayer TMD WS2 and Raman study of substrate effects

    PubMed Central

    Mlack, Jerome T.; Masih Das, Paul; Danda, Gopinath; Chou, Yung-Chien; Naylor, Carl H.; Lin, Zhong; López, Néstor Perea; Zhang, Tianyi; Terrones, Mauricio; Johnson, A. T. Charlie; Drndić, Marija

    2017-01-01

    A facile transfer process for transition metal dichalcogenide WS2 flakes is reported and the effect of the underlying substrate on the flake properties is investigated using Raman spectroscopy. The flakes are transferred from their growth substrate using polymethyl methacrylate (PMMA) and a wet etch to allow the user to transfer the flakes to a final substrate using a microscope and micromanipulator combined with semi-transparent Kapton tape. The substrates used range from insulators such as industry standard high-k dielectric HfO2 and “green polymer” parylene-C, to conducting chemical vapor deposition (CVD) grown graphene. Raman spectroscopy is used first to confirm the material quality of the transferred flakes to the substrates and subsequently to analyze and separate the effects arising from material transfer from those arising from interactions with the substrate. We observe changes in the Raman spectra associated with the interactions between the substrates in the flakes. These interactions affect both in-plane and out-of-plane modes in different ways depending on their sources, for example strain or surface charge. These changes vary with final substrate, with the strongest effects being observed for WS2 transferred onto graphene and HfO2, demonstrating the importance of understanding substrate interaction for fabrication of future devices. PMID:28220852

  3. Using deposition rate to increase the thermal and kinetic stability of vapor-deposited hole transport layer glasses via a simple sublimation apparatus

    NASA Astrophysics Data System (ADS)

    Kearns, Kenneth L.; Krzyskowski, Paige; Devereaux, Zachary

    2017-05-01

    Deposition rate is known to affect the relative stability of vapor-deposited glasses; slower rates give more stable materials due to enhanced mobility at the free surface of the film. Here we show that the deposition rate can affect both the thermodynamic and kinetic stabilities of N ,N' -bis(3-methylphenyl)-N ,N' -diphenylbenzidine (TPD) and N ,N' -di-[(1-naphthyl)-N ,N' -diphenyl]-1,1'-biphenyl)-4,4'-diamine (NPD) glasses used as hole transport layers for organic light emitting diodes (OLEDs). A simple, low-vacuum glass sublimation apparatus and a high vacuum deposition chamber were used to deposit the glass. 50 μm thick films were deposited in the sublimation apparatus and characterized by differential scanning calorimetry while 75 nm thick films were prepared in the high vacuum chamber and studied by hot-stage spectroscopic ellipsometry (SE). The thermodynamic stability from both preparation chambers was consistent and showed that the fictive temperature (Tfictive) was more than 30 K lower than the conventional glass transition temperature (Tg) at the slowest deposition rates. The kinetic stability, measured as the onset temperature (Tonset) where the glass begins to transform into the supercooled liquid, was 16-17 K greater than Tg at the slowest rates. Tonset was systematically lower for the thin films characterized by SE and was attributed to the thickness dependent transformation of the glass into the supercooled liquid. These results show the first calorimetric characterization of the stability of glasses for OLED applications made by vapor deposition and the first direct comparison of deposition apparatuses as a function of the deposition rate. The ease of fabrication will create an opportunity for others to study the effect of deposition conditions on glass stability.

  4. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  5. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  6. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    PubMed

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  7. Boron coating on boron nitride coated nuclear fuels by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Durmazuçar, Hasan H.; Gündüz, Güngör

    2000-12-01

    Uranium dioxide-only and uranium dioxide-gadolinium oxide (5% and 10%) ceramic nuclear fuel pellets which were already coated with boron nitride were coated with thin boron layer by chemical vapor deposition to increase the burn-up efficiency of the fuel during reactor operation. Coating was accomplished from the reaction of boron trichloride with hydrogen at 1250 K in a tube furnace, and then sintering at 1400 and 1525 K. The deposited boron was identified by infrared spectrum. The morphology of the coating was studied by using scanning electron microscope. The plate, grainy and string (fiber)-like boron structures were observed.

  8. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  9. Highly patterned growth of SnO2 nanowires using a sub-atmospheric vapor-liquid-solid deposition

    NASA Astrophysics Data System (ADS)

    Akbari, M.; Mohajerzadeh, S.

    2017-08-01

    We report the realization of tin-oxide nanowires on patterned structures using a vapor-liquid-solid (VLS) process. While gold acts as the catalyst for the growth of wires, a tin-oxide containing sol-gel solution is spin coated on silicon substrate to act as the source for SnO vapor. The growth of tin-oxide nano-structures occurs mostly at the vicinity of the pre-deposited solution. By patterning the gold as the catalyst material, one is able to observe the growth at desired places. The growth of nanowires is highly dense within 100 µm away from such in situ source and their length is of the order of 5 µm. By further distancing from the source, the growth becomes more limited and nanowires become shorter and more sparsely distributed. The growth of nanowires has been studied using scanning and transmission electron microscopy tools while their composition has been investigated using XRD and EDS analyses. As a novel application, we have employed the grown nanowires as electron detection elements to measure the emitted electrons from electron sources. This configuration can be further used as electron detectors for scanning electron microscopes.

  10. Damping mechanisms in chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, James A.; Goldsby, Jon C.

    1993-01-01

    Evaluating the damping of reinforcement fibers is important for understanding their microstructures and the vibrational response of their structural composites. In this study the damping capacities of two types of chemically vapor deposited silicon carbide fibers were measured from -200 C to as high as 800 C. Measurements were made at frequencies in the range 50 to 15000 Hz on single cantilevered fibers. At least four sources were identified which contribute to fiber damping, the most significant being thermoelastic damping and grain boundary sliding. The mechanisms controlling all sources and their potential influence on fiber and composite performance are discussed.

  11. Composition-Property Correlation in B2O3-SiO2 Preform Rods Produced Using Modified Chemical Vapor Deposition Technique

    NASA Astrophysics Data System (ADS)

    Islam, Mohammad; Saleem, Muhammad Rizwan

    2012-02-01

    Due to unique optical properties of high birefringent (Hi-Bi) fibers for sensing and coherent optical communications, there is a strong interest in process optimization at preform fabrication and fiber drawing stages. Boron-doped silica cladding acts as stress-applying part resulting in polarization properties of Hi-Bi fibers that are strongly dependent on chemical composition. Using modified chemical vapor deposition (MCVD) technique, B2O3-doped silica preform rods were synthesized under different precursor gas flow conditions. Qualitative information about B2O3-SiO2 system composition was derived from etching behavior in nonbuffered HF solution and subsequent microstructural examination using scanning electron microscope. Significant degree of B2O3 incorporation was seen in case of high BCl3:SiCl4 ratio and mild oxygen-deficient processing conditions. Increasing the B2O3 content to ~26 mol% led to a corresponding increase in coefficient of thermal expansion (CTE) to a maximum value of 2.35 ppm/K. The value of refractive index (RI), on the other hand, was found to decrease with increased B2O3 incorporation. A qualitative correlation between B2O3 and SiO2 system composition and physical properties such as CTE and RI was established.

  12. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  13. Chemical vapor deposition on chabazite (CHA) zeolite membranes for effective post-combustion CO2 capture.

    PubMed

    Kim, Eunjoo; Lee, Taehee; Kim, Hyungmin; Jung, Won-Jin; Han, Doug-Young; Baik, Hionsuck; Choi, Nakwon; Choi, Jungkyu

    2014-12-16

    Chabazite (CHA) zeolites with a pore size of 0.37 × 0.42 nm(2) are expected to separate CO2 (0.33 nm) from larger N2 (0.364 nm) in postcombustion flue gases by recognizing their minute size differences. Furthermore, the hydrophobic siliceous constituent in CHA membranes can allow for maintaining the CO2/N2 separation performance in the presence of H2O in contrast with the CO2 affinity-based membranes. In an attempt to increase the molecular sieving ability, the pore mouth size of all silica CHA (Si-CHA) particles was reduced via the chemical vapor deposition (CVD) of a silica precursor (tetraethyl orthosilicate). Accordingly, an increase of the CVD treatment duration decreased the penetration rate of CO2 into the CVD-treated Si-CHA particles. Furthermore, the CVD process was applied to siliceous CHA membranes in order to improve their CO2/N2 separation performance. Compared to the intact CHA membranes, the CO2/N2 maximum separation factor (max SF) for CVD-treated CHA membranes was increased by ∼ 2 fold under dry conditions. More desirably, the CO2/N2 max SF was increased by ∼ 3 fold under wet conditions at ∼ 50 °C, a representative temperature of the flue gas stream. In fact, the presence of H2O in the feed disfavored the permeation of N2 more than that of CO2 through CVD-modified CHA membranes and thus, contributed to the increased CO2/N2 separation factor.

  14. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, Robert; Loomis, Gary E.; Thomas, Ian M.

    1999-01-01

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (.about.1.10-1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm.

  15. Temperature cycling vapor deposition HgI.sub.2 crystal growth

    DOEpatents

    Schieber, Michael M.; Beinglass, Israel; Dishon, Giora

    1977-01-01

    A method and horizontal furnace for vapor phase growth of HgI.sub.2 crystals which utilizes controlled axial and radial airflow to maintain the desired temperature gradients. The ampoule containing the source material is rotated while axial and radial air tubes are moved in opposite directions during crystal growth to maintain a desired distance and associated temperature gradient with respect to the growing crystal, whereby the crystal interface can advance in all directions, i.e., radial and axial according to the crystallographic structure of the crystal. Crystals grown by this method are particularly applicable for use as room-temperature nuclear radiation detectors.

  16. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  17. Strong metal support interaction of Pt on TiO2 grown by atomic layer deposition and physical vapor deposition for fuel cell applications

    NASA Astrophysics Data System (ADS)

    Hansen, Robin Paul

    Several roadblocks prevent the large-scale commercialization of hydrogen fuel cells, including the stability of the Pt catalysts and their substrates, as well as the high cost of Pt. This is particularly true for the cathode, which requires a higher Pt loading because of the slow kinetics of the oxygen reduction reaction (ORR). The problem with the stability of the substrate can be solved by replacing the traditional carbon support with a conductive metal oxide such as reduced TiO2, which will not easily corrode and should result in longer lasting fuel cells. In this study, Pt was deposited either by atomic layer deposition (ALD) or physical vapor deposition (PVD). The typical size of the Pt islands that were grown using these deposition techniques was 3-8 nm. One factor that can inhibit the catalytic activity of a metal catalyst on a metal oxide is the strong metal support interaction (SMSI). This is where a metal on a reducible metal oxide can be encapsulated by a layer of the metal oxide support material at elevated temperatures. The processing of materials through atomic layer deposition can exceed this temperature. The TiO2 substrates used in this study were either grown by ALD, which results in a polycrystalline anatase film, or were single-crystal rutile TiO2(110) samples prepared in ultra-high vacuum (UHV). The Pt/TiO2 samples were tested electrochemically using cyclic voltammetry (CV) to determine the level of catalytic activity. To determine the effect of the SMSI interaction on the catalytic activity of the PVD grown samples, CV was performed on samples that were annealed in high vacuum after Pt deposition. Additional characterization was performed with scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS), and four point probe analysis. Platinum that was deposited by PVD was used as a standard since it is not affected by the SMSI at the low temperature of the substrate during deposition

  18. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  19. Synthesis of New Ba Complex as Metalorganic Source for Metalorganic Chemical Vapor Deposition and Optimization of Its Molecule Structure

    NASA Astrophysics Data System (ADS)

    Zama, Hideaki; Morishita, Tadataka

    2000-10-01

    New Ba(DPM)2-amine (DPM=dipivaloylmethane) adduct compounds were attempted to be synthesized from Ba(DPM)2 and amines. Complexes obtained were evaluated based on decreases of their weight with increasing temperature by thermogravimetry. The simple vaporizing phenomenon, showing a one-step weight-reduction curve, was observed only in the case of using tetraethylenepentamine and pentaethylenehexamine (pentaen) as adduct molecules, which have a simple chain structure and five to six primary and secondary amine radicals. From the viewpoint of applicability to film growth, they have the best structure based on a survey in this study using sixteen amine molecules with distinctive structures. When we used Ba(DPM)2-pentaen as a metalorganic source for a metalorganic chemical vapor deposition method at a vaporizing temperature of 140°C, the Ba supply rate remained stable within a standard deviation of 1.6% for over 300 h.

  20. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  1. Gas-to-particle conversion in the particle precipitation-aided chemical vapor deposition process II. Synthesis of the perovskite oxide yttrium chromite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dieten, V.E.J. van; Dekker, J.P.; Hurkmans, E.J.

    1993-11-01

    In the particle precipitation-aided chemical vapor deposition process, an aerosol is formed in the gas phase at elevated temperatures. The particles are deposited on a cooled substrate. Coherent layers with a controlled porosity can be obtained by a simultaneous heterogeneous reaction, which interconnects the deposited particles. The synthesis of submicrometer powder of the perovskite oxide yttrium chromite (YCrO[sub 3]) by gas to particle conversion, which is the first step of the PP-CVD process, has been investigated, and preliminary results are shown. The powders have been synthesized using yttrium trichloride vapor (YCl[sub 3]), chromium trichloride vapor (CrCl[sub 3]), and steam andmore » oxygen as reactants. The influence of the input molar ratio of the elements on the composition and characteristics of the powders has been investigated. Phase composition has been determined by X-ray diffraction (XRD). The powders have been characterized by transmission electron microscopy (TEM) and sedimentation field flow fractionation (SF[sup 3]). At a reaction temperature of 1283 K the powders consist of the chromium sesquioxide (Cr[sub 2]O[sub 3]), or a mixture of Cr[sub 2]O[sub 3] and YCrO[sub 3]. At stoichiometeric input amounts of metal chlorides and steam the formation of YCrO[sub 3] seems to be favored. 19 refs., 6 figs., 3 tabs.« less

  2. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  3. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  4. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  5. Electrical transport and low-frequency noise in chemical vapor deposited single-layer MoS2 devices.

    PubMed

    Sharma, Deepak; Amani, Matin; Motayed, Abhishek; Shah, Pankaj B; Birdwell, A Glen; Najmaei, Sina; Ajayan, Pulickel M; Lou, Jun; Dubey, Madan; Li, Qiliang; Davydov, Albert V

    2014-04-18

    We have studied temperature-dependent (77-300 K) electrical characteristics and low-frequency noise (LFN) in chemical vapor deposited (CVD) single-layer molybdenum disulfide (MoS2) based back-gated field-effect transistors (FETs). Electrical characterization and LFN measurements were conducted on MoS2 FETs with Al2O3 top-surface passivation. We also studied the effect of top-surface passivation etching on the electrical characteristics of the device. Significant decrease in channel current and transconductance was observed in these devices after the Al2O3 passivation etching. For passivated devices, the two-terminal resistance variation with temperature showed a good fit to the activation energy model, whereas for the etched devices the trend indicated a hopping transport mechanism. A significant increase in the normalized drain current noise power spectral density (PSD) was observed after the etching of the top passivation layer. The observed channel current noise was explained using a standard unified model incorporating carrier number fluctuation and correlated surface mobility fluctuation mechanisms. Detailed analysis of the gate-referred noise voltage PSD indicated the presence of different trapping states in passivated devices when compared to the etched devices. Etched devices showed weak temperature dependence of the channel current noise, whereas passivated devices exhibited near-linear temperature dependence.

  6. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  7. Communication: Disorder-suppressed vibrational relaxation in vapor-deposited high-density amorphous ice

    NASA Astrophysics Data System (ADS)

    Shalit, Andrey; Perakis, Fivos; Hamm, Peter

    2014-04-01

    We apply two-dimensional infrared spectroscopy to differentiate between the two polyamorphous forms of glassy water, low-density (LDA) and high-density (HDA) amorphous ices, that were obtained by slow vapor deposition at 80 and 11 K, respectively. Both the vibrational lifetime and the bandwidth of the 1-2 transition of the isolated OD stretch vibration of HDO in H2O exhibit characteristic differences when comparing hexagonal (Ih), LDA, and HDA ices, which we attribute to the different local structures - in particular the presence of interstitial waters in HDA ice - that cause different delocalization lengths of intermolecular phonon degrees of freedom. Moreover, temperature dependent measurements show that the vibrational lifetime closely follows the structural transition between HDA and LDA phases.

  8. The study of lead vapor ionization in discharge with a hot cathode and efficiency of its deposition on the substrates applied for plasma separation method

    NASA Astrophysics Data System (ADS)

    Antonov, N. N.; Samokhin, A. A.; Zhabin, S. N.; Gavrikov, A. V.; Smirnov, V. P.

    2016-11-01

    Spent nuclear fuel plasma separation method approbation implies the use of model substances. Thus it is necessary to solve the problem of material conversion into a cold plasma flow, as well as the problem of deposition on collectors. For this purpose, we carried out a kinetic and hydrodynamic simulation of the discharge with hot cathode in the lead vapor (lead vapor was injected into the interelectrode gap). Dependencies of the ionization efficiency, electrostatic potential distribution, density distribution of ions and electrons in the discharge gap on the discharge current density and the model substance vapor concentration were obtained. The simulation results show that at discharge current density of about 3.5 A/cm2 and the lead vapor concentration of 2 × 1012 cm-3, the ionization efficiency is close to 60%. Experimental research of the discharge with a hot cathode in the lead vapor was carried out. We also carried out the research of the Pb condensation coefficients on various substrates. For experimental data analysis the numerical model based on Monte Carlo method was used. The research results show that deposition coefficients at medium temperatures of substrates near 70 °C do not drop lower than 75%.

  9. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  10. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    NASA Astrophysics Data System (ADS)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  11. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    PubMed Central

    Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin

    2017-01-01

    In this research, the Zn(C5H7O2)2·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL. PMID:29267196

  12. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  13. Control of the electrical resistivity of Ni-Cr wires using low pressure chemical vapor deposition of tin

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Hyun; Bak, Jeong Geun; Lee, Kangtaek; Kim, Chang-Koo

    2018-01-01

    Control of the electrical resistivity of Ni-Cr wires is demonstrated using low pressure chemical vapor deposition (LPCVD) of tin on the surface of the wire, after which the effects of the deposition temperature on the structural, morphological, and compositional characteristics of the tin-deposited Ni-Cr wires are investigated. As the deposition temperature is increased, the resistivity of the Ni-Cr wires increases in the temperature range 300-400 °C; then remains nearly constant as the temperature increased to 700 °C. The increase in the resistivity of the Ni-Cr wires is attributed to formation of Ni3Sn2 particulates on the surface of the wire. Compositional analysis shows that the pattern of change in the tin content with the deposition temperature is similar to that of resistivity with temperature, implying that the atomic content of tin on Ni-Cr directly affects the electrical resistivity.

  14. Airfoil deposition model

    NASA Technical Reports Server (NTRS)

    Kohl, F. J.

    1982-01-01

    The methodology to predict deposit evolution (deposition rate and subsequent flow of liquid deposits) as a function of fuel and air impurity content and relevant aerodynamic parameters for turbine airfoils is developed in this research. The spectrum of deposition conditions encountered in gas turbine operations includes the mechanisms of vapor deposition, small particle deposition with thermophoresis, and larger particle deposition with inertial effects. The focus is on using a simplified version of the comprehensive multicomponent vapor diffusion formalism to make deposition predictions for: (1) simple geometry collectors; and (2) gas turbine blade shapes, including both developing laminar and turbulent boundary layers. For the gas turbine blade the insights developed in previous programs are being combined with heat and mass transfer coefficient calculations using the STAN 5 boundary layer code to predict vapor deposition rates and corresponding liquid layer thicknesses on turbine blades. A computer program is being written which utilizes the local values of the calculated deposition rate and skin friction to calculate the increment in liquid condensate layer growth along a collector surface.

  15. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  16. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  17. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  18. Controllable growth of aluminum nanorods using physical vapor deposition

    PubMed Central

    2014-01-01

    This letter proposes and experimentally demonstrates that oxygen, through action as a surfactant, enables the growth of aluminum nanorods using physical vapor deposition. Based on the mechanism through which oxygen acts, the authors show that the diameter of aluminum nanorods can be controlled from 50 to 500 nm by varying the amount of oxygen present, through modulating the vacuum level, and by varying the substrate temperature. When grown under medium vacuum, the nanorods are in the form of an aluminum metal - aluminum oxide core-shell. The thickness of the oxide shell is ~2 nm as grown and is stable when maintained in ambient for 30 days or annealed in air at 475 K for 1 day. As annealing temperature is increased, the nanorod morphology remains stable while the ratio of oxide shell to metallic core increases, resulting in a fully aluminum oxide nanorod at 1,475 K. PMID:25170334

  19. Chemical vapor deposition growth of two-dimensional heterojunctions

    NASA Astrophysics Data System (ADS)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  20. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    NASA Astrophysics Data System (ADS)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  1. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  2. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    NASA Astrophysics Data System (ADS)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  3. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-02-01

    Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W2CoB2 with average hardness from 23 to 27 GPa and average elastic modulus of 600-730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  4. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    NASA Technical Reports Server (NTRS)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  5. Physical vapor deposition as a route to glasses with liquid crystalline order

    NASA Astrophysics Data System (ADS)

    Gomez, Jaritza

    Physical vapor deposition (PVD) is an effective route to prepare glasses with a unique combination of properties. Substrate temperatures near the glass transition (Tg) and slow deposition rates can access enhanced mobility at the surface of the glass allowing molecules at the surface additional time to sample different molecular configurations. The temperature of the substrate can be used to control molecular mobility during deposition and properties in the resulting glasses such as higher density, kinetic stability and preferential molecular orientation. PVD was used to prepare glasses of itraconazole, a smectic A liquid crystal. We characterized molecular orientation using infrared and ellipsometry. Molecular orientation can be controlled by choice of Tsubstrate in a range of temperatures near Tg. Glasses deposited at Tsubstrate = Tg show nearly vertical molecular orientation relative to the substrate; at lower Tsubstrate, molecules are nearly parallel to the substrate. The molecular orientation depends on the temperature of the substrate during preparation and not on the molecular orientation of the underlying layer. This allows preparing samples of layers with differing orientations. We find these glasses are homogeneous solids without evidence of domain boundaries and are molecularly flat. We interpret the combination of properties obtained for vapor-deposited glasses of itraconazole to result from a process where molecular orientation is determined by the structure and dynamics at the free surface of the glass during deposition. We report the thermal and structural properties of glasses prepared using PVD of a rod-like molecule, posaconazole, which does not show equilibrium liquid crystal phases. These glasses show substantial molecular orientation that can be controlled by choice of Tsubstrate during deposition. Ellipsometry and IR indicate that glasses prepared at Tg - 3 K are highly ordered. At these Tsubstrate, molecules show preferential vertical

  6. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  7. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate

  8. Minimizing artifact formation in magnetorheological finishing of chemical vapor deposition ZnS flats.

    PubMed

    Kozhinova, Irina A; Romanofsky, Henry J; Maltsev, Alexander; Jacobs, Stephen D; Kordonski, William I; Gorodkin, Sergei R

    2005-08-01

    The polishing performance of magnetorheological (MR) fluids prepared with a variety of magnetic and nonmagnetic ingredients was studied on four types of initial surface for chemical vapor deposition (CVD) ZnS flats from domestic and foreign sources. The results showed that it was possible to greatly improve smoothing performance of magnetorheological finishing (MRF) by altering the fluid composition, with the best results obtained for nanoalumina abrasive used with soft carbonyl iron and altered MR fluid chemistry. Surface roughness did not exceed 20 nm peak to valley and 2 nm rms after removal of 2 microm of material. The formation of orange peel and the exposure of a pebblelike structure inherent in ZnS from the CVD process were suppressed.

  9. Vapor mediated droplet interactions - models and mechanisms (Part 2)

    NASA Astrophysics Data System (ADS)

    Benusiglio, Adrien; Cira, Nate; Prakash, Manu

    2014-11-01

    When deposited on clean glass a two-component binary mixture of propylene glycol and water is energetically inclined to spread, as both pure liquids do. Instead the mixture forms droplets stabilized by evaporation induced surface tension gradients, giving them unique properties such as negligible hysteresis. When two of these special droplets are deposited several radii apart they attract each other. The vapor from one droplet destabilizes the other, resulting in an attraction force which brings both droplets together. We present a flux-based model for droplet stabilization and a model which connects the vapor profile to net force. These simple models capture the static and dynamic experimental trends, and our fundamental understanding of these droplets and their interactions allowed us to build autonomous fluidic machines.

  10. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  11. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    PubMed

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. A thermodynamic model for the solubility of HfO2(am) in the aqueous K +– HCO 3 -– CO 3 2-–O -–H 2O system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.

    Solubility of HfO2(am) was determined as a function of KHCO3 concentrations ranging from 0.001 mol·kg-1 to 0.1 mol·kg-1. The solubility of HfO2(am) increased dramatically with the increase in KHCO3 concentrations, indicating that Hf(IV) makes strong complexes with carbonate. Thermodynamic equilibrium constants for the formation of Hf-carbonate complexes were determined using both the Pitzer and SIT models. The dramatic increase in Hf concentrations with the increase in KHCO3 concentrations can best be described by the formation of Hf(OH-)2(CO3)22- and Hf(CO3)56-. The log10 K0 values for the reactions [Hf4++2CO32-+2OH-⇌Hf(OH)2(CO3)22-] and [Hf4++5CO32-⇌Hf(CO3)56-], based on the SIT model, were determined to be 44.53±0.46 andmore » 41.53±0.46, respectively, and based on the Pitzer model they were 44.56±0.48 and 40.20±0.48, respectively.« less

  13. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  14. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  15. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  16. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  17. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  18. Bifunctional catalyst of graphite-encapsulated iron compound nanoparticle for magnetic carbon nanotubes growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Saraswati, Teguh Endah; Prasiwi, Oktaviana Dewi Indah; Masykur, Abu; Anwar, Miftahul

    2017-01-01

    The carbon nanotube has widely taken great attractive in carbon nanomaterial research and application. One of its preparation methods is catalytic chemical vapor deposition (CCVD) using catalyst i.e. iron, nickel, etc. Generally, except the catalyst, carbon source gasses as the precursor are still required. Here, we report the use of the bifunctional material of Fe3O4/C which has an incorporated core/shell structures of carbon-encapsulated iron compound nanoparticles. The bifunctional catalyst was prepared by submerged arc discharge that simply performed using carbon and carbon/iron oxide electrodes in ethanol 50%. The prepared material was then used as a catalyst in thermal chemical vapor deposition at 800°C flown with ethanol vapor as the primer carbon source in a low-pressure condition. This catalyst might play a dual role as a catalyst and secondary carbon source for growing carbon nanotubes at the time. The synthesized products were characterized by transmission electron microscopy (TEM) and X-ray diffraction (XRD) analysis. The successful formation of carbon nanotubes was assigned by the shifted X-ray diffracted peak of carbon C(002), the iron oxides of Fe3O4 and γ-Fe2O3, and the other peaks which were highly considered to the other carbon allotropes with sp2 hybridization structures. The other assignment was studied by electron microscopy which successfully observed the presence of single-wall carbon nanotubes. In addition, the as-prepared carbon nanotubes have a magnetic property which was induced by the remaining of metal catalyst inside the CNT.

  19. Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper

    PubMed Central

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2015-01-01

    Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221

  20. EDITORIAL: Atomic layer deposition Atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Godlewski, Marek

    2012-07-01

    The growth method of atomic layer deposition (ALD) was introduced in Finland by Suntola under the name of atomic layer epitaxy (ALE). The method was originally used for deposition of thin films of sulphides (ZnS, CaS, SrS) activated with manganese or rare-earth ions. Such films were grown for applications in thin-film electroluminescence (TFEL) displays. The ALE mode of growth was also tested in the case of molecular beam epitaxy. Films grown by ALD are commonly polycrystalline or even amorphous. Thus, the name ALE has been replaced by ALD. In the 80s ALD was developed mostly in Finland and neighboring Baltic countries. Deposition of a range of different materials was demonstrated at that time, including II-VI semiconductors (e.g. CdTe, CdS) and III-V (e.g. GaAs, GaN), with possible applications in e.g. photovoltaics. The number of publications on ALD was slowly increasing, approaching about 100 each year. A real boom in interest came with the development of deposition methods of thin films of high-k dielectrics. This research was motivated by a high leakage current in field-effect transistors with SiO2-based gate dielectrics. In 2007 Intel introduced a new generation of integrated circuits (ICs) with thin films of HfO2 used as gate isolating layers. In these and subsequent ICs, films of HfO2 are deposited by the ALD method. This is due to their unique properties. The introduction of ALD to the electronics industry led to a booming interest in the ALD growth method, with the number of publications increasing rapidly to well above 1000 each year. A number of new applications were proposed, as reflected in this special issue of Semiconductor Science and Technology. The included articles cover a wide range of possible applications—in microelectronics, transparent electronics, optoelectronics, photovoltaics and spintronics. Research papers and reviews on the basics of ALD growth are also included, reflecting a growing interest in precursor chemistry and growth