Sample records for vapor deposition combined

  1. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  2. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  3. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  4. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  5. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  6. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  7. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  8. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  9. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  10. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  11. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    NASA Astrophysics Data System (ADS)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  12. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  13. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  14. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  15. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  16. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  17. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  18. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  19. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  20. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  1. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  2. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  3. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  4. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  5. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  6. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  7. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  8. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  9. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  10. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  11. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  12. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  13. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  14. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  15. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  16. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  17. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  18. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  19. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  20. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  1. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  2. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  3. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  4. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  5. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  6. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  7. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  8. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  9. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  10. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  11. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  12. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  13. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  14. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  15. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  16. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  17. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  18. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  19. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  20. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  1. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  2. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  3. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  4. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  5. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  6. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  7. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  8. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  9. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  10. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  11. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  12. Physical vapor deposition as a route to glasses with liquid crystalline order

    NASA Astrophysics Data System (ADS)

    Gomez, Jaritza

    Physical vapor deposition (PVD) is an effective route to prepare glasses with a unique combination of properties. Substrate temperatures near the glass transition (Tg) and slow deposition rates can access enhanced mobility at the surface of the glass allowing molecules at the surface additional time to sample different molecular configurations. The temperature of the substrate can be used to control molecular mobility during deposition and properties in the resulting glasses such as higher density, kinetic stability and preferential molecular orientation. PVD was used to prepare glasses of itraconazole, a smectic A liquid crystal. We characterized molecular orientation using infrared and ellipsometry. Molecular orientation can be controlled by choice of Tsubstrate in a range of temperatures near Tg. Glasses deposited at Tsubstrate = Tg show nearly vertical molecular orientation relative to the substrate; at lower Tsubstrate, molecules are nearly parallel to the substrate. The molecular orientation depends on the temperature of the substrate during preparation and not on the molecular orientation of the underlying layer. This allows preparing samples of layers with differing orientations. We find these glasses are homogeneous solids without evidence of domain boundaries and are molecularly flat. We interpret the combination of properties obtained for vapor-deposited glasses of itraconazole to result from a process where molecular orientation is determined by the structure and dynamics at the free surface of the glass during deposition. We report the thermal and structural properties of glasses prepared using PVD of a rod-like molecule, posaconazole, which does not show equilibrium liquid crystal phases. These glasses show substantial molecular orientation that can be controlled by choice of Tsubstrate during deposition. Ellipsometry and IR indicate that glasses prepared at Tg - 3 K are highly ordered. At these Tsubstrate, molecules show preferential vertical

  13. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  14. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  15. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  16. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  17. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  18. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  19. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  20. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  1. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    PubMed

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  2. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  3. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  4. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  5. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  6. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating

    PubMed Central

    Slifka, A. J.; Filla, B. J.

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature. PMID:27413601

  8. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating.

    PubMed

    Slifka, A J; Filla, B J

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature.

  9. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  10. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  11. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  12. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  13. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  14. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  15. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  16. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  17. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  18. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  19. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  20. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  1. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  2. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  3. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  4. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  5. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  6. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  7. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  8. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  9. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  10. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  11. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  12. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  13. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  14. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  15. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  16. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  17. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  18. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  19. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  1. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  2. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  3. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  4. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  5. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  6. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  7. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  8. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  9. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  10. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  11. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  12. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  13. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  14. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  15. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  16. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  17. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  18. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  19. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  20. Airfoil deposition model

    NASA Technical Reports Server (NTRS)

    Kohl, F. J.

    1982-01-01

    The methodology to predict deposit evolution (deposition rate and subsequent flow of liquid deposits) as a function of fuel and air impurity content and relevant aerodynamic parameters for turbine airfoils is developed in this research. The spectrum of deposition conditions encountered in gas turbine operations includes the mechanisms of vapor deposition, small particle deposition with thermophoresis, and larger particle deposition with inertial effects. The focus is on using a simplified version of the comprehensive multicomponent vapor diffusion formalism to make deposition predictions for: (1) simple geometry collectors; and (2) gas turbine blade shapes, including both developing laminar and turbulent boundary layers. For the gas turbine blade the insights developed in previous programs are being combined with heat and mass transfer coefficient calculations using the STAN 5 boundary layer code to predict vapor deposition rates and corresponding liquid layer thicknesses on turbine blades. A computer program is being written which utilizes the local values of the calculated deposition rate and skin friction to calculate the increment in liquid condensate layer growth along a collector surface.

  1. Catalytic chemical vapor deposition synthesis and electron microscopy observation of coiled carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Xie, Jining; Mukhopadyay, K.; Yadev, J.; Varadan, V. K.

    2003-10-01

    Coiled carbon nanotubes exhibit excellent mechanical and electrical properties because of the combination of coil morphology and properties of nanotubes. They could have potential novel applications in nanocomposites and nano-electronic devices as well as nano-electromechanical systems. In this work, synthesis of regularly coiled carbon nanotubes is presented. It involves pyrolysis of hydrocarbon gas over metal/support catalyst by both thermal filament and microwave catalytic chemical vapor deposition methods. Scanning electron microscopy and transmission electron microscopy were performed to observe the coil morphology and nanostructure of coiled nanotubes. The growth mechanism and structural and electrical properties of coiled carbon nanotubes are also discussed.

  2. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    NASA Astrophysics Data System (ADS)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  3. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  4. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  6. FAST TRACK COMMUNICATION: Electronic structure of a graphene/hexagonal-BN heterostructure grown on Ru(0001) by chemical vapor deposition and atomic layer deposition: extrinsically doped graphene

    NASA Astrophysics Data System (ADS)

    Bjelkevig, Cameron; Mi, Zhou; Xiao, Jie; Dowben, P. A.; Wang, Lu; Mei, Wai-Ning; Kelber, Jeffry A.

    2010-08-01

    A significant BN-to-graphene charge donation is evident in the electronic structure of a graphene/h-BN(0001) heterojunction grown by chemical vapor deposition and atomic layer deposition directly on Ru(0001), consistent with density functional theory. This filling of the lowest unoccupied state near the Brillouin zone center has been characterized by combined photoemission/k vector resolved inverse photoemission spectroscopies, and Raman and scanning tunneling microscopy/spectroscopy. The unoccupied σ*(Γ1 +) band dispersion yields an effective mass of 0.05 me for graphene in the graphene/h-BN(0001) heterostructure, in spite of strong perturbations to the graphene conduction band edge placement.

  7. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  8. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  9. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  10. Methylsilane derived silicon carbide particle coatings produced by fluid-bed chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Miller, James Henry

    This report describes the research effort that was undertaken to develop and understand processing techniques for the deposition of both low and high density SiC coatings from a non-halide precursor, in support of the Generation IV Gas-Cooled Fast Reactor (GFR) fuel development program. The research was conducted in two phases. In the first phase, the feasibility of producing both porous SiC coatings and dense SiC coatings on surrogate fuel particles by fluidized bed chemical vapor deposition (FBCVD) using gas mixtures of methylsilane and argon was demonstrated. In the second phase, a combined experimental and modeling effort was carried out in order to gain an understanding of the deposition mechanisms that result in either porous or dense SiC coatings, depending on the coating conditions. For this second phase effort, a simplified (compared to the fluid bed) single-substrate chemical vapor deposition (CVD) system was employed. Based on the experimental and modeling results, the deposition of SiC from methylsilane is controlled by the extent of gas-phase reaction, and is therefore highly sensitive to temperature. The results show that all SiC coatings are due to the surface adsorption of species that result from gas-phase reactions. The model terms these gas-borne species embryos, and while the model does not include a prediction of coating morphology, a comparison of the model and experimental results indicates that the morphology of the coatings is controlled by the nucleation and growth of the embryos. The coating that results from small embryos (embryos with only two Si-C pairs) appears relatively dense and continuous, while the coating that results from larger embryos becomes less continuous and more nodular as embryo size increases. At some point in the growth of embryos they cease to behave as molecular species and instead behave as particles that grow by either agglomeration or by incorporation of molecular species on their surface. As these particles

  11. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  12. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  13. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  14. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  15. What Drives Metal-Surface Step Bunching in Graphene Chemical Vapor Deposition?

    NASA Astrophysics Data System (ADS)

    Yi, Ding; Luo, Da; Wang, Zhu-Jun; Dong, Jichen; Zhang, Xu; Willinger, Marc-Georg; Ruoff, Rodney S.; Ding, Feng

    2018-06-01

    Compressive strain relaxation of a chemical vapor deposition (CVD) grown graphene overlayer has been considered to be the main driving force behind metal surface step bunching (SB) in CVD graphene growth. Here, by combining theoretical studies with experimental observations, we prove that the SB can occur even in the absence of a compressive strain, is enabled by the rapid diffusion of metal adatoms beneath the graphene and is driven by the release of the bending energy of the graphene overlayer in the vicinity of steps. Based on this new understanding, we explain a number of experimental observations such as the temperature dependence of SB, and how SB depends on the thickness of the graphene film. This study also shows that SB is a general phenomenon that can occur in all substrates covered by films of two-dimensional (2D) materials.

  16. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  17. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  18. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  19. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  20. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  1. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  2. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  3. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  4. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  5. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  6. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  7. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  8. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  9. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  10. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  11. Optimal Magnetorheological Fluid for Finishing of Chemical-Vapor-Deposited Zinc Sulfide

    NASA Astrophysics Data System (ADS)

    Salzman, Sivan

    Magnetorheological finishing (MRF) of polycrystalline, chemical-vapor- deposited zinc sulfide (ZnS) optics leaves visible surface artifacts known as "pebbles". These artifacts are a direct result of the material's inner structure that consists of cone-like features that grow larger (up to a few millimeters in size) as deposition takes place, and manifest on the top deposited surface as "pebbles". Polishing the pebble features from a CVD ZnS substrate to a flat, smooth surface to below 10 nm root-mean-square is challenging, especially for a non-destructive polishing process such as MRF. This work explores ways to improve the surface finish of CVD ZnS processed with MRF through modification of the magnetorheological (MR) fluid's properties. A materials science approach is presented to define the anisotropy of CVD ZnS through a combination of chemical and mechanical experiments and theoretical predictions. Magnetorheological finishing experiments with single crystal samples of ZnS, whose cuts and orientations represent most of the facets known to occur in the polycrystalline CVD ZnS, were performed to explore the influence of material anisotropy on the material removal rate during MRF. By adjusting the fluid's viscosity, abrasive type concentration, and pH to find the chemo-mechanical conditions that equalize removal rates among all single crystal facets during MRF, we established an optimized, novel MR formulation to polish CVD ZnS without degrading the surface finish of the optic.

  12. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  13. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  14. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  15. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  16. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  17. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  18. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  19. Combined rankine and vapor compression cycles

    DOEpatents

    Radcliff, Thomas D.; Biederman, Bruce P.; Brasz, Joost J.

    2005-04-19

    An organic rankine cycle system is combined with a vapor compression cycle system with the turbine generator of the organic rankine cycle generating the power necessary to operate the motor of the refrigerant compressor. The vapor compression cycle is applied with its evaporator cooling the inlet air into a gas turbine, and the organic rankine cycle is applied to receive heat from a gas turbine exhaust to heat its boiler within one embodiment, a common condenser is used for the organic rankine cycle and the vapor compression cycle, with a common refrigerant, R-245a being circulated within both systems. In another embodiment, the turbine driven generator has a common shaft connected to the compressor to thereby eliminate the need for a separate motor to drive the compressor. In another embodiment, an organic rankine cycle system is applied to an internal combustion engine to cool the fluids thereof, and the turbo charged air is cooled first by the organic rankine cycle system and then by an air conditioner prior to passing into the intake of the engine.

  20. Study of Doped ZnO Films Synthesized by Combining Vapor Gases and Pulsed Laser Deposition

    NASA Technical Reports Server (NTRS)

    Zhu, Shen; Su, Ching-Hua; Lehoczky, Sandor L.; George, M. A.

    2000-01-01

    The properties and structure of the ZnO material are similar to those of the GaN. Since an excitonic binding energy of ZnO is about 60 meV, it has strong potential for excitonic lasing at the room temperature. This makes synthesizing ZnO films for applications attractive. However, there are several hurdles in fabricating electro-optical devices from ZnO. One of those is in growing doped p-type ZnO films. Although techniques have been developed for the doping of both p-type and n-type ZnO, this remains an area that can be improved. In this presentation, we will report the experimental results of using both thermal vapor and pulsed laser deposition to grow doped ZnO films. The films are deposited on (0001) sapphire, (001) Si and quartz substrates by ablating a ZnO target. The group III and V elements are introduced into the growth chamber using inner gases. Films are characterized by x-ray diffraction, scanning probe microscopy, energy dispersive spectroscopy, Auger electron spectroscopy, and electrical measurements. The full width at half maximum of theta rocking curves for epitaxial films is less than 0.5 deg. In textured films, it rises to several degrees. Film surface morphology reveals an island growth pattern, but the size and density of these islands vary with the composition of the reactive gases. The electrical resistivity also changes with the doped elements. The relationship between the doping elements, gas composition, and film properties will be discussed.

  1. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-02-01

    Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W2CoB2 with average hardness from 23 to 27 GPa and average elastic modulus of 600-730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  2. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  3. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  4. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  5. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  6. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  7. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  8. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  9. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  10. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  11. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  12. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  13. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  14. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  15. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  16. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  17. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  18. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  19. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  20. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  1. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  2. Glasses and Liquids Low on the Energy Landscape Prepared by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel; Fakhraai, Zahra; Ediger, Mark

    2014-03-01

    The lower portions of the potential energy landscape for glass-forming materials such as polymers and small molecules were historically inaccessible by experiments. Physical vapor deposition is uniquely able to prepare materials in this portion of the energy landscape, with the properties of the deposited material primarily modulated by the substrate temperature. Here we report on high-throughput experiments which utilize a temperature gradient stage to enable rapid screening of vapor-deposited organic glasses. Using ellipsometry, we characterize a 100 K range of substrate temperatures in a single experiment, allowing us to rapidly determine the density, kinetic stability, fictive temperature and molecular orientation of these glasses. Their properties fall into three temperature regimes. At substrate temperatures as low as 0.97Tg, we prepare materials which are equivalent to the supercooled liquid produced by cooling the melt. Below 0.9Tg (1.16TK) the properties of materials are kinetically controlled and highly tunable. At intermediate substrate temperatures we are able to produce materials whose bulk properties match those expected for the equilibrium supercooled liquid, down to 1.16TK, but are structurally anisotropic.

  3. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  4. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  5. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  6. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    PubMed Central

    Piszczek, Piotr; Lewandowska, Żaneta; Radtke, Aleksandra; Kozak, Wiesław; Sadowska, Beata; Szubka, Magdalena; Talik, Ewa; Fiori, Fabrizio

    2017-01-01

    Bioactivity investigations of titania nanotube (TNT) coatings enriched with silver nanograins (TNT/Ag) have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS). The metabolic activity assay (MTT) was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats) allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9). The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface. PMID:28914821

  7. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  8. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  9. Using deposition rate to increase the thermal and kinetic stability of vapor-deposited hole transport layer glasses via a simple sublimation apparatus

    NASA Astrophysics Data System (ADS)

    Kearns, Kenneth L.; Krzyskowski, Paige; Devereaux, Zachary

    2017-05-01

    Deposition rate is known to affect the relative stability of vapor-deposited glasses; slower rates give more stable materials due to enhanced mobility at the free surface of the film. Here we show that the deposition rate can affect both the thermodynamic and kinetic stabilities of N ,N' -bis(3-methylphenyl)-N ,N' -diphenylbenzidine (TPD) and N ,N' -di-[(1-naphthyl)-N ,N' -diphenyl]-1,1'-biphenyl)-4,4'-diamine (NPD) glasses used as hole transport layers for organic light emitting diodes (OLEDs). A simple, low-vacuum glass sublimation apparatus and a high vacuum deposition chamber were used to deposit the glass. 50 μm thick films were deposited in the sublimation apparatus and characterized by differential scanning calorimetry while 75 nm thick films were prepared in the high vacuum chamber and studied by hot-stage spectroscopic ellipsometry (SE). The thermodynamic stability from both preparation chambers was consistent and showed that the fictive temperature (Tfictive) was more than 30 K lower than the conventional glass transition temperature (Tg) at the slowest deposition rates. The kinetic stability, measured as the onset temperature (Tonset) where the glass begins to transform into the supercooled liquid, was 16-17 K greater than Tg at the slowest rates. Tonset was systematically lower for the thin films characterized by SE and was attributed to the thickness dependent transformation of the glass into the supercooled liquid. These results show the first calorimetric characterization of the stability of glasses for OLED applications made by vapor deposition and the first direct comparison of deposition apparatuses as a function of the deposition rate. The ease of fabrication will create an opportunity for others to study the effect of deposition conditions on glass stability.

  10. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  11. Quantitative Detection of Trace Explosive Vapors by Programmed Temperature Desorption Gas Chromatography-Electron Capture Detector

    PubMed Central

    Field, Christopher R.; Lubrano, Adam; Woytowitz, Morgan; Giordano, Braden C.; Rose-Pehrsson, Susan L.

    2014-01-01

    The direct liquid deposition of solution standards onto sorbent-filled thermal desorption tubes is used for the quantitative analysis of trace explosive vapor samples. The direct liquid deposition method yields a higher fidelity between the analysis of vapor samples and the analysis of solution standards than using separate injection methods for vapors and solutions, i.e., samples collected on vapor collection tubes and standards prepared in solution vials. Additionally, the method can account for instrumentation losses, which makes it ideal for minimizing variability and quantitative trace chemical detection. Gas chromatography with an electron capture detector is an instrumentation configuration sensitive to nitro-energetics, such as TNT and RDX, due to their relatively high electron affinity. However, vapor quantitation of these compounds is difficult without viable vapor standards. Thus, we eliminate the requirement for vapor standards by combining the sensitivity of the instrumentation with a direct liquid deposition protocol to analyze trace explosive vapor samples. PMID:25145416

  12. Quantitative detection of trace explosive vapors by programmed temperature desorption gas chromatography-electron capture detector.

    PubMed

    Field, Christopher R; Lubrano, Adam; Woytowitz, Morgan; Giordano, Braden C; Rose-Pehrsson, Susan L

    2014-07-25

    The direct liquid deposition of solution standards onto sorbent-filled thermal desorption tubes is used for the quantitative analysis of trace explosive vapor samples. The direct liquid deposition method yields a higher fidelity between the analysis of vapor samples and the analysis of solution standards than using separate injection methods for vapors and solutions, i.e., samples collected on vapor collection tubes and standards prepared in solution vials. Additionally, the method can account for instrumentation losses, which makes it ideal for minimizing variability and quantitative trace chemical detection. Gas chromatography with an electron capture detector is an instrumentation configuration sensitive to nitro-energetics, such as TNT and RDX, due to their relatively high electron affinity. However, vapor quantitation of these compounds is difficult without viable vapor standards. Thus, we eliminate the requirement for vapor standards by combining the sensitivity of the instrumentation with a direct liquid deposition protocol to analyze trace explosive vapor samples.

  13. Boron coating on boron nitride coated nuclear fuels by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Durmazuçar, Hasan H.; Gündüz, Güngör

    2000-12-01

    Uranium dioxide-only and uranium dioxide-gadolinium oxide (5% and 10%) ceramic nuclear fuel pellets which were already coated with boron nitride were coated with thin boron layer by chemical vapor deposition to increase the burn-up efficiency of the fuel during reactor operation. Coating was accomplished from the reaction of boron trichloride with hydrogen at 1250 K in a tube furnace, and then sintering at 1400 and 1525 K. The deposited boron was identified by infrared spectrum. The morphology of the coating was studied by using scanning electron microscope. The plate, grainy and string (fiber)-like boron structures were observed.

  14. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    NASA Astrophysics Data System (ADS)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  15. Damping mechanisms in chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, James A.; Goldsby, Jon C.

    1993-01-01

    Evaluating the damping of reinforcement fibers is important for understanding their microstructures and the vibrational response of their structural composites. In this study the damping capacities of two types of chemically vapor deposited silicon carbide fibers were measured from -200 C to as high as 800 C. Measurements were made at frequencies in the range 50 to 15000 Hz on single cantilevered fibers. At least four sources were identified which contribute to fiber damping, the most significant being thermoelastic damping and grain boundary sliding. The mechanisms controlling all sources and their potential influence on fiber and composite performance are discussed.

  16. Formation of Aluminide Coatings on Fe-Based Alloys by Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ying; Pint, Bruce A; Cooley, Kevin M

    2008-01-01

    Aluminide and Al-containing coatings were synthesized on commercial ferritic (P91) and austenitic (304L) alloys via a laboratory chemical vapor deposition (CVD) procedure for rigorous control over coating composition, purity and microstructure. The effect of the CVD aluminizing parameters such as temperature, Al activity, and post-aluminizing anneal on coating growth was investigated. Two procedures involving different Al activities were employed with and without including Cr-Al pellets in the CVD reactor to produce coatings with suitable thickness and composition for coating performance evaluation. The phase constitution of the as-synthesized coatings was assessed with the aid of a combination of X-ray diffraction, electronmore » probe microanalysis, and existing phase diagrams. The mechanisms of formation of these CVD coatings on the Fe-based alloys are discussed, and compared with nickel aluminide coatings on Ni-base superalloys. In addition, Cr-Al pellets were replaced with Fe-Al metals in some aluminizing process runs and similar coatings were achieved.« less

  17. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, Robert; Loomis, Gary E.; Thomas, Ian M.

    1999-01-01

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (.about.1.10-1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm.

  18. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  19. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  20. Tunable carbon nanotube-tungsten carbide nanoparticles heterostructures by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Min; Guo, Hongyan; Ge, Changchun

    2014-05-14

    A simple, versatile route for the synthesis of carbon nanotube (CNT)-tungsten carbide nanoparticles heterostructures was set up via vapor deposition process. For the first time, amorphous CNTs (α-CNTs) were used to immobilized tungsten carbide nanoparticles. By adjusting the synthesis and annealing temperature, α-CNTs/amorphous tungsten carbide, α-CNTs/W{sub 2}C, and CNTs/W{sub 2}C/WC heterostructures were prepared. This approach provides an efficient method to attach other metal carbides and other nanoparticles to carbon nanotubes with tunable properties.

  1. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  2. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  3. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  4. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  5. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  6. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  7. Organometallic chemical vapor deposition and characterization of ZnGeP2/GaP multiple heterostructures on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.

    1993-01-01

    The growth of ZnGeP2/GaP double and multiple heterostructures on GaP substrates by organometallic chemical vapor deposition is reported. These epitaxial films were deposited at a temperature of 580 C using dimethylzinc, trimethylgallium, germane, and phosphine as source gases. With appropriate deposition conditions, mirror smooth epitaxial GaP/ZnGeP2 multiple heterostructures were obtained on (001) GaP substrates. Transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) studies of the films showed that the interfaces are sharp and smooth. Etching study of the films showed dislocation density on the order of 5x10(exp 4)cm(sup -2). The growth rates of the GaP layers depend linearly on the flow rates of trimethylgallium. While the GaP layers crystallize in zinc-blende structure, the ZnGeP2 layers crystallize in the chalcopyrite structure as determined by (010) electron diffraction pattern. This is the first time that multiple heterostructures combining these two crystal structures were made.

  8. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  9. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  10. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  11. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  12. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.

    2003-10-01

    SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  13. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    NASA Astrophysics Data System (ADS)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  14. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, R.; Loomis, G.E.; Thomas, I.M.

    1999-03-16

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (ca. 1.10--1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm. 2 figs.

  15. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    NASA Technical Reports Server (NTRS)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  16. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  17. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  19. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  20. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  1. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  2. Silicon Chemical Vapor Deposition Process Using a Half-Inch Silicon Wafer for Minimal Manufacturing System

    NASA Astrophysics Data System (ADS)

    Li, Ning; Habuka, Hitoshi; Ikeda, Shin-ichi; Hara, Shiro

    A chemical vapor deposition reactor for producing thin silicon films was designed and developed for achieving a new electronic device production system, the Minimal Manufacturing, using a half-inch wafer. This system requires a rapid process by a small footprint reactor. This was designed and verified by employing the technical issues, such as (i) vertical gas flow, (ii) thermal operation using a highly concentrated infrared flux, and (iii) reactor cleaning by chlorine trifluoride gas. The combination of (i) and (ii) could achieve a low heating power and a fast cooling designed by the heat balance of the small wafer placed at a position outside of the reflector. The cleaning process could be rapid by (iii). The heating step could be skipped because chlorine trifluoride gas was reactive at any temperature higher than room temperature.

  3. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  4. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  5. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  6. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  7. 2017 Report for New LANL Physical Vapor Deposition Capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roman, Audrey Rae; Zhao, Xinxin; Bond, Evelyn M.

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF ismore » the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.« less

  8. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  9. Metalorganic chemical vapor deposition and characterization of ZnO materials

    NASA Astrophysics Data System (ADS)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  10. Study of Polymer Crystallization by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jeong, Hyuncheol

    When a polymer is confined under the submicron length scale, confinement size and interfaces can significantly impact the crystallization kinetics and resulting morphology. The ability to tune the morphology of confined polymer systems is of critical importance for the development of high-performance polymer microelectronics. The wisdom from the research on confined crystallization suggests that it would be beneficial to have a processing route in which the crystallization of polymers is driven by interface and temperature effects at a nanometer-scale confinement. In practice, for atomic and small-molecular systems, physical vapor deposition (PVD) has been recognized as the most successful processing route for the precise control of the film structure at surface utilizing confinement effects. While standard PVD technologies are not generally applicable to the deposition of the chemically fragile macromolecules, the development of matrix-assisted pulsed laser evaporation (MAPLE) now enables the non-destructive PVD of high-molecular weight polymers. In this thesis work, we investigated the use of MAPLE for the precise control of the crystallization of polymer films at a molecular level. We also sought to decipher the rules governing the crystallization of confined polymers, by using MAPLE as a tool to form confined polymer systems onto substrates with a controlled temperature. We first explored the early stages of film growth and crystallization of poly(ethylene oxide) (PEO) at the substrate surface formed by MAPLE. The unique mechanism of film formation in MAPLE, the deposition of submicron-sized polymer droplets, allowed for the manifestation of confinement and substrate effects in the crystallization of MAPLE-deposited PEO. Furthermore, we also focused on the property of the amorphous PEO film formed by MAPLE, showing the dependence of polymer crystallization kinetics on the thermal history of the amorphous phase. Lastly, we probed how MAPLE processing affected

  11. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  12. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  13. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  14. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  15. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  16. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  17. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  18. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  19. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    NASA Astrophysics Data System (ADS)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  20. Vapor-deposited water and nitric acid ices

    NASA Astrophysics Data System (ADS)

    Leu, Ming-Taun; Keyser, Leon F.

    Ices formed by vapor deposition have been the subject of numerous laboratory investigations in connection with snow and glaciers on the ground, ice clouds in the terrestrial atmosphere, surfaces of other planets and their satellites, and the interstellar medium. In this review we will focus on these specific subjects: (1) heterogeneous chemistry on the surfaces of polar stratospheric clouds (PSCs) and (2) surfaces of satellites of the outer planets in our solar system. Stratospheric ozone provides a protective shield for mankind and the global biosphere from harmful ultraviolet solar radiation. In past decades, theoretical atmospheric models for the calculation of ozone balance frequently used only homogeneous gas-phase reactions in their studies. Since the discovery of the Antarctic ozone hole in 1985, however, it has been demonstrated that knowledge of heterogeneous reactions on the surface of PSCs is definitely needed to understand this significant natural event due to the anthropogenic emission of chlorofluorocarbons (CFCs). We will briefly discuss the experimental techniques for the investigation of heterogeneous chemistry on ice surfaces carried out in our laboratories. The experimental apparatus used include: several flow-tube reactors, an electron-impact ionization mass spectrometer, a Fourier transform infrared spectrometer, a BET adsorption apparatus, and a scanning environmental electron microscope. The adsorption experiments and electron microscopic work have demonstrated that the vapor-deposited ices are highly porous. Therefore, it is necessary to develop theoretical models for the elucidation of the uptake and reactivity of trace gases in porous ice substrates. Several measurements of uptake and reaction probabilities of these trace gases on water ices and nitric acid ices have been performed under ambient conditions in the upper troposphere and lower stratosphere, mainly in the temperature range 180-220 K. The trace gases of atmospheric importance

  1. Creep of chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, J. A.

    1984-01-01

    The creep, thermal expansion, and elastic modulus properties for chemically vapor deposited SiC fibers were measured between 1000 and 1500 C. Creep strain was observed to increase logarithmically with time, monotonically with temperature, and linearly with tensile stress up to 600 MPa. The controlling activation energy was 480 + or - 20 kJ/mole. Thermal pretreatments near 1200 and 1450 C were found to significantly reduce fiber creep. These results coupled with creep recovery observations indicate that below 1400 C fiber creep is anelastic with neglible plastic component. This allowed a simple predictive method to be developed for describing fiber total deformation as a function of time, temperature, and stress. Mechanistic analysis of the property data suggests that fiber creep is the result of beta-SiC grain boundary sliding controlled by a small percent of free silicon in the grain boundaries.

  2. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  3. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  4. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  5. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  6. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    NASA Astrophysics Data System (ADS)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; Knight, Kim B.; Isselhardt, Brett H.; Matzel, Jennifer E.; Weber, Peter K.; Prussin, Stan G.; Hutcheon, Ian D.

    2017-03-01

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamic fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device (235U/238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO2 is found to be relatively invariable across the samples and interfaces (∼3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may also be

  7. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE PAGES

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; ...

    2016-10-29

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  8. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  9. Influence of Substrate Temperature on the Transformation Front Velocities That Determine Thermal Stability of Vapor-Deposited Glasses

    DOE PAGES

    Dalal, Shakeel S.; Ediger, M. D.

    2015-02-09

    Stable organic glasses prepared by physical vapor deposition transform into the supercooled liquid via propagating fronts of molecular mobility, a mechanism different from that exhibited by glasses prepared by cooling the liquid. In this paper, we show that spectroscopic ellipsometry can directly observe this front-based mechanism in real time and explore how the velocity of the front depends upon the substrate temperature during deposition. For the model glass former indomethacin, we detect surface-initiated mobility fronts in glasses formed at substrate temperatures between 0.68T g and 0.94T g. At each of two annealing temperatures, the substrate temperature during deposition can changemore » the transformation front velocity by a factor of 6, and these changes are imperfectly correlated with the density of the glass. We also observe substrate-initiated fronts at some substrate temperatures. By connecting with theoretical work, we are able to infer the relative mobilities of stable glasses prepared at different substrate temperatures. Finally, an understanding of the transformation behavior of vapor-deposited glasses may be relevant for extending the lifetime of organic semiconducting devices.« less

  10. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  11. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  12. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  13. PHYSICAL VAPOR DEPOSITION OF TANTALUM ON GUN BARREL STEEL (SYSTEMS ANLAYSIS BRANCH, SUSTAINABLE TECHNOLOGY DIVISION, NRMRL)

    EPA Science Inventory

    This project entails the development of an alternative technology for plating gun barrel steel to replace the process electroplating of chrome (Cr-electroplate) with physical vapor deposition of tantalum (Ta-PVD). Developed by Benet Laboratory at Watervliet Arsenal, this project'...

  14. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  15. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  16. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Structural controls on hydrothermal alteration and ore mineralization

    USGS Publications Warehouse

    Berger, Byron R.; Henley, Richard W.

    2011-01-01

    High-sulfidation copper–gold lode deposits such as Chinkuashih, Taiwan, Lepanto, Philippines, and Goldfield, Nevada, formed within 1500 m of the paleosurface in volcanic terranes. All underwent an early stage of extensive advanced argillic silica–alunite alteration followed by an abrupt change to spatially much more restricted stages of fracture-controlled sulfide–sulfosalt mineral assemblages and gold–silver mineralization. The alteration as well as ore mineralization stages of these deposits were controlled by the dynamics and history of syn-hydrothermal faulting.At the Sulfate Stage, aggressive advanced argillic alteration and silicification were consequent on the in situ formation of acidic condensate from magmatic vapor as it expanded through secondary fracture networks alongside active faults. The reduction of permeability at this stage due to alteration decreased fluid flow to the surface, and progressively developed a barrier between magmatic-vapor expansion constrained by the active faults and peripheral hydrothermal activity dominated by hot-water flow. In conjunction with the increased rock strength resulting from alteration, subsequent fault-slip inversion in response to an increase in compressional stress generated new, highly permeable fractures localized by the embrittled, altered rock. The new fractures focused magmatic-vapor expansion with much lower heat loss so that condensation occurred. Sulfide Stage sulfosalt, sulfide, and gold–silver deposition then resulted from destabilization of vapor phase metal species due to vapor decompression through the new fracture array. The switch from sulfate to sulfide assemblages is, therefore, a logical consequence of changes in structural permeability due to the coupling of alteration and fracture dynamics rather than to changes in the chemistry of the fluid phase at its magmatic source.

  17. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  18. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  19. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  20. Influences of ultrathin amorphous buffer layers on GaAs/Si grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin

    2018-04-01

    In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.

  1. Method and apparatus for fabricating a thin-film solar cell utilizing a hot wire chemical vapor deposition technique

    DOEpatents

    Wang, Qi; Iwaniczko, Eugene

    2006-10-17

    A thin-film solar cell is provided. The thin-film solar cell comprises an a-SiGe:H (1.6 eV) n-i-p solar cell having a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer by hot wire chemical vapor deposition. A method for fabricating a thin film solar cell is also provided. The method comprises depositing a n-i-p layer at a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer.

  2. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE PAGES

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael; ...

    2018-03-14

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  3. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  4. Process development for the manufacture of an integrated dispenser cathode assembly using laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnson, Ryan William

    2005-07-01

    Laser Chemical Vapor Deposition (LCVD) has been shown to have great potential for the manufacture of small, complex, two or three dimensional metal and ceramic parts. One of the most promising applications of the technology is in the fabrication of an integrated dispenser cathode assembly. This application requires the deposition of a boron nitride-molybdenum composite structure. In order to realize this structure, work was done to improve the control and understanding of the LCVD process and to determine experimental conditions conducive to the growth of the required materials. A series of carbon fiber and line deposition studies were used to characterize process-shape relationships and study the kinetics of carbon LCVD. These studies provided a foundation for the fabrication of the first high aspect ratio multi-layered LCVD wall structures. The kinetics studies enabled the formulation of an advanced computational model in the FLUENT CFD package for studying energy transport, mass and momentum transport, and species transport within a forced flow LCVD environment. The model was applied to two different material systems and used to quantify deposition rates and identify rate-limiting regimes. A computational thermal-structural model was also developed using the ANSYS software package to study the thermal stress state within an LCVD deposit during growth. Georgia Tech's LCVD system was modified and used to characterize both boron nitride and molybdenum deposition independently. The focus was on understanding the relations among process parameters and deposit shape. Boron nitride was deposited using a B3 N3H6-N2 mixture and growth was characterized by sporadic nucleation followed by rapid bulk growth. Molybdenum was deposited from the MoCl5-H2 system and showed slow, but stable growth. Each material was used to grow both fibers and lines. The fabrication of a boron nitride-molybdenum composite was also demonstrated. In sum, this work served to both advance the

  5. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  6. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  7. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  8. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor

    PubMed Central

    2018-01-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner. PMID:29363979

  9. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    PubMed

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  10. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  11. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  12. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  13. Chemical vapor deposition techniques and related methods for manufacturing microminiature thermionic converters

    DOEpatents

    King, Donald B.; Sadwick, Laurence P.; Wernsman, Bernard R.

    2002-06-25

    Methods of manufacturing microminiature thermionic converters (MTCs) having high energy-conversion efficiencies and variable operating temperatures using MEMS manufacturing techniques including chemical vapor deposition. The MTCs made using the methods of the invention incorporate cathode to anode spacing of about 1 micron or less and use cathode and anode materials having work functions ranging from about 1 eV to about 3 eV. The MTCs also exhibit maximum efficiencies of just under 30%, and thousands of the devices can be fabricated at modest costs.

  14. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  15. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  16. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  17. Electron-Beam Vapor Deposition of Mold Inserts Final Report CRADA No. TSB-777-94

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shepp, T.; Feeley, T.

    Lawrence Livermore National Laboratory and H.G.G. Laser Fare, Inc. studied the application of electron-beam vapor deposition technology to the production of mold inserts for use in an injection molding machine by Laser Fare. Laser Fare provided LLNL with the requirements of the mold inserts as well as sample inserts. LLNL replicated the mold insert(s) to Laser Fare for testing by Laser Fare.

  18. Reducing the surface roughness beyond the pulsed-laser-deposition limit.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2009-10-01

    Here, we outline the theoretical fundamentals of a promising growth kinetics of films from the vapor phase, in which pulsed fluxes are combined with temperature transients to enable short-range surface relaxations (e.g., species rearrangements) and to inhibit long-range relaxations (atomic exchange between species). A group of physical techniques (fully pulsed thermal and/or laser depositions) based on this kinetics is developed that can be used to prepare films with roughnesses even lower than those obtained with pulsed-laser deposition, which is the physical vapor-phase deposition technique that has produced the flattest films reported so far.

  19. A kinetic model for the characteristic surface morphologies of thin films by directional vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Huang, Po-Yu

    2017-12-01

    In order to simulate a process of directional vapor deposition, in this study, a numerical approach was applied to model the growth and evolution of surface morphologies for the crystallographic structures of thin films. The critical factors affecting the surface morphologies in a deposition process, such as the crystallographic symmetry, anisotropic interfacial energy, shadowing effect, and deposition rate, were all enclosed in the theoretical model. By altering the parameters of crystallographic symmetry in the structures, the faceted nano-columns with rectangular and hexagonal shapes were established in the simulation results. Furthermore, for revealing the influences of the anisotropic strength and the deposition rate theoretically on the crystallographic structure formations, various parameters adjusted in the numerical calculations were also investigated. Not only the morphologies but also the surface roughnesses for different processing conditions were distinctly demonstrated with the quantitative analysis of the simulations.

  20. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    NASA Astrophysics Data System (ADS)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  1. Carbon nanotubes synthesized by Ni-assisted atmospheric pressure thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Choi, G. S.; Cho, Y. S.; Hong, S. Y.; Park, J. B.; Son, K. H.; Kim, D. J.

    2002-03-01

    A detailed systematic study on the growth morphology of carbon nanotubes (CNTs) on Si in atmospheric pressure thermal chemical vapor deposition was undertaken. The role of NH3 for vertical alignment of CNTs was investigated. The direct cause for the alignment was a dense distribution of the catalytic metal particles, but that the particles are maintained catalytically active under amorphous carbon deposits was established by NH3. It allows a dense nucleation of the CNTs, and consequently, assists vertical alignment through entanglement and mechanical leaning among the tubes. The CNTs grew in a base growth mode. Since Ni is consumed both by silicide reaction and by capture into the growing tube, the growth stops when Ni is totally depleted. It occurs earlier for smaller particles, and thus a long time of growth results in a thin bottom with poor adhesion.

  2. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  3. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  4. Rare-earth-doped optical-fiber core deposition using full vapor-phase SPCVD process

    NASA Astrophysics Data System (ADS)

    Barnini, A.; Robin, T.; Cadier, B.; Aka, G.; Caurant, D.; Gotter, T.; Guyon, C.; Pinsard, E.; Guitton, P.; Laurent, A.; Montron, R.

    2017-02-01

    One key parameter in the race toward ever-higher power fiber lasers remains the rare earth doped optical core quality. Modern Large Mode Area (LMA) fibers require a fine radial control of the core refractive index (RI) close to the silica level. These low RI are achieved with multi-component materials that cannot be readily obtained using conventional solution doping based Modified Chemical Vapor Deposition (MCVD) technology. This paper presents a study of such optical material obtained through a full-vapor phase Surface Plasma Chemical Vapor Deposition (SPCVD). The SPCVD process generates straight glassy films on the inner surface of a thermally regulated synthetic silica tube under vacuum. The first part of the presented results points out the feasibility of ytterbium-doped aluminosilicate fibers by this process. In the second part we describe the challenge controlling the refractive index throughout the core diameter when using volatile fluorine to create efficient LMA fiber profiles. It has been demonstrated that it is possible to counter-act the loss of fluorine at the center of the core by adjusting the core composition locally. Our materials yielded, when used in optical fibers with numerical apertures ranging from 0.07 to 0.09, power conversion efficiency up to 76% and low background losses below 20 dB/km at 1100nm. Photodarkening has been measured to be similar to equivalent MCVD based fibers. The use of cerium as a co-dopant allowed for a complete mitigation of this laser lifetime detrimental effect. The SPCVD process enables high capacity preforms and is particularly versatile when it comes to radial tailoring of both rare earth doping level and RI. Large core diameter preforms - up to 4mm - were successfully produced.

  5. A Strategy to Design High-Density Nanoscale Devices utilizing Vapor Deposition of Metal Halide Perovskite Materials.

    PubMed

    Hwang, Bohee; Lee, Jang-Sik

    2017-08-01

    The demand for high memory density has increased due to increasing needs of information storage, such as big data processing and the Internet of Things. Organic-inorganic perovskite materials that show nonvolatile resistive switching memory properties have potential applications as the resistive switching layer for next-generation memory devices, but, for practical applications, these materials should be utilized in high-density data-storage devices. Here, nanoscale memory devices are fabricated by sequential vapor deposition of organolead halide perovskite (OHP) CH 3 NH 3 PbI 3 layers on wafers perforated with 250 nm via-holes. These devices have bipolar resistive switching properties, and show low-voltage operation, fast switching speed (200 ns), good endurance, and data-retention time >10 5 s. Moreover, the use of sequential vapor deposition is extended to deposit CH 3 NH 3 PbI 3 as the memory element in a cross-point array structure. This method to fabricate high-density memory devices could be used for memory cells that occupy large areas, and to overcome the scaling limit of existing methods; it also presents a way to use OHPs to increase memory storage capacity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  7. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  8. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  9. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  10. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  11. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    ERIC Educational Resources Information Center

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  12. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  13. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  14. The contribution of vapor deposition to amorphous rims on lunar soil grains. [Abstract only

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Mckay, D. S.

    1994-01-01

    Recent analysis analytical electron microscope study of lunar soils showed that the approximately 60-nm-wide amorphous rims surrounding many lunar soils grains exhibit distinct compositional differences from their hosts. On average, the amorphous rim compositions reflect the local bulk soil composition with the exceptions of Si and S, which are enriched relative to the bulk soil. These chemical trends led us to propose that the amorphous rims were in fact deposits of impact-generated vapors produced during regolith gardening, a hypothesis that runs contrary to the generally accepted view that the rims are produced through amorphization of the outer parts of mineral grains by interaction with the solar wind. Analytical data are reported for amorphous rims on individual minerals in lunar soils in order to show that the magnitude of the chemical differences between rim and host are so great that they require a major addition of foreign elements to the grain surfaces. The average composition of amorphous rims is listed as a function of host mineralogy as determined in microtone thin sections using energy-dispersive X-ray spectrometry in the transmission electron microscope. As the host mineral becomes chemically more complex, the chemical differences are not as clear. The average rim compositions are remarkably similar and are independent of the host grain mineralogy. Whether there are 'sputtering' or radiation effects superimposed on the vapor-deposited material can be debated. We do not explicitly exclude the effects of radiation damage as a contributing factor to the formation of amorphous rims; we are merely emphasizing the major role played by condensed vapors in the formation of amorphous rims on lunar soil grains.

  15. Vapor purification with self-cleaning filter

    DOEpatents

    Josephson, Gary B.; Heath, William O.; Aardahl, Christopher L.

    2003-12-09

    A vapor filtration device including a first electrode, a second electrode, and a filter between the first and second electrodes is disclosed. The filter is formed of dielectric material and the device is operated by applying a first electric potential between the electrodes to polarize the dielectric material such that upon passing a vapor stream through the filter, particles from the vapor stream are deposited onto the filter. After depositing the particles a second higher voltage is applied between the electrodes to form a nonthermal plasma around the filter to vaporize the collected particles thereby cleaning the filter. The filter can be a packed bed or serpentine filter mat, and an optional upstream corona wire can be utilized to charge airborne particles prior to their deposition on the filter.

  16. Nanoscale arrays of antimony telluride single crystals by selective chemical vapor deposition

    PubMed Central

    Huang, Ruomeng; Benjamin, Sophie L.; Gurnani, Chitra; Wang, Yudong; Hector, Andrew L.; Levason, William; Reid, Gillian; De Groot, C. H. (Kees)

    2016-01-01

    Arrays of individual single nanocrystals of Sb2Te3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor. Crystals are self-assembled reproducibly in confined spaces of 100 nm diameter with pitch down to 500 nm. The distribution of crystallite sizes across the arrays is very narrow (standard deviation of 15%) and is affected by both the hole diameter and the array pitch. The preferred growth of the crystals in the <1 1 0> orientation along the diagonal of the square holes strongly indicates that the diffusion of adatoms results in a near thermodynamic equilibrium growth mechanism of the nuclei. A clear relationship between electrical resistivity and selectivity is established across a range of metal selenides and tellurides, showing that conductive materials result in more selective growth and suggesting that electron donation is of critical importance for selective deposition. PMID:27283116

  17. Enhanced vacuum arc vapor deposition electrode

    NASA Technical Reports Server (NTRS)

    Weeks, Jack L. (Inventor); Todd, Douglas M. (Inventor)

    1999-01-01

    A process for forming a thin metal coating on a substrate wherein a gas stream heated by an electrical current impinges on a metallic target in a vacuum chamber to form a molten pool of the metal and then vaporize a portion of the pool, with the source of the heated gas stream being on one side of the target and the substrate being on the other side of the target such that most of the metallic vapor from the target is directed at the substrate.

  18. Water-assisted growth of graphene on carbon nanotubes by the chemical vapor deposition method.

    PubMed

    Feng, Jian-Min; Dai, Ye-Jing

    2013-05-21

    Combining carbon nanotubes (CNTs) with graphene has been proved to be a feasible method for improving the performance of graphene for some practical applications. This paper reports a water-assisted route to grow graphene on CNTs from ferrocene and thiophene dissolved in ethanol by the chemical vapor deposition method in an argon flow. A double injection technique was used to separately inject ethanol solution and water for the preparation of graphene/CNTs. First, CNTs were prepared from ethanol solution and water. The injection of ethanol solution was suspended and water alone was injected into the reactor to etch the CNTs. Thereafter, ethanol solution was injected along with water, which is the key factor in obtaining graphene/CNTs. Transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and Raman scattering analyses confirmed that the products were the hybrid materials of graphene/CNTs. X-ray photo-electron spectroscopy analysis showed the presence of oxygen rich functional groups on the surface of the graphene/CNTs. Given the activity of the graphene/CNT surface, CdS quantum dots adhered onto it uniformly through simple mechanical mixing.

  19. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  20. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  1. Light-weight titanium magnesium alloys by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ward-Close, C.M.; Lu, G.; Bagnall, K.E.

    A novel range of Ti-Mg alloys were produced by a high rate evaporation and vapor quenching route. Magnesium is virtually insoluble in titanium under equilibrium conditions, and this alloy combination is not possible by conventional ingot metallurgy due to the high vapor pressure of magnesium, which boils at atmospheric pressure below the melting point of titanium. X-ray diffraction data showed that at least 27 wt% magnesium was retained in solid solution. Each 1 wt% addition of magnesium reduced the alloy density by approximately 1%. For the more dilute alloys (< 10 wt%) heat treatment in air or in vacuum upmore » to 700 C was accompanied by a very substantial increase in hardness, which could not be explained in terms of oxygen absorption by the titanium lattice. A Ti-9Mg alloy has been studied by transmission electron microscopy using electron energy loss (PEELS) and energy dispersive X-ray techniques. After hot-pressing, particles in the 2--20 nm range, and others at about 100 nm diameter were found within the grains and in the grain boundaries respectively. These particles were identified as magnesium. Controlled oxidation led to the formation of MgO particles, and an increase in hardness by a factor of 2.3.« less

  2. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  3. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  4. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  5. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  6. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  7. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    NASA Astrophysics Data System (ADS)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  8. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  9. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.

  10. Method of making AlInSb by metal-organic chemical vapor deposition

    DOEpatents

    Biefeld, Robert M.; Allerman, Andrew A.; Baucom, Kevin C.

    2000-01-01

    A method for producing aluminum-indium-antimony materials by metal-organic chemical vapor deposition (MOCVD). This invention provides a method of producing Al.sub.X In.sub.1-x Sb crystalline materials by MOCVD wherein an Al source material, an In source material and an Sb source material are supplied as a gas to a heated substrate in a chamber, said Al source material, In source material, and Sb source material decomposing at least partially below 525.degree. C. to produce Al.sub.x In.sub.1-x Sb crystalline materials wherein x is greater than 0.002 and less than one.

  11. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  12. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    NASA Astrophysics Data System (ADS)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  13. Effect of the carrier gas flow rate on the microstructure evolution and the generation of the charged nanoparticles during silicon chemical vapor deposition.

    PubMed

    Youn, Woong-Kyu; Kim, Chan-Soo; Hwang, Nong-Moon

    2013-10-01

    The generation of charged nanoparticles in the gas phase has been continually reported in many chemical vapor deposition processes. Charged silicon nanoparticles in the gas phase were measured using a differential mobility analyzer connected to an atmospheric-pressure chemical vapor deposition reactor at various nitrogen carrier gas flow rates (300-1000 standard cubic centimeter per minute) under typical conditions for silicon deposition at the reactor temperature of 900 degrees C. The carrier gas flow rate affected not only the growth behavior of nanostructures but also the number concentration and size distribution of both negatively and positively charged nanoparticles. As the carrier gas flow rate decreased, the growth behavior changed from films to nanowires, which grew without catalytic metal nanoparticles on a quartz substrate.

  14. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  15. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  16. Modeling and Real-Time Process Monitoring of Organometallic Chemical Vapor Deposition of III-V Phosphides and Nitrides at Low and High Pressure

    NASA Technical Reports Server (NTRS)

    Bachmann, K. J.; Cardelino, B. H.; Moore, C. E.; Cardelino, C. A.; Sukidi, N.; McCall, S.

    1999-01-01

    The purpose of this paper is to review modeling and real-time monitoring by robust methods of reflectance spectroscopy of organometallic chemical vapor deposition (OMCVD) processes in extreme regimes of pressure. The merits of p-polarized reflectance spectroscopy under the conditions of chemical beam epitaxy (CBE) and of internal transmission spectroscopy and principal angle spectroscopy at high pressure are assessed. In order to extend OMCVD to materials that exhibit large thermal decomposition pressure at their optimum growth temperature we have designed and built a differentially-pressure-controlled (DCP) OMCVD reactor for use at pressures greater than or equal to 6 atm. We also describe a compact hard-shell (CHS) reactor for extending the pressure range to 100 atm. At such very high pressure the decomposition of source vapors occurs in the vapor phase, and is coupled to flow dynamics and transport. Rate constants for homogeneous gas phase reactions can be predicted based on a combination of first principles and semi-empirical calculations. The pressure dependence of unimolecular rate constants is described by RRKM theory, but requires variational and anharmonicity corrections not included in presently available calculations with the exception of ammonia decomposition. Commercial codes that include chemical reactions and transport exist, but do not adequately cover at present the kinetics of heteroepitaxial crystal growth.

  17. Electron beam physical vapor deposition of YSZ electrolyte coatings for SOFCs

    NASA Astrophysics Data System (ADS)

    He, Xiaodong; Meng, Bin; Sun, Yue; Liu, Bochao; Li, Mingwei

    2008-09-01

    YSZ electrolyte coatings were prepared by electron beam physical vapor deposition (EB-PVD) at a high deposition rate of up to 1 μm/min. The YSZ coating consisted of a single cubic phase and no phase transformation occurred after annealing treatment at 1000 °C. A typical columnar structure was observed in this coating by SEM and feather-like characteristics appeared in every columnar grain. In columnar grain boundaries there were many micron-sized gaps and pores. In TEM image, many white lines were found, originating from the alignment of nanopores existing within feather-like columnar grains. The element distribution along the cross-section of the coating was homogeneous except Zr with a slight gradient. The coating exhibited a characteristic anisotropic behavior in electrical conductivity. In the direction perpendicular to coating surface the electrical conductivity was remarkably higher than that in the direction parallel to coating surface. This mainly attributed to the typical columnar structure for EB-PVD coating and the existence of many grain boundaries along the direction parallel to coating surface. For as-deposited coating, the gas permeability coefficient of 9.78 × 10 -5 cm 4 N -1 s -1 was obtained and this value was close to the critical value of YSZ electrolyte layer required for solid oxide fuel cell (SOFC) operation.

  18. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  19. A Review of Carbon Nanomaterials' Synthesis via the Chemical Vapor Deposition (CVD) Method.

    PubMed

    Manawi, Yehia M; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A

    2018-05-17

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  20. Synthesis and Characterization of Tin(IV) Oxide Obtained by Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Nagirnyak, Svitlana V.; Lutz, Victoriya A.; Dontsova, Tatiana A.; Astrelin, Igor M.

    2016-07-01

    The effect of precursors on the characteristics of tin oxide obtained by chemical vapor deposition (CVD) method was investigated. The synthesis of nanosized tin(IV) oxide was carried out with the use of two different precursors: tin(II) oxalate obtained using tin chloride(II) and oxalic acid; tin(II) oxalate obtained using tin chloride(II); and ammonium oxalate. The synthesized tin(IV) oxide samples were studied by electron microscopy, X-ray diffraction and optical spectra. The lattice parameters of tin(IV) oxide samples were defined, the bandgap of samples were calculated.

  1. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    PubMed Central

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  2. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    NASA Astrophysics Data System (ADS)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  3. Control of the electrical resistivity of Ni-Cr wires using low pressure chemical vapor deposition of tin

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Hyun; Bak, Jeong Geun; Lee, Kangtaek; Kim, Chang-Koo

    2018-01-01

    Control of the electrical resistivity of Ni-Cr wires is demonstrated using low pressure chemical vapor deposition (LPCVD) of tin on the surface of the wire, after which the effects of the deposition temperature on the structural, morphological, and compositional characteristics of the tin-deposited Ni-Cr wires are investigated. As the deposition temperature is increased, the resistivity of the Ni-Cr wires increases in the temperature range 300-400 °C; then remains nearly constant as the temperature increased to 700 °C. The increase in the resistivity of the Ni-Cr wires is attributed to formation of Ni3Sn2 particulates on the surface of the wire. Compositional analysis shows that the pattern of change in the tin content with the deposition temperature is similar to that of resistivity with temperature, implying that the atomic content of tin on Ni-Cr directly affects the electrical resistivity.

  4. Controllable growth of aluminum nanorods using physical vapor deposition

    PubMed Central

    2014-01-01

    This letter proposes and experimentally demonstrates that oxygen, through action as a surfactant, enables the growth of aluminum nanorods using physical vapor deposition. Based on the mechanism through which oxygen acts, the authors show that the diameter of aluminum nanorods can be controlled from 50 to 500 nm by varying the amount of oxygen present, through modulating the vacuum level, and by varying the substrate temperature. When grown under medium vacuum, the nanorods are in the form of an aluminum metal - aluminum oxide core-shell. The thickness of the oxide shell is ~2 nm as grown and is stable when maintained in ambient for 30 days or annealed in air at 475 K for 1 day. As annealing temperature is increased, the nanorod morphology remains stable while the ratio of oxide shell to metallic core increases, resulting in a fully aluminum oxide nanorod at 1,475 K. PMID:25170334

  5. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  6. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material.

    PubMed

    Adhikari, Prashanta Dhoj; Jeon, Seunghan; Cha, Myoung-Jun; Jung, Dae Sung; Kim, Yooseok; Park, Chong-Yun

    2014-02-01

    We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT-G). Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT-G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT-G structure and p-n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT-G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  7. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  8. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ringleb, F.; Eylers, K.; Teubner, Th.

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Basedmore » on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.« less

  9. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    NASA Astrophysics Data System (ADS)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  10. Chemical Vapor Deposition at High Pressure in a Microgravity Environment

    NASA Technical Reports Server (NTRS)

    McCall, Sonya; Bachmann, Klaus; LeSure, Stacie; Sukidi, Nkadi; Wang, Fuchao

    1999-01-01

    In this paper we present an evaluation of critical requirements of organometallic chemical vapor deposition (OMCVD) at elevated pressure for a channel flow reactor in a microgravity environment. The objective of using high pressure is to maintain single-phase surface composition for materials that have high thermal decomposition pressure at their optimum growth temperature. Access to microgravity is needed to maintain conditions of laminar flow, which is essential for process analysis. Based on ground based observations we present an optimized reactor design for OMCVD at high pressure and reduced gravity. Also, we discuss non-intrusive real-time optical monitoring of flow dynamics coupled to homogeneous gas phase reactions, transport and surface processes. While suborbital flights may suffice for studies of initial stages of heteroepitaxy experiments in space are essential for a complete evaluation of steady-state growth.

  11. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  12. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  13. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  14. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  15. Material for "Substrate temperature controls molecular orientation in two-component vapor- deposited glasses." Soft Matter, 2016, 12, 3265.

    DOE Data Explorer

    Jiang, Jing [Nanjing University; Walters, Diane M [University of Wisconsin-Madison; Zhou, Dongshan [Nanjing University; Ediger, Mark D [University of Wisconsin-Madison

    2016-08-18

    Data set for work presented in Jiang, J.; Walters, D. M.; Zhou, D.; Ediger, M. D. “Substrate Temperature Controls Molecular Orientation in Two -Component Vapor-deposited Glasses.” Soft Matt. 2016, 12, 3265. Includes all data presented in the manuscript as well as example raw data and analysis.

  16. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    DTIC Science & Technology

    2015-04-01

    studies on flow and thermal fields in MOCVD reactor. Chinese Science Bulletin. 2010;55:560–566. 36. Hampdensmith MJ, Kodas TT. Chemical vapor...Chemistry. 1995;19727–750. 47. Xu CY, Hampdensmith MJ, Kodas TT. Aerosol-assisted chemical-vapor- deposition (AACVD) of binary alloy (AGXPD1-X, CUXPD1-X

  17. Investigation of vapor-deposited amorphous ice and irradiated ice by molecular dynamics simulation.

    PubMed

    Guillot, Bertrand; Guissani, Yves

    2004-03-01

    With the purpose of clarifying a number of points raised in the experimental literature, we investigate by molecular dynamics simulation the thermodynamics, the structure and the vibrational properties of vapor-deposited amorphous ice (ASW) as well as the phase transformations experienced by crystalline and vitreous ice under ion bombardment. Concerning ASW, we have shown that by changing the conditions of the deposition process, it is possible to form either a nonmicroporous amorphous deposit whose density (approximately 1.0 g/cm3) is essentially invariant with the temperature of deposition, or a microporous sample whose density varies drastically upon temperature annealing. We find that ASW is energetically different from glassy water except at the glass transition temperature and above. Moreover, the molecular dynamics simulation shows no evidence for the formation of a high-density phase when depositing water molecules at very low temperature. In order to model the processing of interstellar ices by cosmic ray protons and heavy ions coming from the magnetospheric radiation environment around the giant planets, we bombarded samples of vitreous ice and cubic ice with 35 eV water molecules. After irradiation the recovered samples were found to be densified, the lower the temperature, the higher the density of the recovered sample. The analysis of the structure and vibrational properties of this new high-density phase of amorphous ice shows a close relationship with those of high-density amorphous ice obtained by pressure-induced amorphization. Copyright 2004 American Institute of Physics

  18. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  19. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  20. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  1. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    NASA Astrophysics Data System (ADS)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  2. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    PubMed

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  3. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  4. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  5. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  6. Simulation of chemical-vapor-deposited silicon carbide for a cold wall vertical reactor

    NASA Astrophysics Data System (ADS)

    Lee, Y. L.; Sanchez, J. M.

    1997-07-01

    The growth rate of silicon carbide obtained by low-pressure chemical vapor deposition from tetramethylsilane is numerically simulated for a cold wall vertical reactor. The transport equations for momentum, heat, and mass transfer are simultaneously solved by employing the finite volume method. A model for reaction rate is also proposed in order to predict the measured growth rates [A. Figueras, S. Garelik, J. Santiso, R. Rodroguez-Clemente, B. Armas, C. Combescure, R. Berjoan, J.M. Saurel and R. Caplain, Mater. Sci. Eng. B 11 (1992) 83]. Finally, the effects of thermal diffusion on the growth rate are investigated.

  7. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    NASA Astrophysics Data System (ADS)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  8. Gas-to-particle conversion in the particle precipitation-aided chemical vapor deposition process II. Synthesis of the perovskite oxide yttrium chromite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dieten, V.E.J. van; Dekker, J.P.; Hurkmans, E.J.

    1993-11-01

    In the particle precipitation-aided chemical vapor deposition process, an aerosol is formed in the gas phase at elevated temperatures. The particles are deposited on a cooled substrate. Coherent layers with a controlled porosity can be obtained by a simultaneous heterogeneous reaction, which interconnects the deposited particles. The synthesis of submicrometer powder of the perovskite oxide yttrium chromite (YCrO[sub 3]) by gas to particle conversion, which is the first step of the PP-CVD process, has been investigated, and preliminary results are shown. The powders have been synthesized using yttrium trichloride vapor (YCl[sub 3]), chromium trichloride vapor (CrCl[sub 3]), and steam andmore » oxygen as reactants. The influence of the input molar ratio of the elements on the composition and characteristics of the powders has been investigated. Phase composition has been determined by X-ray diffraction (XRD). The powders have been characterized by transmission electron microscopy (TEM) and sedimentation field flow fractionation (SF[sup 3]). At a reaction temperature of 1283 K the powders consist of the chromium sesquioxide (Cr[sub 2]O[sub 3]), or a mixture of Cr[sub 2]O[sub 3] and YCrO[sub 3]. At stoichiometeric input amounts of metal chlorides and steam the formation of YCrO[sub 3] seems to be favored. 19 refs., 6 figs., 3 tabs.« less

  9. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  10. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  11. Preparation of Cobalt-Based Electrodes by Physical Vapor Deposition on Various Nonconductive Substrates for Electrocatalytic Water Oxidation.

    PubMed

    Wu, Yizhen; Wang, Le; Chen, Mingxing; Jin, Zhaoxia; Zhang, Wei; Cao, Rui

    2017-12-08

    Artificial photosynthesis requires efficient anodic electrode materials for water oxidation. Cobalt metal thin films are prepared through facile physical vapor deposition (PVD) on various nonconductive substrates, including regular and quartz glass, mica sheet, polyimide, and polyethylene terephthalate (PET). Subsequent surface electrochemical modification by cyclic voltammetry (CV) renders these films active for electrocatalytic water oxidation, reaching a current density of 10 mA cm -2 at a low overpotential of 330 mV in 1.0 m KOH solution. These electrodes are robust with unchanged activity throughout prolonged chronopotentiometry measurements. This work is thus significant to show that the combination of PVD and CV is very valuable and convenient to fabricate active electrodes on various nonconductive substrates, particularly with flexible polyimide and PET substrates. This efficient, safe and convenient method can potentially be expanded to many other electrochemical applications. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Spherical silicon-shell photonic band gap structures fabricated by laser-assisted chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, H.; Yang, Z. Y.; Lu, Y. F.

    2007-02-01

    Laser-assisted chemical vapor deposition was applied in fabricating three-dimensional (3D) spherical-shell photonic band gap (PBG) structures by depositing silicon shells covering silica particles, which had been self-assembled into 3D colloidal crystals. The colloidal crystals of self-assembled silica particles were formed on silicon substrates using the isothermal heating evaporation approach. A continuous wave Nd:YAG laser (1064nm wavelength) was used to deposit silicon shells by thermally decomposing disilane gas. Periodic silicon-shell/silica-particle PBG structures were obtained. By removing the silica particles enclosed in the silicon shells using hydrofluoric acid, hollow spherical silicon-shell arrays were produced. This technique is capable of fabricating structures with complete photonic band gaps, which is predicted by simulations with the plane wave method. The techniques developed in this study have the potential to flexibly engineer the positions of the PBGs by varying both the silica particle size and the silicon-shell thickness. Ellipsometry was used to investigate the specific photonic band gaps for both structures.

  13. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  14. Chemical vapor deposition of yttria-stabilized zirconia as a thermal barrier coating for gas turbine engines

    NASA Astrophysics Data System (ADS)

    Varanasi, Venu Gopal

    The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004

  15. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    NASA Astrophysics Data System (ADS)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  16. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  17. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    PubMed

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  18. Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.

    PubMed

    Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng

    2016-11-29

    Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.

  19. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  20. Hybrid gas-to-particle conversion and chemical vapor deposition for production of high-surface area films

    NASA Astrophysics Data System (ADS)

    Nguyen, Quynh Tan

    A hybrid process, based upon gas-to-particle conversion and chemical vapor deposition, is presented as an alternative technique for producing porous films with the main advantages of solvent-free, low-substrate temperature operation. Starting from solid precursors, nanoparticles were produced in the vapor phase. Downstream of this reaction zone, these nanoparticles were collected via thermophoresis onto a cooled substrate forming a porous film. Initially, alumina (Al2O3) films were produced. Later, multi-component processing was explored by incorporating platinum (Pt) nanoparticles into the Al2O3 matrix leading to the production of Pt/Al 2O3 films by two routes: simultaneous precursor injection processing or by a layer-by-layer approach. In single component processing, the formation of nanoparticle aggregates was evident within the amorphous Al2O3 films. Aggregates, composed of these particles, are likely held together by relatively weak van der Waals forces leading to the observed poor physical cohesion. In multi-component processing, reasonable control of composition and distribution of species is possible with Pt nanoparticles appearing to be co-agglomerated with alumina. Deposited crystalline Pt nanoparticles may encourage the crystallization of the amorphous Al2O3. Finally, from chemisorption results, the produced sample appears to have potentially greater catalytic activity than a commercially available standard. A model is in development to study nanoparticle interactions with a gas and deposition occurring in stagnation flow onto the cooled horizontal substrate within the tubular reactor. Using velocity and temperature fields generated from numerical solutions to the Navier-Stokes and energy equations, particle trajectories were calculated from the summation of drag, gravitational, thermophoretic, and Brownian forces. In rectangular coordinates, cooling stage width to reactor diameter ratio, deposition stage temperature, and initial velocity were the

  1. Fabrication and characterization of a planar gradient-index, plasma-enhanced chemical vapor deposition lens.

    PubMed

    Beltrami, D R; Love, J D; Durandet, A; Samo, A; Cogswell, C J

    1997-10-01

    A thin, one-dimensional, gradient-index slab lens with a parabolic profile was designed and fabricated in fluorine-doped silica by use of plasma-enhanced chemical vapor deposition in a Helicon plasma reactor. The refractive-index profile of the fabricated lens was determined by the application of an inversion technique to the values of modal effective index measured with a prism coupler. The periodic refocusing property of the lens and the independence of the wavelength were measured with the fluorescence of a specially doped, thin polymer layer spin-coated onto the surface of the lens.

  2. Control of microstructure in soldered, brazed, welded, plated, cast or vapor deposited manufactured components

    DOEpatents

    Ripley, Edward B.; Hallman, Russell L.

    2015-11-10

    Disclosed are methods and systems for controlling of the microstructures of a soldered, brazed, welded, plated, cast, or vapor deposited manufactured component. The systems typically use relatively weak magnetic fields of either constant or varying flux to affect material properties within a manufactured component, typically without modifying the alloy, or changing the chemical composition of materials or altering the time, temperature, or transformation parameters of a manufacturing process. Such systems and processes may be used with components consisting of only materials that are conventionally characterized as be uninfluenced by magnetic forces.

  3. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  4. Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper

    PubMed Central

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2015-01-01

    Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221

  5. The study of lead vapor ionization in discharge with a hot cathode and efficiency of its deposition on the substrates applied for plasma separation method

    NASA Astrophysics Data System (ADS)

    Antonov, N. N.; Samokhin, A. A.; Zhabin, S. N.; Gavrikov, A. V.; Smirnov, V. P.

    2016-11-01

    Spent nuclear fuel plasma separation method approbation implies the use of model substances. Thus it is necessary to solve the problem of material conversion into a cold plasma flow, as well as the problem of deposition on collectors. For this purpose, we carried out a kinetic and hydrodynamic simulation of the discharge with hot cathode in the lead vapor (lead vapor was injected into the interelectrode gap). Dependencies of the ionization efficiency, electrostatic potential distribution, density distribution of ions and electrons in the discharge gap on the discharge current density and the model substance vapor concentration were obtained. The simulation results show that at discharge current density of about 3.5 A/cm2 and the lead vapor concentration of 2 × 1012 cm-3, the ionization efficiency is close to 60%. Experimental research of the discharge with a hot cathode in the lead vapor was carried out. We also carried out the research of the Pb condensation coefficients on various substrates. For experimental data analysis the numerical model based on Monte Carlo method was used. The research results show that deposition coefficients at medium temperatures of substrates near 70 °C do not drop lower than 75%.

  6. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  7. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1984-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  8. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1986-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  9. Modeling chemical vapor deposition of silicon dioxide in microreactors at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2015-01-01

    We developed a multiphysics mathematical model for simulation of silicon dioxide Chemical Vapor Deposition (CVD) from tetraethyl orthosilicate (TEOS) and oxygen mixture in a microreactor at atmospheric pressure. Microfluidics is a promising technology with numerous applications in chemical synthesis due to its high heat and mass transfer efficiency and well-controlled flow parameters. Experimental studies of CVD microreactor technology are slow and expensive. Analytical solution of the governing equations is impossible due to the complexity of intertwined non-linear physical and chemical processes. Computer simulation is the most effective tool for design and optimization of microreactors. Our computational fluid dynamics model employs mass, momentum and energy balance equations for a laminar transient flow of a chemically reacting gas mixture at low Reynolds number. Simulation results show the influence of microreactor configuration and process parameters on SiO2 deposition rate and uniformity. We simulated three microreactors with the central channel diameter of 5, 10, 20 micrometers, varying gas flow rate in the range of 5-100 microliters per hour and temperature in the range of 300-800 °C. For each microchannel diameter we found an optimal set of process parameters providing the best quality of deposited material. The model will be used for optimization of the microreactor configuration and technological parameters to facilitate the experimental stage of this research.

  10. Chemical vapor deposition of high T sub c superconductors

    NASA Technical Reports Server (NTRS)

    Webb, G. W.; Engelhardt, J. J.

    1978-01-01

    The results are reported of an investigation into the synthesis and properties of high temperature superconducting materials. A chemical vapor deposition apparatus was designed and built which is suitable for the preparation of multicomponent metal films This apparatus was used to prepare a series of high T sub c A-15 structure superconducting films in the binary system Nb-Ge. The effect on T sub c of a variety of substrate materials was investigated. An extensive series of ternary alloys were also prepared. Conditions allowing the brittle high T sub c (approximately 18 K) A-15 structure superconductor Nb3A1 to be prepared in a low T sub c but ductile form were found. Some of the ways that the ductile (bcc) form can be cold worked or machined are described. Measurements of rate of transformation of cold worked bcc material to the high T sub c A-15 structure with low temperature annealing are given. Preliminary measurements indicate that this material has attractive high field critical current densities.

  11. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica flims, and hence such films would be desirable for this application.

  12. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica films, and hence such films would be desirable for this application.

  13. Chemical vapor deposition: Stable carbons from low-rank coals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, R.K.; Kulas, R.W.; Olson, E.S.

    1996-12-31

    A chemical vapor deposition (CVD) technique has been used to increase the oxidative stability of activated carbons. Activated carbons prepared from Gascoyne lignite (North Dakota) by thermal or potassium hydroxide activations were subjected to BCI, in helium at 727{degrees}C with or without benzene for a limited period of time, followed by annealing in helium at 900{degrees}C for three days. Untreated and acid-washed coal samples were used to assess the magnitude of the effect of mineral matter in the coal on the boron coating. The oxidative stability of the boron-modified carbons was determined from the decomposition curves obtained from the thermogravimetricmore » analysis. Modification of the as-received, KOH-treated carbon yielded oxidatively stable carbons up to an initial temperature of 520{degrees}C, compared to about 350{degrees}C for the starting material. Similar results were obtained for the carbonized Gascoyne lignite. Sulfurous acid washing of the Gascoyne significantly enhanced the thermal stability (600{degrees}C) of the boron-modified carbon.« less

  14. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.« less

  15. A combined scanning tunneling microscope-atomic layer deposition tool.

    PubMed

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  16. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  17. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  18. Bifunctional catalyst of graphite-encapsulated iron compound nanoparticle for magnetic carbon nanotubes growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Saraswati, Teguh Endah; Prasiwi, Oktaviana Dewi Indah; Masykur, Abu; Anwar, Miftahul

    2017-01-01

    The carbon nanotube has widely taken great attractive in carbon nanomaterial research and application. One of its preparation methods is catalytic chemical vapor deposition (CCVD) using catalyst i.e. iron, nickel, etc. Generally, except the catalyst, carbon source gasses as the precursor are still required. Here, we report the use of the bifunctional material of Fe3O4/C which has an incorporated core/shell structures of carbon-encapsulated iron compound nanoparticles. The bifunctional catalyst was prepared by submerged arc discharge that simply performed using carbon and carbon/iron oxide electrodes in ethanol 50%. The prepared material was then used as a catalyst in thermal chemical vapor deposition at 800°C flown with ethanol vapor as the primer carbon source in a low-pressure condition. This catalyst might play a dual role as a catalyst and secondary carbon source for growing carbon nanotubes at the time. The synthesized products were characterized by transmission electron microscopy (TEM) and X-ray diffraction (XRD) analysis. The successful formation of carbon nanotubes was assigned by the shifted X-ray diffracted peak of carbon C(002), the iron oxides of Fe3O4 and γ-Fe2O3, and the other peaks which were highly considered to the other carbon allotropes with sp2 hybridization structures. The other assignment was studied by electron microscopy which successfully observed the presence of single-wall carbon nanotubes. In addition, the as-prepared carbon nanotubes have a magnetic property which was induced by the remaining of metal catalyst inside the CNT.

  19. Studies related to the surfaces of the moon and planets. [a discussion of vapor deposition and glasses of lunar composition

    NASA Technical Reports Server (NTRS)

    Hapke, B.

    1974-01-01

    A variety of glasses of lunar composition were prepared with different amounts of Fe and Ti under both reducing and oxidizing conditions, and also by sputter-deposition and thermal evaporation and condensation. These materials were analyzed by wet chemical, electron microprobe, ESR, Mossbauer and magnetic methods. The effects of darkening processes on surface soils of airless bodies are discussed along with the effects of vapor phase deposition processes on the optical, chemical, and magnetic properties of the lunar regolith.

  20. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  1. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  2. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    PubMed

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    NASA Astrophysics Data System (ADS)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  4. Mechanical properties of ultrahigh molecular weight PHEMA hydrogels synthesized using initiated chemical vapor deposition.

    PubMed

    Bose, Ranjita K; Lau, Kenneth K S

    2010-08-09

    In this work, poly(2-hydroxyethyl methacrylate) (PHEMA), a widely used hydrogel, is synthesized using initiated chemical vapor deposition (iCVD), a one-step surface polymerization that does not use any solvents. iCVD synthesis is capable of producing linear stoichiometric polymers that are free from entrained unreacted monomer or solvent and, thus, do not require additional purification steps. The resulting films, therefore, are found to be noncytotoxic and also have low nonspecific protein adsorption. The kinetics of iCVD polymerization are tuned so as to achieve rapid deposition rates ( approximately 1.5 microm/min), which in turn yield ultrahigh molecular weight polymer films that are mechanically robust with good water transport and swellability. The films have an extremely high degree of physical chain entanglement giving rise to high tensile modulus and storage modulus without the need for chemical cross-linking that compromises hydrophilicity.

  5. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  6. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  7. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  8. Vapor generator wand

    NASA Technical Reports Server (NTRS)

    Robelen, David B. (Inventor)

    1996-01-01

    A device for producing a stream of vapor for wind tunnel airflow visualization is described. An electrically conductive heating tube is used to resistively heat a vapor producing liquid. The heating and delivery systems are integrated to allow the device to present a small cross section to the air flow, thereby reducing disturbances due to the device. The simplicity of the design allows for inexpensive implementation and construction. The design is readily scaled for use in various wind tunnel applications. The device may also find uses in manufacturing, producing a vapor for deposition on a substrate.

  9. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  10. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  11. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  12. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    PubMed Central

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  13. Communication: Disorder-suppressed vibrational relaxation in vapor-deposited high-density amorphous ice

    NASA Astrophysics Data System (ADS)

    Shalit, Andrey; Perakis, Fivos; Hamm, Peter

    2014-04-01

    We apply two-dimensional infrared spectroscopy to differentiate between the two polyamorphous forms of glassy water, low-density (LDA) and high-density (HDA) amorphous ices, that were obtained by slow vapor deposition at 80 and 11 K, respectively. Both the vibrational lifetime and the bandwidth of the 1-2 transition of the isolated OD stretch vibration of HDO in H2O exhibit characteristic differences when comparing hexagonal (Ih), LDA, and HDA ices, which we attribute to the different local structures - in particular the presence of interstitial waters in HDA ice - that cause different delocalization lengths of intermolecular phonon degrees of freedom. Moreover, temperature dependent measurements show that the vibrational lifetime closely follows the structural transition between HDA and LDA phases.

  14. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  15. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1990-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  16. A structural analysis of small vapor-deposited 'multiply twinned' gold particles

    NASA Technical Reports Server (NTRS)

    Yang, C. Y.; Heinemann, K.; Yacaman, M. J.; Poppa, H.

    1979-01-01

    High resolution selected zone dark field, Bragg reflection imaging and weak beam dark field techniques of transmission electron microscopy were used to determine the structure of small gold particles vapor deposited on NaCl substrates. Attention was focused on the analysis of those particles in the 50-150 A range that have pentagonal or hexagonal bright field profiles. These particles have been previously described as multiply twinned crystallites composed of face-centered cubic tetrahedra. The experimental evidence of the present studies can be interpreted on the assumption that the particle structure is a regular icosahedron or decahedron for the hexagonal or the pentagonal particles respectively. The icosahedron is a multiply twinned rhombohedral crystal and the decahedron is a multiply twinned body-centered orthorhombic crystal, each of which constitutes a slight distortion from the face-centered cubic structure.

  17. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    PubMed

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  18. A study on the radiation resistance of CdWO4 thin-film scintillators deposited by using an electron-beam physical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Park, Seyong; Yoon, Young Soo

    2016-09-01

    In this paper, we report the first successful fabrication of CdWO4 thin film scintillators deposited on quartz glass substrates by using an electron-beam physical vapor deposition method. The films were dense, uniform, and crack-free. CdWO4 thin-film samples of varying thicknesses were investigated by using structural and optical characterization techniques. An optimized thickness for the CdWO4 thin-film scintillators was discovered. The scintillation and the optical properties were found to depend strongly on the annealing process. The annealing process resulted in thin films with a distinct crystal structure and with improved transparency and scintillation properties. For potential applications in gamma-ray energy storage systems, photoluminescence measurements were performed using gamma rays at a dose rate of 10 kGy h-1.

  19. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  20. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  1. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  2. Tunable molecular orientation and elevated thermal stability of vapor-deposited organic semiconductors

    PubMed Central

    Walters, Diane M.; Lyubimov, Ivan; de Pablo, Juan J.; Ediger, M. D.

    2015-01-01

    Physical vapor deposition is commonly used to prepare organic glasses that serve as the active layers in light-emitting diodes, photovoltaics, and other devices. Recent work has shown that orienting the molecules in such organic semiconductors can significantly enhance device performance. We apply a high-throughput characterization scheme to investigate the effect of the substrate temperature (Tsubstrate) on glasses of three organic molecules used as semiconductors. The optical and material properties are evaluated with spectroscopic ellipsometry. We find that molecular orientation in these glasses is continuously tunable and controlled by Tsubstrate/Tg, where Tg is the glass transition temperature. All three molecules can produce highly anisotropic glasses; the dependence of molecular orientation upon substrate temperature is remarkably similar and nearly independent of molecular length. All three compounds form “stable glasses” with high density and thermal stability, and have properties similar to stable glasses prepared from model glass formers. Simulations reproduce the experimental trends and explain molecular orientation in the deposited glasses in terms of the surface properties of the equilibrium liquid. By showing that organic semiconductors form stable glasses, these results provide an avenue for systematic performance optimization of active layers in organic electronics. PMID:25831545

  3. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    NASA Astrophysics Data System (ADS)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  4. Reactive multilayers fabricated by vapor deposition. A critical review

    DOE PAGES

    Adams, D. P.

    2014-10-02

    The reactive multilayer thin films are a class of energetic materials that continue to attract attention for use in joining applications and as igniters. Generally composed of two reactants, these heterogeneous solids can be stimulated by an external source to promptly release stored chemical energy in a sudden emission of light and heat. In our critical review article, results from recent investigations of these materials are discussed. Discussion begins with a brief description of the vapor deposition techniques that provide accurate control of layer thickness and film composition. More than 50 reactive film compositions have been reported to date, withmore » most multilayers fabricated by magnetron sputter deposition or electron-beam evaporation. In later sections, we review how multilayer ignition threshold, reaction rate, and total heat are tailored via thin film design. For example, planar multilayers with nanometer-scale periodicity exhibit rapid, self-sustained reactions with wavefront velocities up to 100 m/s. Numeric and analytical models have elucidated many of the fundamental processes that underlie propagating exothermic reactions while demonstrating how reaction rates vary with multilayer design. Recent, time-resolved diffraction and imaging studies have further revealed the phase transformations and the wavefront dynamics associated with propagating chemical reactions. Many reactive multilayers (e.g., Co/Al) form product phases that are consistent with published equilibrium phase diagrams, yet a few systems, such as Pt/Al, develop metastable products. The final section highlights current and emerging applications of reactive multilayers. Examples include reactive Ni(V)/Al and Pd/Al multilayers which have been developed for localized soldering of heat-sensitive components.« less

  5. Evidence for alkali metal formation at a cathode interface of organic electroluminescent devices by thermal decomposition of alkali metal carboxylates during their vapor deposition

    NASA Astrophysics Data System (ADS)

    Ganzorig, Chimed; Fujihira, Masamichi

    2004-11-01

    This study examines the possibility of thermal decomposition of Na salts of acetate, benzoate, and fluoride during vacuum vapor deposition using a quartz crystal microbalance to measure negative frequency shift (Δf) caused by increasing mass deposited from the same amount of source materials. Cs acetate is also examined. We compare the negative frequency shift-source current (Δf -I) curves of the Na salts with those of organic materials such as tris(8-hydroxyquinoline)aluminum and N ,N'-diphenyl-N,N'-bis(3-methylphenyl)-1,1'-biphenyl-4,4'-diamine. CH3COONa and C6H5COONa exhibit much lower Δf than the organic materials. CH3COOCs gives much larger Δf than CH3COONa due to the higher atomic weight of Cs. These exhibit clear evidence for alkali metal formation by thermal decomposition during vapor deposition of alkali metal carboxylates.

  6. Synthesis of New Ba Complex as Metalorganic Source for Metalorganic Chemical Vapor Deposition and Optimization of Its Molecule Structure

    NASA Astrophysics Data System (ADS)

    Zama, Hideaki; Morishita, Tadataka

    2000-10-01

    New Ba(DPM)2-amine (DPM=dipivaloylmethane) adduct compounds were attempted to be synthesized from Ba(DPM)2 and amines. Complexes obtained were evaluated based on decreases of their weight with increasing temperature by thermogravimetry. The simple vaporizing phenomenon, showing a one-step weight-reduction curve, was observed only in the case of using tetraethylenepentamine and pentaethylenehexamine (pentaen) as adduct molecules, which have a simple chain structure and five to six primary and secondary amine radicals. From the viewpoint of applicability to film growth, they have the best structure based on a survey in this study using sixteen amine molecules with distinctive structures. When we used Ba(DPM)2-pentaen as a metalorganic source for a metalorganic chemical vapor deposition method at a vaporizing temperature of 140°C, the Ba supply rate remained stable within a standard deviation of 1.6% for over 300 h.

  7. Influence of Alumina Reaction Tube Impurities on the Oxidation of Chemically-Vapor-Deposited Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth

    1995-01-01

    Pure coupons of chemically vapor deposited (CVD) SiC were oxidized for 100 h in dry flowing oxygen at 1300 C. The oxidation kinetics were monitored using thermogravimetry (TGA). The experiments were first performed using high-purity alumina reaction tubes. The experiments were then repeated using fused quartz reaction tubes. Differences in oxidation kinetics, scale composition, and scale morphology were observed. These differences were attributed to impurities in the alumina tubes. Investigators interested in high-temperature oxidation of silica formers should be aware that high-purity alumina can have significant effects on experiment results.

  8. Porous tungsten prepared by atmospheric-pressure chemical vapor deposition with WF6 and its characterization

    NASA Astrophysics Data System (ADS)

    Li, Ying; Yu, Xiaodong; Tan, Chengwen; Wang, Fuchi; Ma, Honglei; Yue, Jintao

    2017-05-01

    Porous tungsten (W) is used in aeronautic and aerospace engineering, power electronics field and metallurgical industry. In this study, porous W with 98wt% W was prepared on a carbon foam substrate by atmospheric-pressure chemical vapor deposition (CVD) with tungsten fluoride (WF6) as the precursor. The porous W with 78.1346% porosity displayed a pure α-W phase and the uniform surface. The mode pore diameter of porous W is 208.0 µm. In a compression test, the fracture strength of porous W is 20.3 MPa.

  9. Vapors and Droplets Mixture Deposition of Metallic Coatings by Very Low Pressure Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Vautherin, B.; Planche, M.-P.; Bolot, R.; Quet, A.; Bianchi, L.; Montavon, G.

    2014-04-01

    In recent years, the very low pressure plasma-spraying (VLPPS) process has been intensely developed and implemented to manufacture thin, dense and finely structured ceramic coatings for various applications, such as Y2O3 for diffusion barriers, among other examples. This paper aims at presenting developments carried out on metallic coatings. Aluminum was chosen as a demonstrative material due to its "moderate" vaporization enthalpy (i.e., 38.23 KJ cm-3) compared to the one of copper (i.e., 55.33 KJ cm-3), cobalt (i.e., 75.03 KJ cm-3), or even tantalum (i.e., 87.18 KJ cm-3). The objective of this work is primarily to better understand the behavior of a solid precursor injected into the plasma jet leading to the formation of vapors and to better control the factors affecting the coating structure. Nearly dense aluminum coatings were successfully deposited by VLPPS at 100 Pa with an intermediate power plasma torch (i.e., Sulzer Metco F4 type gun with maximum power of 45 kW). Optical emission spectroscopy (OES) was implemented to study and analyze the vapor behavior into the plasma jet. Simplified CFD modeling allowed better understanding of some of the thermo-physical mechanisms. The effect of powder-size distribution, substrate temperature and spray distance were studied. The phase composition and microstructural features of the coatings were characterized by XRD and SEM. Moreover, Vickers microhardness measurements were implemented.

  10. Preparation and characterization of boron nitride coatings on carbon fibers from borazine by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Jun-Sheng; Zhang, Chang-Rui; Li, Bin

    2011-06-01

    Boron nitride (BN) coatings were deposited on carbon fibers by chemical vapor deposition (CVD) using borazine as single source precursor. The deposited coatings were characterized by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and Raman spectroscopy. The effect of temperatures on growth kinetics, morphology, composition and structure of the coatings was investigated. In the low temperature range of 900 °C-1000 °C, the growth rate increased with increasing temperature complying with Arrhenius law, and an apparent active energy of 72 kJ/mol was calculated. The coating surface was smooth and compact, and the coatings uniformly deposited on individual fibers of carbon fiber bundles. The growth was controlled by surface reaction. At 1000 °C, the deposition rate reached a maximum (2.5 μm/h). At the same time, the limiting step of the growth translated to be mass-transportation. Above 1100 °C, the growth rate decreased drastically due to the occurrence of gas-phase nucleation. Moreover, the coating surface became loose and rough. Composition and structure examinations revealed that stoichiometric BN coatings with turbostratic structure were obtained below 1000 °C, while hexagonal BN coatings were deposited above 1100 °C. A penetration of carbon element from the fibers to the coatings was observed.

  11. Dielectric and specific heat relaxations in vapor deposited glycerol

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kasina, A., E-mail: angeline.kasina@fys.kuleuven.be, E-mail: wubbenhorst@fys.kuleuven.be; Putzeys, T.; Wübbenhorst, M., E-mail: angeline.kasina@fys.kuleuven.be, E-mail: wubbenhorst@fys.kuleuven.be

    2015-12-28

    Recently [S. Capponi, S. Napolitano, and M. Wübbenhorst, Nat. Commun. 3, 1233 (2012)], vapor deposited glasses of glycerol have been found to recover their super-cooled liquid state via a metastable, ordered liquid (MROL) state characterized by a tremendously enhanced dielectric strength along with a slow-down of the relaxation rate of the structural relaxation. To study the calorimetric signature of this phenomenon, we have implemented a chip-based, differential AC calorimeter in an organic molecular beam deposition setup, which allows the simultaneous measurement of dielectric relaxations via interdigitated comb electrodes and specific heat relaxation spectra during deposition and as function of themore » temperature. Heating of the as-deposited glass just above the bulk T{sub g} and subsequent cooling/reheating revealed a step-wise increase in c{sub p} by in total 9%, indicating unambiguously that glycerol, through slow vapour deposition, forms a thermodynamically stable glass, which has a specific heat as low as that of crystalline glycerol. Moreover, these glasses were found to show excellent kinetic stability as well as evidenced by both a high onset-temperature and quasi-isothermal recovery measurements at −75 °C. The second goal of the study was to elucidate the impact of the MROL state on the specific heat and its relaxation to the super-cooled state. Conversion of “MROL glycerol” to its “normal” (ordinary liquid, OL) state revealed a second, small (∼2%) increase of the glassy c{sub p}, a little gain (<10%) in the relaxed specific heat, and no signs of deviations of τ{sub cal} from that of normal “bulk” glycerol. These findings altogether suggest that the MROL state in glycerol comprises largely bulk-type glycerol that coexist with a minor volume fraction (<10%) of PVD-induced structural anomalies with a crystal-like calorimetric signature. Based on the new calorimetric findings, we have proposed a new physical picture that assumes

  12. Minimizing artifact formation in magnetorheological finishing of chemical vapor deposition ZnS flats.

    PubMed

    Kozhinova, Irina A; Romanofsky, Henry J; Maltsev, Alexander; Jacobs, Stephen D; Kordonski, William I; Gorodkin, Sergei R

    2005-08-01

    The polishing performance of magnetorheological (MR) fluids prepared with a variety of magnetic and nonmagnetic ingredients was studied on four types of initial surface for chemical vapor deposition (CVD) ZnS flats from domestic and foreign sources. The results showed that it was possible to greatly improve smoothing performance of magnetorheological finishing (MRF) by altering the fluid composition, with the best results obtained for nanoalumina abrasive used with soft carbonyl iron and altered MR fluid chemistry. Surface roughness did not exceed 20 nm peak to valley and 2 nm rms after removal of 2 microm of material. The formation of orange peel and the exposure of a pebblelike structure inherent in ZnS from the CVD process were suppressed.

  13. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties.

    PubMed

    Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin

    2017-12-21

    In this research, the Zn(C₅H₇O₂)₂·xH₂O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N₂/O₂, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  14. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    PubMed Central

    Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin

    2017-01-01

    In this research, the Zn(C5H7O2)2·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL. PMID:29267196

  15. Simulation of clustering and anisotropy due to Co step-edge segregation in vapor-deposited CoPt3

    NASA Astrophysics Data System (ADS)

    Maranville, B. B.; Schuerman, M.; Hellman, F.

    2006-03-01

    An atomistic mechanism is proposed for the creation of structural anisotropy and consequent large perpendicular magnetic anisotropy in vapor-deposited films of CoPt3 . Energetic considerations of bonding in Co-Pt suggest that Co segregates to step edges due to their low coordination, for all film orientations, while Pt segregates to the two low index surfaces. Coalescence of islands during growth cause these Co-rich step edges to become flat thin Co platelets in a Pt rich matrix, giving rise to the experimentally observed magnetic anisotropy. This proposed model is tested with kinetic Monte Carlo simulation of the vapor deposition growth. A tight-binding, second-moment approximation to the interatomic potential is used to calculate the probability of an atom hopping from one surface site to another, assuming an Arrhenius-like activation model of surface motion. Growth is simulated by allowing many hopping events per adatom. The simulated as-grown films show an asymmetry in Co-Co bonding between the in-plane and out-of-plane directions, in good agreement with experimental data. The growth temperature dependence found in the simulations is strong and similar to that seen in experiments, and an increase in Co edge segregation with increasing temperature is also observed.

  16. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  17. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch

    2015-09-28

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less

  18. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun

    2015-09-01

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.

  19. Chemical Vapor Deposition of High-Quality Large-Sized MoS2 Crystals on Silicon Dioxide Substrates.

    PubMed

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; Liu, Bo; Zhao, Xiaoxu; Liu, Yanpeng; Ren, Tianhua; Liu, Wei; Geng, Dechao; Jeong, Hu Young; Shin, Hyeon Suk; Zhou, Wu; Loh, Kian Ping

    2016-08-01

    Large-sized MoS 2 crystals can be grown on SiO 2 /Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. The electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V -1 s -1 , which is comparable to those of exfoliated flakes.

  20. Reducing flicker noise in chemical vapor deposition graphene field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arnold, Heather N.; Sangwan, Vinod K.; Schmucker, Scott W.; Cress, Cory D.; Luck, Kyle A.; Friedman, Adam L.; Robinson, Jeremy T.; Marks, Tobin J.; Hersam, Mark C.

    2016-02-01

    Single-layer graphene derived from chemical vapor deposition (CVD) holds promise for scalable radio frequency (RF) electronic applications. However, prevalent low-frequency flicker noise (1/f noise) in CVD graphene field-effect transistors is often up-converted to higher frequencies, thus limiting RF device performance. Here, we achieve an order of magnitude reduction in 1/f noise in field-effect transistors based on CVD graphene transferred onto silicon oxide substrates by utilizing a processing protocol that avoids aqueous chemistry after graphene transfer. Correspondingly, the normalized noise spectral density (10-7-10-8 μm2 Hz-1) and noise amplitude (4 × 10-8-10-7) in these devices are comparable to those of exfoliated and suspended graphene. We attribute the reduction in 1/f noise to a decrease in the contribution of fluctuations in the scattering cross-sections of carriers arising from dynamic redistribution of interfacial disorder.

  1. Comparison of precursor infiltration into polymer thin films via atomic layer deposition and sequential vapor infiltration using in-situ quartz crystal microgravimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    Previous research exploring inorganic materials nucleation behavior on polymers via atomic layer deposition indicates the formation of hybrid organic–inorganic materials that form within the subsurface of the polymer. This has inspired adaptations to the process, such as sequential vapor infiltration, which enhances the diffusion of organometallic precursors into the subsurface of the polymer to promote the formation of a hybrid organic–inorganic coating. This work highlights the fundamental difference in mass uptake behavior between atomic layer deposition and sequential vapor infiltration using in-situ methods. In particular, in-situ quartz crystal microgravimetry is used to compare the mass uptake behavior of trimethyl aluminummore » in poly(butylene terephthalate) and polyamide-6 polymer thin films. The importance of trimethyl aluminum diffusion into the polymer subsurface and the subsequent chemical reactions with polymer functional groups are discussed.« less

  2. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  3. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  4. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  5. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  6. Large-Scale Synthesis of Carbon Nanomaterials by Catalytic Chemical Vapor Deposition: A Review of the Effects of Synthesis Parameters and Magnetic Properties

    PubMed Central

    Qi, Xiaosi; Qin, Chuan; Zhong, Wei; Au, Chaktong; Ye, Xiaojuan; Du, Youwei

    2010-01-01

    The large-scale production of carbon nanomaterials by catalytic chemical vapor deposition is reviewed in context with their microwave absorbing ability. Factors that influence the growth as well as the magnetic properties of the carbon nanomaterials are discussed. PMID:28883324

  7. Growth behavior of carbon nanotubes on multilayered metal catalyst film in chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cui, H.; Eres, G.; Howe, J. Y.; Puretkzy, A.; Varela, M.; Geohegan, D. B.; Lowndes, D. H.

    2003-06-01

    The temperature and time dependences of carbon nanotube (CNT) growth by chemical vapor deposition are studied using a multilayered Al/Fe/Mo catalyst on silicon substrates. Within the 600-1100 °C temperature range of these studies, narrower temperature ranges were determined for the growth of distinct types of aligned multi-walled CNTs and single-walled CNTs by using high-resolution transmission electron microscopy and Raman spectroscopy. At 900 °C, in contrast to earlier work, double-walled CNTs are found more abundant than single-walled CNTs. Defects also are found to accumulate faster than the ordered graphitic structure if the growth of CNTs is extended to long durations.

  8. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    NASA Astrophysics Data System (ADS)

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices.

  9. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    PubMed

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  10. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  11. Chemical vapor deposition of high-quality large-sized MoS 2 crystals on silicon dioxide substrates

    DOE PAGES

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; ...

    2016-03-31

    Large-sized MoS 2 crystals can be grown on SiO 2/Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. Additionally, the electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V –1 s –1, which is comparable to those of exfoliated flakes.

  12. Tunable molecular orientation and elevated thermal stability of vapor-deposited organic semiconductors

    DOE PAGES

    Dalal, Shakeel S.; Walters, Diane M.; Lyubimov, Ivan; ...

    2015-03-23

    Physical vapor deposition is commonly used to prepare organic glasses that serve as the active layers in light-emitting diodes, photovoltaics, and other devices. Recent work has shown that orienting the molecules in such organic semiconductors can significantly enhance device performance. In this paper, we apply a high-throughput characterization scheme to investigate the effect of the substrate temperature (T substrate) on glasses of three organic molecules used as semiconductors. The optical and material properties are evaluated with spectroscopic ellipsometry. We find that molecular orientation in these glasses is continuously tunable and controlled by T substrate/T g, where T g is themore » glass transition temperature. All three molecules can produce highly anisotropic glasses; the dependence of molecular orientation upon substrate temperature is remarkably similar and nearly independent of molecular length. All three compounds form “stable glasses” with high density and thermal stability, and have properties similar to stable glasses prepared from model glass formers. Simulations reproduce the experimental trends and explain molecular orientation in the deposited glasses in terms of the surface properties of the equilibrium liquid. Finally, by showing that organic semiconductors form stable glasses, these results provide an avenue for systematic performance optimization of active layers in organic electronics.« less

  13. Study of nickel silicide formation by physical vapor deposition techniques

    NASA Astrophysics Data System (ADS)

    Pancharatnam, Shanti

    Metal silicides are used as contacts to the highly n-doped emitter in photovoltaic devices. Thin films of nickel silicide (NiSi) are of particular interest for Si-based solar cells, as they form at lower temperature and consume less silicon. However, interfacial oxide limits the reduction in sheet resistance. Hence, different diffusion barriers were investigated with regard to optimizing the conductivity and thermal stability. The formation of NiSi, and if it can be doped to have good contact with the n-side of a p-n junction were studied. Reduction of the interfacial oxide by the interfacial Ti layer to allow the formation of NiSi was observed. Silicon was treated in dilute hydrofluoric acid for removing the surface oxide layer. Ni and a Ti diffusion barrier were deposited on Si by physical vapor deposition (PVD) methods - electron beam evaporation and sputtering. The annealing temperature and time were varied to observe the stability of the deposited film. The films were then etched to observe the retention of the silicide. Characterization was done using scanning electron microscopy (SEM), Auger electron spectroscopy (AES) and Rutherford back scattering (RBS). Sheet resistance was measured using the four-point probe technique. Annealing temperatures from 300°C showed films began to agglomerate indicating some diffusion between Ni and Si in the Ti layer, also supported by the compositional analysis in the Auger spectra. Films obtained by evaporation and sputtering were of high quality in terms of coverage over substrate area and uniformity. Thicknesses of Ni and Ti were optimized to 20 nm and 10 nm respectively. Resistivity was low at these thicknesses, and reduced by about half post annealing at 300°C for 8 hours. Thus a low resistivity contact was obtained at optimized thicknesses of the metal layers. It was also shown that some silicide formation occurs at temperatures starting from 300°C and can thus be used to make good silicide contacts.

  14. Vapor deposition and characterization of supramolecular assemblies for integrated nonlinear optics

    NASA Astrophysics Data System (ADS)

    Esembeson, Bweh

    Very recently, some organic molecules have been developed that are very compact and have exceptionally high molecular polarizabilities which approach the fundamental quantum limit. Supramolecular assemblies created from such highly nonlinear molecules could find applications in integrated nonlinear optics such as all-optical signal processing, electro-optic modulators and frequency conversion. In this work, we have constructed a versatile vacuum deposition system for the creation of organic thin films from these molecules that can be sublimated without decomposition. We have used deposition temperatures of the order of 100--200°C in a high vacuum of 10-6--10 -7 Torrs. While some molecules showed a tendency to form polycrystalline films, others led to very high optical quality films, with a roughness of less than 10 nm over tens of micrometers and no grains detected down to a size of 2 nm, as seen in Atomic Force and Scanning Electron Microscopy studies. The best material we developed has a linear refractive index of 1.8 +/- 0.1 at 1.5 mum and an off-resonant third order susceptibility, chi (3), measured through Degenerate Four Wave Mixing, of 2 +/- 1 x 10-19 m2V-2 at 1.5 mum, a value three orders of magnitude larger than fused silica. This vapor deposited thin film may represent one of the best materials demonstrated to date whereby a large third order susceptibility, high optical quality, and simplicity of fabrication and integration are in perfect harmony for integrated nonlinear optical applications. We have used this novel organic material to create a hybrid organic/silicon-on-insulator waveguide that showed a record high nonlinearity coefficient of 10 5 W-1m-1 and has been used as an all-optical switch for demultiplexing a 120 Gbit/s data stream to 10 Gbit/s on a 6 mm long device.

  15. Further development of chemical vapor deposition process for production of large diameter carbon-base monofilaments

    NASA Technical Reports Server (NTRS)

    Hough, R. L.; Richmond, R. D.

    1974-01-01

    The development of large diameter carbon-base monofilament in the 50 micron to 250 micron diameter range using the chemical vapor deposition process is described. The object of this program was to determine the critical process variables which control monofilament strength, monofilament modulus, and monofilament diameter. It was confirmed that wide scatter in the carbon substrate strength is primarily responsible for the scatter in the monofilament strength. It was also shown through etching experiments that defective substrate surface conditions which can induce low strength modular growth in the monofilament layers are best controlled by processing improvements during the synthesis of the substrate. Modulus was found to be linearily proportional to monofilament boron content. Filament modulus was increased to above 27.8MN/sq cm but only by a considerable increase in monofilament boron content to 60 wt. % or more. Monofilament diameter depended upon dwell time in the synthesis apparatus. A monofilament was prepared using these findings which had the combined properties of a mean U.T.S. of 398,000 N/sq cm, a modulus of 18.9 MN/sq cm (24,000,000 psi), and a diameter of 145 microns. Highest measured strength for this fiber was 451,000 N/sq cm (645,000 psi).

  16. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    PubMed

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  17. Investigation of the nanostructure and wear properties of physical vapor deposited CrCuN nanocomposite coatings

    NASA Astrophysics Data System (ADS)

    Baker, M. A.; Kench, P. J.; Tsotsos, C.; Gibson, P. N.; Leyland, A.; Matthews, A.

    2005-05-01

    This article presents results on CrCuN nanocomposite coatings grown by physical vapor deposition. The immiscibility of Cr (containing a supersaturation of nitrogen) and Cu offers the potential of depositing a predominantly metallic (and therefore tough) nanocomposite, composed of small Cr(N) metallic and/or β-Cr2N ceramic grains interdispersed in a (minority) Cu matrix. A range of CrCuN compositions have been deposited using a hot-filament enhanced unbalanced magnetron sputtering system. The stoichiometry and nanostructure have been studied by x-ray photoelectron spectroscopy, transmission electron microscopy, scanning electron microscopy, and x-ray diffraction. Hardness, wear resistance, and impact resistance have been determined by nanoindentation, reciprocating-sliding, and ball-on-plate high-cycle impact. Evolution of the nanostructure as a function of composition and correlations of the nanostructure and mechanical properties of the CrCuN coatings are discussed. A nanostructure comprised of 1-3 nm α-Cr(N) and β-Cr2N grains separated by intergranular regions of Cu gives rise to a coating with significantly enhanced resistance to impact wear.

  18. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1988-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  19. Stresses in chemical vapor deposited epitaxial 3C-SiC membranes

    NASA Astrophysics Data System (ADS)

    Su, C. M.; Fekade, A.; Spencer, M.; Wuttig, Manfred

    1995-02-01

    The internal stresses in chemical-vapor-deposited 3C-SiC films were studied by a vibrating membrane technique. The differential thermal expansivity of 3C-SiC films was investigated by the change of the internal stress as a function of temperature. It was found that the internal stress of the films is dominated by thermal stresses and its magnitude depends both on doping and the film thickness. While p doping substantially increases the stress, increasing the film thickness reduces the stress of the SiC layer. The thermal expansivity of the SiC layer shows a lower value which is significantly less than of bulk 3C-SiC and tends to approach the expansivity of the Si substrate. It is proposed that the stress dependence of the SiC films on doping and film thickness is the result of the film morphology which is heavily faulted for very thin films and more perfect as the film thickness increases.

  20. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  1. Highly ionized physical vapor deposition plasma source working at very low pressure

    NASA Astrophysics Data System (ADS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  2. Adsorption calorimetry during metal vapor deposition on single crystal surfaces: Increased flux, reduced optical radiation, and real-time flux and reflectivity measurements

    NASA Astrophysics Data System (ADS)

    Sellers, Jason R. V.; James, Trevor E.; Hemmingson, Stephanie L.; Farmer, Jason A.; Campbell, Charles T.

    2013-12-01

    Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ˜18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.

  3. Adsorption calorimetry during metal vapor deposition on single crystal surfaces: increased flux, reduced optical radiation, and real-time flux and reflectivity measurements.

    PubMed

    Sellers, Jason R V; James, Trevor E; Hemmingson, Stephanie L; Farmer, Jason A; Campbell, Charles T

    2013-12-01

    Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ~18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.

  4. Influence of Hydrogen Bonding on the Kinetic Stability of Vapor Deposited Glasses of Triazine Derivatives

    DOE Data Explorer

    Laventure, Audrey [Departement de chimie, Universite de Montreal, C.P. 6128, Succ. Centre-Ville, Montreal, Quebec H3C 3J7, Canada] (ORCID:0000000208670231); Gujral, Ankit [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706, United States] (ORCID:0000000250652694); Lebel, Olivier [Department of Chemistry and Chemical Engineering, Royal Military College of Canada, Kingston, Ontario K7K 7B4] (ORCID:0000000217376843); Ediger, Mark [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706, United States] (ORCID:0000000347158473); Pellerin, Christian [Departement de chimie, Universite de Montreal, C.P. 6128, Succ. Centre-Ville, Montreal, Quebec H3C 3J7, Canada] (ORCID:0000000161441318)

    2017-02-01

    It has recently been established that physical vapor deposition (PVD) can produce organic glasses with enhanced kinetic stability, high density, and anisotropic packing, with the substrate temperature during deposition (Tsubstrate) as the key control parameter. The influence of hydrogen bonding on the formation of PVD glasses has not been fully explored. Herein, we use a high-throughput preparation method to vapor-deposit three triazine derivatives over a wide range of Tsubstrate, from 0.69 to 1.08Tg, where Tg is the glass transition temperature. These model systems are structural analogues containing a functional group with different H-bonding capability at the 2-position of a triazine ring: (1) 2-methylamino-4,6-bis(3,5-dimethyl-phenylamino)-1,3,5-triazine (NHMe) (H-bond donor), (2) 2-methoxy-4,6-bis(3,5-dimethyl-phenylamino)-1,3,5-triazine (OMe) (H-bond acceptor), and (3) 2-ethyl-4,6-bis(3,5-dimethyl-phenylamino)-1,3,5-triazine (Et) (none). Using spectroscopic ellipsometry, we find that the Et and OMe compounds form PVD glasses with relatively high kinetic stability, with the transformation time (scaled by the α-relaxation time) on the order of 103, comparable to other highly stable glasses formed by PVD. In contrast, PVD glasses of NHMe are only slightly more stable than the corresponding liquid-cooled glass. Using IR spectroscopy, we find that both the supercooled liquid and the PVD glasses of the NHMe derivative show a higher average number of bonded NH per molecule than that in the other two compounds. These results suggest that H-bonds hinder the formation of stable glasses, perhaps by limiting the surface mobility. Interestingly, despite this difference in kinetic stability, all three compounds show properties typically observed in highly stable glasses prepared by PVD, including a higher density and anisotropic molecular packing (as characterized by IR and wide-angle X-ray scattering).

  5. Simple, green, and clean removal of a poly(methyl methacrylate) film on chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Park, J.-H.; Jung, W.; Cho, D.; Seo, J.-T.; Moon, Y.; Woo, S. H.; Lee, C.; Park, C.-Y.; Ahn, J. R.

    2013-10-01

    The clean removal of a poly(methyl methacrylate) (PMMA) film on graphene has been an essential part of the process of transferring chemical vapor deposited graphene to a specific substrate, influencing the quality of the transferred graphene. Here we demonstrate that the clean removal of PMMA can be achieved by a single heat-treatment process without the chemical treatment that was adopted in other methods of PMMA removal. The cleanness of the transferred graphene was confirmed by four-point probe measurements, synchrotron radiation x-ray photoemission spectroscopy, optical images, and Raman spectroscopy.

  6. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  7. 33 CFR 154.808 - Vapor control system, general.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... inerted vapors of cargoes containing sulfur, provisions must be made to control heating from pyrophoric iron sulfide deposits in the vapor collection line. [CGD 88-102, 55 FR 25429, June 21, 1990, as amended...

  8. 33 CFR 154.808 - Vapor control system, general.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... inerted vapors of cargoes containing sulfur, provisions must be made to control heating from pyrophoric iron sulfide deposits in the vapor collection line. [CGD 88-102, 55 FR 25429, June 21, 1990, as amended...

  9. 33 CFR 154.808 - Vapor control system, general.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... inerted vapors of cargoes containing sulfur, provisions must be made to control heating from pyrophoric iron sulfide deposits in the vapor collection line. [CGD 88-102, 55 FR 25429, June 21, 1990, as amended...

  10. 33 CFR 154.808 - Vapor control system, general.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... inerted vapors of cargoes containing sulfur, provisions must be made to control heating from pyrophoric iron sulfide deposits in the vapor collection line. [CGD 88-102, 55 FR 25429, June 21, 1990, as amended...

  11. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    PubMed

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently <1°. A rigorous sandpaper abrasion test demonstrated retention of superhydrophobic properties and superior robustness therein, while wear, anticorrosion (pH = 1-14, 72 h), and UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  12. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  13. Ionized cluster beam deposition

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.

    1983-01-01

    Ionized Cluster Beam (ICB) deposition, a new technique originated by Takagi of Kyoto University in Japan, offers a number of unique capabilities for thin film metallization as well as for deposition of active semiconductor materials. ICB allows average energy per deposited atom to be controlled and involves impact kinetics which result in high diffusion energies of atoms on the growth surface. To a greater degree than in other techniques, ICB involves quantitative process parameters which can be utilized to strongly control the characteristics of films being deposited. In the ICB deposition process, material to be deposited is vaporized into a vacuum chamber from a confinement crucible at high temperature. Crucible nozzle configuration and operating temperature are such that emerging vapor undergoes supercondensation following adiabatic expansion through the nozzle.

  14. A review of theoretical study of graphene chemical vapor deposition synthesis on metals: nucleation, growth, and the role of hydrogen and oxygen

    NASA Astrophysics Data System (ADS)

    Rezwan Habib, Mohammad; Liang, Tao; Yu, Xuegong; Pi, Xiaodong; Liu, Yingchun; Xu, Mingsheng

    2018-03-01

    Graphene has attracted intense research interest due to its extraordinary properties and great application potential. Various methods have been proposed for the synthesis of graphene, among which chemical vapor deposition has drawn a great deal of attention for synthesizing large-area and high-quality graphene. Theoretical understanding of the synthesis mechanism is crucial for optimizing the experimental design for desired graphene production. In this review, we discuss the three fundamental steps of graphene synthesis in details, i.e. (1) decomposition of carbon feedstocks and formation of various active carbon species, (2) nucleation, and (3) attachment and extension. We provide a complete scenario of graphene synthesis on metal surfaces at atomistic level by means of density functional theory, molecular dynamics (MD), Monte Carlo (MC) and their combination and interface with other simulation methods such as quantum mechanical molecular dynamics, density functional tight binding molecular dynamics, and combination of MD and MC. We also address the latest investigation of the influences of the hydrogen and oxygen on the synthesis and the quality of the synthesized graphene.

  15. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Imai, Shun; Kondo, Hiroki; Cho, Hyungjun; Kano, Hiroyuki; Ishikawa, Kenji; Sekine, Makoto; Hiramatsu, Mineo; Ito, Masafumi; Hori, Masaru

    2017-10-01

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (>1012 cm-2) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

  16. Boron nitride nanowires synthesis via a simple chemical vapor deposition at 1200 °C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmad, Pervaiz; Khandaker, Mayeen Uddin; Amin, Yusoff Mohd

    2015-04-24

    A very simple chemical vapor deposition technique is used to synthesize high quality boron nitride nanowires at 1200 °C within a short growth duration of 30 min. FESEM micrograph shows that the as-synthesized boron nitride nanowires have a clear wire like morphology with diameter in the range of ∼20 to 150 nm. HR-TEM confirmed the wire-like structure of boron nitride nanowires, whereas XPS and Raman spectroscopy are used to find out the elemental composition and phase of the synthesized material. The synthesized boron nitride nanowires have potential applications as a sensing element in solid state neutron detector, neutron capture therapy and microelectronicmore » devices with uniform electronic properties.« less

  17. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition.

    PubMed

    Kim, Y; Song, W; Lee, S Y; Jeon, C; Jung, W; Kim, M; Park, C-Y

    2011-06-27

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω∕sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  18. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  19. Improvement of chemical vapor deposition process for production of large diameter carbon base monofilaments

    NASA Technical Reports Server (NTRS)

    Hough, R. L.; Richmond, R. D.

    1971-01-01

    Research was conducted to develop large diameter carbon monofilament, containing 25 to 35 mole % element boron, in the 2.0 to 10.0 mil diameter range using the chemical vapor deposition process. The objective of the program was to gain an understanding of the critical process variables and their effect on fiber properties. Synthesis equipment was modified to allow these variables to be studied. Improved control of synthesis variables permitted reduction in scatter of properties of the monofilaments. Monofilaments have been synthesized in the 3.0 to nearly 6.0 mil diameter range having measured values up to 552,000 psi for ultimate tensile strength and up to 30 million psi for elastic modulus.

  20. Chemical vapor deposition growth of two-dimensional heterojunctions

    NASA Astrophysics Data System (ADS)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  1. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  2. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    PubMed

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical <111>-oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  3. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  4. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  5. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  6. Plasma vapor deposited n-indium tin oxide/p-copper indium oxide heterojunctions for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Jaya, T. P.; Pradyumnan, P. P.

    2017-12-01

    Transparent crystalline n-indium tin oxide/p-copper indium oxide diode structures were fabricated on quartz substrates by plasma vapor deposition using radio frequency (RF) magnetron sputtering. The p-n heterojunction diodes were highly transparent in the visible region and exhibited rectifying current-voltage (I-V) characteristics with a good ideality factor. The sputter power during fabrication of the p-layer was found to have a profound effect on I-V characteristics, and the diode with the p-type layer deposited at a maximum power of 200 W exhibited the highest value of the diode ideality factor (η value) of 2.162, which suggests its potential use in optoelectronic applications. The ratio of forward current to reverse current exceeded 80 within the range of applied voltages of -1.5 to +1.5 V in all cases. The diode structure possessed an optical transmission of 60-70% in the visible region.

  7. Alignment mechanism of carbon nanofibers produced by plasma-enhanced chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-10-01

    We report experimental evidence showing a direct correlation between the alignment of carbon nanofibers (CNFs) prepared by plasma-enhanced chemical-vapor deposition and the location of the catalyst particle during CNF growth. In particular, we find that CNFs that have a catalyst particle at the tip (i.e., growth proceeds from the tip) align along the electric-field lines, whereas CNFs with the particle at the base (i.e., growth proceeds from the base) grow in random orientations. We propose a model that explains the alignment process as a result of a feedback mechanism associated with a nonuniform stress (part tensile, part compressive) that is created across the interface of the catalyst particle with the CNF due to electrostatic forces. Furthermore, we propose that the alignment seen recently in some dense CNF films is due to a crowding effect and is not directly the result of electrostatic forces.

  8. Spectroscopic signatures of AA' and AB stacking of chemical vapor deposited bilayer MoS 2

    DOE PAGES

    Xia, Ming; Li, Bo; Yin, Kuibo; ...

    2015-11-04

    We discuss prominent resonance Raman and photoluminescence spectroscopic differences between AA'and AB stacked bilayer molybdenum disulfide (MoS 2) grown by chemical vapor deposition are reported. Bilayer MoS 2 islands consisting of the two stacking orders were obtained under identical growth conditions. Also, resonance Raman and photoluminescence spectra of AA' and AB stacked bilayer MoS 2 were obtained on Au nanopyramid surfaces under strong plasmon resonance. Both resonance Raman and photoluminescence spectra show distinct features indicating clear differences in interlayer interaction between these two phases. The implication of these findings on device applications based on spin and valley degrees of freedom.

  9. Thin film solar cells grown by organic vapor phase deposition

    NASA Astrophysics Data System (ADS)

    Yang, Fan

    Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.

  10. Facile Synthesis of Single Crystal Vanadium Disulfide Nanosheets by Chemical Vapor Deposition for Efficient Hydrogen Evolution Reaction.

    PubMed

    Yuan, Jiangtan; Wu, Jingjie; Hardy, Will J; Loya, Philip; Lou, Minhan; Yang, Yingchao; Najmaei, Sina; Jiang, Menglei; Qin, Fan; Keyshar, Kunttal; Ji, Heng; Gao, Weilu; Bao, Jiming; Kono, Junichiro; Natelson, Douglas; Ajayan, Pulickel M; Lou, Jun

    2015-10-07

    A facile chemical vapor deposition method to prepare single-crystalline VS2 nanosheets for the hydrogen evolution reaction is reported. The electrocatalytic hydrogen evolution reaction (HER) activities of VS2 show an extremely low overpotential of -68 mV at 10 mA cm(-2), small Tafel slopes of ≈34 mV decade(-1), as well as high stability, demonstrating its potential as a candidate non-noble-metal catalyst for the HER. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    PubMed

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  12. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    PubMed

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  13. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    PubMed

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  14. Superior material qualities and transport properties of InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ya-Chao, Zhang; Xiao-Wei, Zhou; Sheng-Rui, Xu; Da-Zheng, Chen; Zhi-Zhe, Wang; Xing, Wang; Jin-Feng, Zhang; Jin-Cheng, Zhang; Yue, Hao

    2016-01-01

    Pulsed metal organic chemical vapor deposition is introduced into the growth of InGaN channel heterostructure for improving material qualities and transport properties. High-resolution transmission electron microscopy imaging shows the phase separation free InGaN channel with smooth and abrupt interface. A very high two-dimensional electron gas density of approximately 1.85 × 1013 cm-2 is obtained due to the superior carrier confinement. In addition, the Hall mobility reaches 967 cm2/V·s, owing to the suppression of interface roughness scattering. Furthermore, temperature-dependent Hall measurement results show that InGaN channel heterostructure possesses a steady two-dimensional electron gas density over the tested temperature range, and has superior transport properties at elevated temperatures compared with the traditional GaN channel heterostructure. The gratifying results imply that InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition is a promising candidate for microwave power devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61306017, 61334002, 61474086, and 11435010) and the Young Scientists Fund of the National Natural Science Foundation of China (Grant No. 61306017).

  15. Chemical Vapor Deposition Growth and Applications of Two-Dimensional Materials and Their Heterostructures.

    PubMed

    Cai, Zhengyang; Liu, Bilu; Zou, Xiaolong; Cheng, Hui-Ming

    2018-01-31

    Two-dimensional (2D) materials have attracted increasing research interest because of the abundant choice of materials with diverse and tunable electronic, optical, and chemical properties. Moreover, 2D material based heterostructures combining several individual 2D materials provide unique platforms to create an almost infinite number of materials and show exotic physical phenomena as well as new properties and applications. To achieve these high expectations, methods for the scalable preparation of 2D materials and 2D heterostructures of high quality and low cost must be developed. Chemical vapor deposition (CVD) is a powerful method which may meet the above requirements, and has been extensively used to grow 2D materials and their heterostructures in recent years, despite several challenges remaining. In this review of the challenges in the CVD growth of 2D materials, we highlight recent advances in the controlled growth of single crystal 2D materials, with an emphasis on semiconducting transition metal dichalcogenides. We provide insight into the growth mechanisms of single crystal 2D domains and the key technologies used to realize wafer-scale growth of continuous and homogeneous 2D films which are important for practical applications. Meanwhile, strategies to design and grow various kinds of 2D material based heterostructures are thoroughly discussed. The applications of CVD-grown 2D materials and their heterostructures in electronics, optoelectronics, sensors, flexible devices, and electrocatalysis are also discussed. Finally, we suggest solutions to these challenges and ideas concerning future developments in this emerging field.

  16. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  17. Development of a microbalance suitable for space application. [mass measurement device for particulate and vapor deposition measurements

    NASA Technical Reports Server (NTRS)

    Patashnick, H.; Rupprecht, G.

    1977-01-01

    The tapered element oscillating microbalance (TEOM), an ultrasensitive mass measurement device which is suitable for both particulate and vapor deposition measurements is described. The device can be used in contamination measurements, surface reaction studies, particulate monitoring systems or any microweighing activity where either laboratory or field monitoring capability is desired. The active element of the TEOM consists of a tube or reed constructed of a material with high mechanical quality factor and having a special taper. The element is firmly mounted at the wide end while the other end supports a substrate surface which can be composed of virtually any material. The tapered element with the substrate at the free (narrow) end is set into oscillation in a clamped free mode. A feedback system maintains the oscillation whose natural frequency will change in relation to the mass deposited on the substrate.

  18. Propellant Vaporization as a Criterion for Rocket-Engine Design; Experimental Performance, Vaporization and Heat-Transfer Rates with Various Propellant Combinations

    NASA Technical Reports Server (NTRS)

    Clark, Bruce J.; Hersch, Martin; Priem, Richard J.

    1959-01-01

    Experimental combustion efficiencies of eleven propellant combinations were determined as a function of chamber length. Efficiencies were measured in terms of characteristic exhaust velocities at three chamber lengths and in terms of gas velocities. The data were obtained in a nominal 200-pound-thrust rocket engine. Injector and engine configurations were kept essentially the same to allow comparison of the performance. The data, except for those on hydrazine and ammonia-fluorine, agreed with predicted results based on the assumption that vaporization of the propellants determines the rate of combustion. Decomposition in the liquid phase may be.responsible for the anomalous behavior of hydrazine. Over-all heat-transfer rates were also measured for each combination. These rates were close to the values predicted by standard heat-transfer calculations except for the combinations using ammonia.

  19. Dynamics of glass-forming liquids. XV. Dynamical features of molecular liquids that form ultra-stable glasses by vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, Zhen; Richert, Ranko

    2011-09-01

    The dielectric relaxation behavior of ethylbenzene (EBZ) in its viscous regime is measured, and the glass transition temperature (Tg = 116 K) as well as fragility (m = 98) are determined. While the Tg of EBZ from this work is consistent with earlier results, the fragility is found much higher than what has been assumed previously. Literature data is supplemented by the present results on EBZ to compile the dynamic behavior of those glass formers that are known to form ultra-stable glasses by vapor deposition. These dynamics are contrasted with those of ethylcyclohexane, a glass former for which a comparable vapor deposition failed to produce an equally stable glassy state. In a graph that linearizes Vogel-Fulcher-Tammann behavior, i.e., the derivative of -logτ with respect to T/Tg raised to the power of -1/2 versus T/Tg, all ultra-stable glass formers fall onto one master curve in a wide temperature range, while ethylcyclohexane deviates for T ≫ Tg. This result suggests that ultra-stable glass formers share common behavior regarding the dynamics of their supercooled liquid state if scaled to their respective Tg values, and that fragility and related features are linked to the ability to form ultra-stable materials.

  20. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.