Sample records for vapor deposition cvd

  1. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  2. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  3. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  4. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  5. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  6. A Review of Carbon Nanomaterials' Synthesis via the Chemical Vapor Deposition (CVD) Method.

    PubMed

    Manawi, Yehia M; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A

    2018-05-17

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  7. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    PubMed Central

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  8. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  9. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  10. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  11. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  13. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  14. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  15. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  16. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  17. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  18. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  19. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  20. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  1. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  2. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  3. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  4. Thermal chemical vapor deposition (T-CVD) growth of carbon nanotubes on different metallic underlayers

    NASA Astrophysics Data System (ADS)

    Kim, S. M.; Gangloff, L.

    2011-06-01

    The synthesis of carbon nanotubes (CNTs) on various substrates by thermal chemical vapor deposition (T-CVD) (500-800 °C) is described. C 2H 2 (98% purity) is used as the carbon feedstock and the metallic underlayers, i.e., AlCu, Cu, Ag, Ta, and NiV are used. A crucial component is the insertion (or inclusion) of an Al layer between the metal and the catalyst, which then leads to the effective growth of CNTs. The types of CNTs (single or multi walled) could be dependent on the diameter of Al xO y nanoparticles that are formed during the annealing process. In situ mass spectroscopy reveals that the increase in CO 2 and H 2O with temperature, during the growth, could be correlated to the formation of longer CNTs (∼2 μm) on NiV and Ta due to their etching effects (i.e. C+CO 2→2CO and C+H 2O→CO+H 2).

  5. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  6. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  7. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  8. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    NASA Astrophysics Data System (ADS)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  9. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  10. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  11. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  12. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  13. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  14. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, S.; Langford, A.A.

    1989-03-28

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate. 3 figs.

  15. Method and apparatus for removing and preventing window deposition during photochemical vapor deposition (photo-CVD) processes

    DOEpatents

    Tsuo, Simon; Langford, Alison A.

    1989-01-01

    Unwanted build-up of the film deposited on the transparent light-transmitting window of a photochemical vacuum deposition (photo-CVD) chamber is eliminated by flowing an etchant into the part of the photolysis region in the chamber immediately adjacent the window and remote from the substrate and from the process gas inlet. The respective flows of the etchant and the process gas are balanced to confine the etchant reaction to the part of the photolysis region proximate to the window and remote from the substrate. The etchant is preferably one that etches film deposit on the window, does not etch or affect the window itself, and does not produce reaction by-products that are deleterious to either the desired film deposited on the substrate or to the photolysis reaction adjacent the substrate.

  16. Significance of vapor phase chemical reactions on CVD rates predicted by chemically frozen and local thermochemical equilibrium boundary layer theories

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1988-01-01

    This paper investigates the role played by vapor-phase chemical reactions on CVD rates by comparing the results of two extreme theories developed to predict CVD mass transport rates in the absence of interfacial kinetic barrier: one based on chemically frozen boundary layer and the other based on local thermochemical equilibrium. Both theories consider laminar convective-diffusion boundary layers at high Reynolds numbers and include thermal (Soret) diffusion and variable property effects. As an example, Na2SO4 deposition was studied. It was found that gas phase reactions have no important role on Na2SO4 deposition rates and on the predictions of the theories. The implications of the predictions of the two theories to other CVD systems are discussed.

  17. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  18. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  19. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  20. A Comparative Study of Three Different Chemical Vapor Deposition (CVD) Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2013-01-01

    FCT-CVD) with xylene and ferrocene liquid mixture without any prior catalyst deposition. T-CVD is a low cost system that can easily be set up to grow...iron catalysts, respectively. For the FCT-CVD approach, ferrocene is used as an iron source to promote CNT growth. Based on these repeatable results...kept at 250 ° C while the high temperature furnace is ramped up to the growth temperature of 750 ° C. Ferrocene was dissolved into xylene solvent in

  1. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  2. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  3. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  4. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  5. Comparison of tungsten films grown by CVD and hot-wire assisted atomic layer deposition in a cold-wall reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.

    2016-01-15

    In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less

  6. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  7. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  8. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  10. Optimal Magnetorheological Fluid for Finishing of Chemical-Vapor-Deposited Zinc Sulfide

    NASA Astrophysics Data System (ADS)

    Salzman, Sivan

    Magnetorheological finishing (MRF) of polycrystalline, chemical-vapor- deposited zinc sulfide (ZnS) optics leaves visible surface artifacts known as "pebbles". These artifacts are a direct result of the material's inner structure that consists of cone-like features that grow larger (up to a few millimeters in size) as deposition takes place, and manifest on the top deposited surface as "pebbles". Polishing the pebble features from a CVD ZnS substrate to a flat, smooth surface to below 10 nm root-mean-square is challenging, especially for a non-destructive polishing process such as MRF. This work explores ways to improve the surface finish of CVD ZnS processed with MRF through modification of the magnetorheological (MR) fluid's properties. A materials science approach is presented to define the anisotropy of CVD ZnS through a combination of chemical and mechanical experiments and theoretical predictions. Magnetorheological finishing experiments with single crystal samples of ZnS, whose cuts and orientations represent most of the facets known to occur in the polycrystalline CVD ZnS, were performed to explore the influence of material anisotropy on the material removal rate during MRF. By adjusting the fluid's viscosity, abrasive type concentration, and pH to find the chemo-mechanical conditions that equalize removal rates among all single crystal facets during MRF, we established an optimized, novel MR formulation to polish CVD ZnS without degrading the surface finish of the optic.

  11. CVD Polymers for Devices and Device Fabrication.

    PubMed

    Wang, Minghui; Wang, Xiaoxue; Moni, Priya; Liu, Andong; Kim, Do Han; Jo, Won Jun; Sojoudi, Hossein; Gleason, Karen K

    2017-03-01

    Chemical vapor deposition (CVD) polymerization directly synthesizes organic thin films on a substrate from vapor phase reactants. Dielectric, semiconducting, electrically conducting, and ionically conducting CVD polymers have all been readily integrated into devices. The absence of solvent in the CVD process enables the growth of high-purity layers and avoids the potential of dewetting phenomena, which lead to pinhole defects. By limiting contaminants and defects, ultrathin (<10 nm) CVD polymeric device layers have been fabricated in multiple laboratories. The CVD method is particularly suitable for synthesizing insoluble conductive polymers, layers with high densities of organic functional groups, and robust crosslinked networks. Additionally, CVD polymers are prized for the ability to conformally cover rough surfaces, like those of paper and textile substrates, as well as the complex geometries of micro- and nanostructured devices. By employing low processing temperatures, CVD polymerization avoids damaging substrates and underlying device layers. This report discusses the mechanisms of the major CVD polymerization techniques and the recent progress of their applications in devices and device fabrication, with emphasis on initiated CVD (iCVD) and oxidative CVD (oCVD) polymerization. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Mixed Convection Flow in Horizontal CVD Reactors

    NASA Astrophysics Data System (ADS)

    Chiu, Wilson K. S.; Richards, Cristy J.; Jaluria, Yogesh

    1998-11-01

    Increasing demands for high quality films and production rates are challenging current Chemical Vapor Deposition (CVD) technology. Since film quality and deposition rates are strongly dependent on gas flow and heat transfer (W.K.S. Chiu and Y. Jaluria, ASME HTD-Vol. 347, pp. 293-311, 1997.), process improvement is obtained through the study of mixed convection flow and temperature distribution in a CVD reactor. Experimental results are presented for a CVD chamber with a horizontal or inclined resistance heated susceptor. Vaporized glycol solution illuminated by a light sheet is used for flow visualization. Temperature measurements are obtained by inserting thermocouple probes into the gas stream or embedding probes into the reactor walls. Flow visualization and temperature measurements show predominantly two dimensional flow and temperature distributions along the streamwise direction under forced convection conditions. Natural convection dominates under large heating rates and low flow rates. Over the range of parameters studied, several distinct flow regimes, characterized by instability, separation, and turbulence, are evident. Different flow regimes alter the flow pattern and temperature distribution, and in consequence, significantly modify deposition rates and uniformity.

  13. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  14. Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper

    PubMed Central

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2015-01-01

    Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221

  15. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  16. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  17. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    NASA Astrophysics Data System (ADS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  18. Mechanical properties of ultrahigh molecular weight PHEMA hydrogels synthesized using initiated chemical vapor deposition.

    PubMed

    Bose, Ranjita K; Lau, Kenneth K S

    2010-08-09

    In this work, poly(2-hydroxyethyl methacrylate) (PHEMA), a widely used hydrogel, is synthesized using initiated chemical vapor deposition (iCVD), a one-step surface polymerization that does not use any solvents. iCVD synthesis is capable of producing linear stoichiometric polymers that are free from entrained unreacted monomer or solvent and, thus, do not require additional purification steps. The resulting films, therefore, are found to be noncytotoxic and also have low nonspecific protein adsorption. The kinetics of iCVD polymerization are tuned so as to achieve rapid deposition rates ( approximately 1.5 microm/min), which in turn yield ultrahigh molecular weight polymer films that are mechanically robust with good water transport and swellability. The films have an extremely high degree of physical chain entanglement giving rise to high tensile modulus and storage modulus without the need for chemical cross-linking that compromises hydrophilicity.

  19. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  20. Origin of the relatively low transport mobility of graphene grown through chemical vapor deposition

    PubMed Central

    Song, H. S.; Li, S. L.; Miyazaki, H.; Sato, S.; Hayashi, K.; Yamada, A.; Yokoyama, N.; Tsukagoshi, K.

    2012-01-01

    The reasons for the relatively low transport mobility of graphene grown through chemical vapor deposition (CVD-G), which include point defect, surface contamination, and line defect, were analyzed in the current study. A series of control experiments demonstrated that the determinant factor for the low transport mobility of CVD-G did not arise from point defects or surface contaminations, but stemmed from line defects induced by grain boundaries. Electron microscopies characterized the presence of grain boundaries and indicated the polycrystalline nature of the CVD-G. Field-effect transistors based on CVD-G without the grain boundary obtained a transport mobility comparative to that of Kish graphene, which directly indicated the detrimental effect of grain boundaries. The effect of grain boundary on transport mobility was qualitatively explained using a potential barrier model. Furthermore, the conduction mechanism of CVD-G was also investigated using the temperature dependence measurements. This study can help understand the intrinsic transport features of CVD-G. PMID:22468224

  1. Chemical vapor deposition growth of two-dimensional heterojunctions

    NASA Astrophysics Data System (ADS)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  2. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  3. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  4. Formation of Aluminide Coatings on Fe-Based Alloys by Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Ying; Pint, Bruce A; Cooley, Kevin M

    2008-01-01

    Aluminide and Al-containing coatings were synthesized on commercial ferritic (P91) and austenitic (304L) alloys via a laboratory chemical vapor deposition (CVD) procedure for rigorous control over coating composition, purity and microstructure. The effect of the CVD aluminizing parameters such as temperature, Al activity, and post-aluminizing anneal on coating growth was investigated. Two procedures involving different Al activities were employed with and without including Cr-Al pellets in the CVD reactor to produce coatings with suitable thickness and composition for coating performance evaluation. The phase constitution of the as-synthesized coatings was assessed with the aid of a combination of X-ray diffraction, electronmore » probe microanalysis, and existing phase diagrams. The mechanisms of formation of these CVD coatings on the Fe-based alloys are discussed, and compared with nickel aluminide coatings on Ni-base superalloys. In addition, Cr-Al pellets were replaced with Fe-Al metals in some aluminizing process runs and similar coatings were achieved.« less

  5. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Miyake, Shojiro; Wu, Richard L. C.

    1998-01-01

    The main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) cubic MM /(N*m), respectively. Carbon- and nitrogen-ion-implanted, fine-grain, chemical-vapor-deposited (CVD) diamond and diamondlike carbon (DLC) ion beam deposited on fine-grain CVD diamond met the criteria regardless of environment (vacuum, nitrogen, and air).

  6. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  7. Reducing flicker noise in chemical vapor deposition graphene field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arnold, Heather N.; Sangwan, Vinod K.; Schmucker, Scott W.; Cress, Cory D.; Luck, Kyle A.; Friedman, Adam L.; Robinson, Jeremy T.; Marks, Tobin J.; Hersam, Mark C.

    2016-02-01

    Single-layer graphene derived from chemical vapor deposition (CVD) holds promise for scalable radio frequency (RF) electronic applications. However, prevalent low-frequency flicker noise (1/f noise) in CVD graphene field-effect transistors is often up-converted to higher frequencies, thus limiting RF device performance. Here, we achieve an order of magnitude reduction in 1/f noise in field-effect transistors based on CVD graphene transferred onto silicon oxide substrates by utilizing a processing protocol that avoids aqueous chemistry after graphene transfer. Correspondingly, the normalized noise spectral density (10-7-10-8 μm2 Hz-1) and noise amplitude (4 × 10-8-10-7) in these devices are comparable to those of exfoliated and suspended graphene. We attribute the reduction in 1/f noise to a decrease in the contribution of fluctuations in the scattering cross-sections of carriers arising from dynamic redistribution of interfacial disorder.

  8. Chemical vapor deposition of yttria-stabilized zirconia as a thermal barrier coating for gas turbine engines

    NASA Astrophysics Data System (ADS)

    Varanasi, Venu Gopal

    The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004

  9. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-17

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasivemore » and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.« less

  10. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  11. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  12. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  13. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    PubMed

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (<100 nm) coatings can easily be obtained by chemical vapor deposition (CVD) for a variety of technological applications. Room temperature modification with functional polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Chemical reactivity of CVC and CVD SiC with UO2 at high temperatures

    NASA Astrophysics Data System (ADS)

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; Snead, Lance L.

    2015-05-01

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO2 pellets and evaluated for their potential chemical reaction with UO2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO2 was observed at comparatively low temperatures of 1100 and 1300 °C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity. However, both CVD and CVC SiCs showed some reaction with UO2 at a higher temperature (1500 °C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive than CVD SiC at 1500 °C. Furthermore, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi2, and U3Si2 as a result of SiC reaction with UO2.

  15. Nucleation and growth of single layer graphene on electrodeposited Cu by cold wall chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Das, Shantanu; Drucker, Jeff

    2017-03-01

    The nucleation density and average size of graphene crystallites grown using cold wall chemical vapor deposition (CVD) on 4 μm thick Cu films electrodeposited on W substrates can be tuned by varying growth parameters. Growth at a fixed substrate temperature of 1000 °C and total pressure of 700 Torr using Ar, H2 and CH4 mixtures enabled the contribution of total flow rate, CH4:H2 ratio and dilution of the CH4/H2 mixture by Ar to be identified. The largest variation in nucleation density was obtained by varying the CH4:H2 ratio. The observed morphological changes are analogous to those that would be expected if the deposition rate were varied at fixed substrate temperature for physical deposition using thermal evaporation. The graphene crystallite boundary morphology progresses from irregular/jagged through convex hexagonal to regular hexagonal as the effective C deposition rate decreases. This observation suggests that edge diffusion of C atoms along the crystallite boundaries, in addition to H2 etching, may contribute to shape evolution of the graphene crystallites. These results demonstrate that graphene grown using cold wall CVD follows a nucleation and growth mechanism similar to hot wall CVD. As a consequence, the vast knowledge base relevant to hot wall CVD may be exploited for graphene synthesis by the industrially preferable cold wall method.

  16. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  17. New developments in CVD diamond for detector applications

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  18. Minimizing artifact formation in magnetorheological finishing of chemical vapor deposition ZnS flats.

    PubMed

    Kozhinova, Irina A; Romanofsky, Henry J; Maltsev, Alexander; Jacobs, Stephen D; Kordonski, William I; Gorodkin, Sergei R

    2005-08-01

    The polishing performance of magnetorheological (MR) fluids prepared with a variety of magnetic and nonmagnetic ingredients was studied on four types of initial surface for chemical vapor deposition (CVD) ZnS flats from domestic and foreign sources. The results showed that it was possible to greatly improve smoothing performance of magnetorheological finishing (MRF) by altering the fluid composition, with the best results obtained for nanoalumina abrasive used with soft carbonyl iron and altered MR fluid chemistry. Surface roughness did not exceed 20 nm peak to valley and 2 nm rms after removal of 2 microm of material. The formation of orange peel and the exposure of a pebblelike structure inherent in ZnS from the CVD process were suppressed.

  19. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  20. Investigation of chemical vapor deposition of garnet films for bubble domain memories

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.

    1973-01-01

    The important process parameters and control required to grow reproducible device quality ferrimagnetic films by chemical vapor deposition (CVD) were studied. The investigation of the critical parameters in the CVD growth process led to the conclusion that the required reproducibility of film properties cannot be achieved with individually controlled separate metal halide sources. Therefore, the CVD growth effort was directed toward replacement of the halide sources with metallic sources with the ultimate goal being the reproducible growth of complex garnet compositions utilizing a single metal alloy source. The characterization of the YGdGaIG films showed that certain characteristics of this material, primarily the low domain wall energy and the large temperature sensitivity, severely limited its potential as a useful material for bubble domain devices. Consequently, at the time of the change from halide to metallic sources, the target film compositions were shifted to more useful materials such as YGdTmGaIG, YEuGaIG and YSmGaIG.

  1. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  2. Chemical reactivity of CVC and CVD SiC with UO 2 at high temperatures

    DOE PAGES

    Silva, Chinthaka M.; Katoh, Yutai; Voit, Stewart L.; ...

    2015-02-11

    Two types of silicon carbide (SiC) synthesized using two different vapor deposition processes were embedded in UO 2 pellets and evaluated for their potential chemical reaction with UO 2. While minor reactivity between chemical-vapor-composited (CVC) SiC and UO 2 was observed at comparatively low temperatures of 1100 and 1300 C, chemical-vapor-deposited (CVD) SiC did not show any such reactivity, according to microstructural investigations. But, both CVD and CVC SiCs showed some reaction with UO 2 at a higher temperature (1500 C). Elemental maps supported by phase maps obtained using electron backscatter diffraction indicated that CVC SiC was more reactive thanmore » CVD SiC at 1500 C. Moreover, this investigation indicated the formation of uranium carbides and uranium silicide chemical phases such as UC, USi 2, and U 3Si 2 as a result of SiC reaction with UO 2.« less

  3. Morphological Evolution and Weak Interface Development within CVD-Zirconia Coating Deposited on Hi-Nicalon Fiber

    NASA Technical Reports Server (NTRS)

    Li, Hao; Lee, Jinil; Libera, Matthew R.; Lee, Woo Y.; Kebbede, Anteneh; Lance, Michael J.; Wang, Hongyu; Morscher, Gregory N.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    The phase contents and morphology of a ZrO2 fiber coating deposited at 1050 C on Hi-Nicalon(Tm) by chemical vapor deposition were examined as a function of deposition time from 5 to 120 min. The morphological evolution in the ZrO2 coating was correlated to the development of delamination within the ZrO2 coating. The delamination appears to occur as a result of: (1) continuous formation of tetragonal ZrO2 nuclei on the deposition surface; (2) martensitic transformation of the tetragonal phase to a monoclinic phase upon reaching a critical grain size; and (3) development of significant compressive hoop stresses due to the volume dilation associated with the transformation. Our observations suggest that it will be of critical importance to further understand and eventually control the nucleation and grain growth behavior of CVD ZrO2 and its phase transformation behavior for its potential applications for composites.

  4. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    PubMed

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  5. Vertical graphene nanosheets synthesized by thermal chemical vapor deposition and the field emission properties

    NASA Astrophysics Data System (ADS)

    Guo, Xin; Qin, Shengchun; Bai, Shuai; Yue, Hongwei; Li, Yali; Chen, Qiang; Li, Junshuai; He, Deyan

    2016-09-01

    In this paper, we explored synthesis of vertical graphene nanosheets (VGNs) by thermal chemical vapor deposition (CVD). Through optimizing the experimental condition, growth of well aligned VGNs with uniform morphologies on nickel-coated stainless steel (SS) was realized for the first time by thermal CVD. In the meantime, influence of growth parameters on the VGN morphology was understood based on the balancing between the concentration and kinetic energy of carbon-containing radicals. Structural characterizations demonstrate that the achieved VGNs are normally composed of several graphene layers and less corrugated compared to the ones synthesized by other approaches, e.g. plasma enhanced (PE) CVD. The field emission measurement indicates that the VGNs exhibit relatively stable field emission and a field enhancement factor of about 1470, which is comparable to the values of VGNs prepared by PECVD can be achieved.

  6. What Drives Metal-Surface Step Bunching in Graphene Chemical Vapor Deposition?

    NASA Astrophysics Data System (ADS)

    Yi, Ding; Luo, Da; Wang, Zhu-Jun; Dong, Jichen; Zhang, Xu; Willinger, Marc-Georg; Ruoff, Rodney S.; Ding, Feng

    2018-06-01

    Compressive strain relaxation of a chemical vapor deposition (CVD) grown graphene overlayer has been considered to be the main driving force behind metal surface step bunching (SB) in CVD graphene growth. Here, by combining theoretical studies with experimental observations, we prove that the SB can occur even in the absence of a compressive strain, is enabled by the rapid diffusion of metal adatoms beneath the graphene and is driven by the release of the bending energy of the graphene overlayer in the vicinity of steps. Based on this new understanding, we explain a number of experimental observations such as the temperature dependence of SB, and how SB depends on the thickness of the graphene film. This study also shows that SB is a general phenomenon that can occur in all substrates covered by films of two-dimensional (2D) materials.

  7. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  8. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, J. T.; Kazaroff, J. M.; Appel, M. A.

    1989-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the melting temperature of iridium, 2400 C (4350 F).

  9. Iridium-coated rhenium thrusters by CVD

    NASA Technical Reports Server (NTRS)

    Harding, John T.; Kazaroff, John M.; Appel, Marshall A.

    1988-01-01

    Operation of spacecraft thrusters at increased temperature reduces propellant requirements. Inasmuch as propellant comprises the bulk of a satellite's mass, even a small percentage reduction makes possible a significant enhancement of the mission in terms of increased payload. Because of its excellent high temperature strength, rhenium is often the structural material of choice. It can be fabricated into free-standing shapes by chemical vapor deposition (CVD) onto an expendable mandrel. What rhenium lacks is oxidation resistance, but this can be provided by a coating of iridium, also by CVD. This paper describes the process used by Ultramet to fabricate 22-N (5-lbf) and, more recently, 445-N (100-lbf) Ir/Re thrusters; characterizes the CVD-deposited materials; and summarizes the materials effects of firing these thrusters. Optimal propellant mixture ratios can be employed because the materials withstand an oxidizing environment up to the meltimg temperature of iridium, 2400 C (4350 F).

  10. A platform for large-scale graphene electronics--CVD growth of single-layer graphene on CVD-grown hexagonal boron nitride.

    PubMed

    Wang, Min; Jang, Sung Kyu; Jang, Won-Jun; Kim, Minwoo; Park, Seong-Yong; Kim, Sang-Woo; Kahng, Se-Jong; Choi, Jae-Young; Ruoff, Rodney S; Song, Young Jae; Lee, Sungjoo

    2013-05-21

    Direct chemical vapor deposition (CVD) growth of single-layer graphene on CVD-grown hexagonal boron nitride (h-BN) film can suggest a large-scale and high-quality graphene/h-BN film hybrid structure with a defect-free interface. This sequentially grown graphene/h-BN film shows better electronic properties than that of graphene/SiO2 or graphene transferred on h-BN film, and suggests a new promising template for graphene device fabrication. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors.

    PubMed

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-11

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates-20 °C min -1 , 40 °C min -1 and 100 °C min -1 -to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min -1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs -1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g -1 -213.8 F g -1 at a voltage scan rate of 0.05 V s -1 . A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s -1 , for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min -1 .

  12. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    NASA Astrophysics Data System (ADS)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  13. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica flims, and hence such films would be desirable for this application.

  14. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica films, and hence such films would be desirable for this application.

  15. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  16. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  17. Paralinear Oxidation of CVD SiC in Water Vapor

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Hann, Raiford E., Jr.

    1997-01-01

    The oxidation kinetics of CVD SiC were monitored by thermogravimetric analysis (TGA) in a 50% H2O/50% O2 gas mixture flowing at 4.4 cm/s for temperatures between 1200 and 1400 C. Paralinear weight change kinetics were observed as the water vapor oxidized the SiC and simultaneously volatilized the silica scale. The long-term degradation rate of SiC is determined by the volatility of the silica scale. Rapid SiC surface recession rates were estimated from these data for actual aircraft engine combustor conditions.

  18. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    NASA Astrophysics Data System (ADS)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  19. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  20. Low-temperature-grown continuous graphene films from benzene by chemical vapor deposition at ambient pressure

    NASA Astrophysics Data System (ADS)

    Jang, Jisu; Son, Myungwoo; Chung, Sunki; Kim, Kihyeun; Cho, Chunhum; Lee, Byoung Hun; Ham, Moon-Ho

    2015-12-01

    There is significant interest in synthesizing large-area graphene films at low temperatures by chemical vapor deposition (CVD) for nanoelectronic and flexible device applications. However, to date, low-temperature CVD methods have suffered from lower surface coverage because micro-sized graphene flakes are produced. Here, we demonstrate a modified CVD technique for the production of large-area, continuous monolayer graphene films from benzene on Cu at 100-300 °C at ambient pressure. In this method, we extended the graphene growth step in the absence of residual oxidizing species by introducing pumping and purging cycles prior to growth. This led to continuous monolayer graphene films with full surface coverage and excellent quality, which were comparable to those achieved with high-temperature CVD; for example, the surface coverage, transmittance, and carrier mobilities of the graphene grown at 300 °C were 100%, 97.6%, and 1,900-2,500 cm2 V-1 s-1, respectively. In addition, the growth temperature was substantially reduced to as low as 100 °C, which is the lowest temperature reported to date for pristine graphene produced by CVD. Our modified CVD method is expected to allow the direct growth of graphene in device manufacturing processes for practical applications while keeping underlying devices intact.

  1. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  2. Development of CVD-W coatings on CuCrZr and graphite substrates with a PVD intermediate layer

    NASA Astrophysics Data System (ADS)

    Song, Jiupeng; Lian, Youyun; Lv, Yanwei; Liu, Junyong; Yu, Yang; Liu, Xiang; Yan, Binyou; Chen, Zhigang; Zhuang, Zhigang; Zhao, Ximeng; Qi, Yang

    2014-12-01

    In order to apply tungsten (W) coatings by chemical vapor deposition (CVD) for repairing or updating the plasma facing components (PFCs) of the first wall and divertor in existing or future tokomaks, where CuCrZr or graphite is the substrate material, an intermediate layer by physical vapor deposition (PVD) has been used to accommodate the interface stress due to the mismatch of thermal expansion or act as a diffusion barrier between the CVD-W coating and the substrate. The prepared CuCrZr/PVD-Cu/CVD-W sample with active cooling has passed thermal fatigue tests by electron beam with an absorbed power of 2.2 MW/m2, 50 s on/50 s off, for 100 cycles. Another graphite/PVD-Si/CVD-W sample without active cooling underwent thermal fatigue testing with an absorbed power density of 4.62 MW/m2, 5 s on/25 s off, for 200 cycles, and no catastrophic failure was found.

  3. Synthesis and Characterization of Tin(IV) Oxide Obtained by Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Nagirnyak, Svitlana V.; Lutz, Victoriya A.; Dontsova, Tatiana A.; Astrelin, Igor M.

    2016-07-01

    The effect of precursors on the characteristics of tin oxide obtained by chemical vapor deposition (CVD) method was investigated. The synthesis of nanosized tin(IV) oxide was carried out with the use of two different precursors: tin(II) oxalate obtained using tin chloride(II) and oxalic acid; tin(II) oxalate obtained using tin chloride(II); and ammonium oxalate. The synthesized tin(IV) oxide samples were studied by electron microscopy, X-ray diffraction and optical spectra. The lattice parameters of tin(IV) oxide samples were defined, the bandgap of samples were calculated.

  4. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    PubMed

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  5. On the possibility to grow zinc oxide-based transparent conducting oxide films by hot-wire chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abrutis, Adulfas, E-mail: adulfas.abrutis@chf.vu.lt; Silimavicus, Laimis; Kubilius, Virgaudas

    Hot-wire chemical vapor deposition (HW-CVD) was applied to grow zinc oxide (ZnO)-based transparent conducting oxide (TCO) films. Indium (In)-doped ZnO films were deposited using a cold wall pulsed liquid injection CVD system with three nichrome wires installed at a distance of 2 cm from the substrate holder. The wires were heated by an AC current in the range of 0–10 A. Zn and In 2,2,6,6-tetramethyl-3,5-heptanedionates dissolved in 1,2-dimethoxyethane were used as precursors. The hot wires had a marked effect on the growth rates of ZnO, In-doped ZnO, and In{sub 2}O{sub 3} films; at a current of 6–10 A, growth rates weremore » increased by a factor of ≈10–20 compared with those of traditional CVD at the same substrate temperature (400 °C). In-doped ZnO films with thickness of ≈150 nm deposited on sapphire-R grown at a wire current of 9 A exhibited a resistivity of ≈2 × 10{sup −3} Ωcm and transparency of >90% in the visible spectral range. These initial results reveal the potential of HW-CVD for the growth of TCOs.« less

  6. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  7. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  8. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  9. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  10. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  11. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  12. Modeling chemical vapor deposition of silicon dioxide in microreactors at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2015-01-01

    We developed a multiphysics mathematical model for simulation of silicon dioxide Chemical Vapor Deposition (CVD) from tetraethyl orthosilicate (TEOS) and oxygen mixture in a microreactor at atmospheric pressure. Microfluidics is a promising technology with numerous applications in chemical synthesis due to its high heat and mass transfer efficiency and well-controlled flow parameters. Experimental studies of CVD microreactor technology are slow and expensive. Analytical solution of the governing equations is impossible due to the complexity of intertwined non-linear physical and chemical processes. Computer simulation is the most effective tool for design and optimization of microreactors. Our computational fluid dynamics model employs mass, momentum and energy balance equations for a laminar transient flow of a chemically reacting gas mixture at low Reynolds number. Simulation results show the influence of microreactor configuration and process parameters on SiO2 deposition rate and uniformity. We simulated three microreactors with the central channel diameter of 5, 10, 20 micrometers, varying gas flow rate in the range of 5-100 microliters per hour and temperature in the range of 300-800 °C. For each microchannel diameter we found an optimal set of process parameters providing the best quality of deposited material. The model will be used for optimization of the microreactor configuration and technological parameters to facilitate the experimental stage of this research.

  13. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, andmore » few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.« less

  14. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    NASA Astrophysics Data System (ADS)

    Takacs, Peter Z.

    1982-04-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense X-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods.

  15. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  16. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  17. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  18. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    NASA Astrophysics Data System (ADS)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  19. Electrical property of macroscopic graphene composite fibers prepared by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sun, Haibin; Fu, Can; Gao, Yanli; Guo, Pengfei; Wang, Chunlei; Yang, Wenchao; Wang, Qishang; Zhang, Chongwu; Wang, Junya; Xu, Junqi

    2018-07-01

    Graphene fibers are promising candidates in portable and wearable electronics due to their tiny volume, flexibility and wearability. Here, we successfully synthesized macroscopic graphene composite fibers via a two-step process, i.e. first electrospinning and then chemical vapor deposition (CVD). Briefly, the well-dispersed PAN nanofibers were sprayed onto the copper surface in an electrified thin liquid jet by electrospinning. Subsequently, CVD growth process induced the formation of graphene films using a PAN-solid source of carbon and a copper catalyst. Finally, crumpled and macroscopic graphene composite fibers were obtained from carbon nanofiber/graphene composite webs by self-assembly process in the deionized water. Temperature-dependent conduct behavior reveals that electron transport of the graphene composite fibers belongs to hopping mechanism and the typical electrical conductivity reaches 4.59 × 103 S m‑1. These results demonstrated that the graphene composite fibers are promising for the next-generation flexible and wearable electronics.

  20. Electrical property of macroscopic graphene composite fibers prepared by chemical vapor deposition.

    PubMed

    Sun, Haibin; Fu, Can; Gao, Yanli; Guo, Pengfei; Wang, Chunlei; Yang, Wenchao; Wang, Qishang; Zhang, Chongwu; Wang, Junya; Xu, Junqi

    2018-07-27

    Graphene fibers are promising candidates in portable and wearable electronics due to their tiny volume, flexibility and wearability. Here, we successfully synthesized macroscopic graphene composite fibers via a two-step process, i.e. first electrospinning and then chemical vapor deposition (CVD). Briefly, the well-dispersed PAN nanofibers were sprayed onto the copper surface in an electrified thin liquid jet by electrospinning. Subsequently, CVD growth process induced the formation of graphene films using a PAN-solid source of carbon and a copper catalyst. Finally, crumpled and macroscopic graphene composite fibers were obtained from carbon nanofiber/graphene composite webs by self-assembly process in the deionized water. Temperature-dependent conduct behavior reveals that electron transport of the graphene composite fibers belongs to hopping mechanism and the typical electrical conductivity reaches 4.59 × 10 3 S m -1 . These results demonstrated that the graphene composite fibers are promising for the next-generation flexible and wearable electronics.

  1. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  2. Initiated chemical vapor deposited nanoadhesive for bonding National Ignition Facility's targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Tom

    Currently, the target fabrication scientists in National Ignition Facility Directorate at Lawrence Livermore National Laboratory (LLNL) is studying the propagation force resulted from laser impulses impacting a target. To best study this, they would like the adhesive used to glue the target substrates to be as thin as possible. The main objective of this research project is to create adhesive glue bonds for NIF’s targets that are ≤ 1 μm thick. Polyglycidylmethacrylate (PGMA) thin films were coated on various substrates using initiated chemical vapor deposition (iCVD). Film quality studies using white light interferometry reveal that the iCVD PGMA films weremore » smooth. The coated substrates were bonded at 150 °C under vacuum, with low inflow of Nitrogen. Success in bonding most of NIF’s mock targets at thicknesses ≤ 1 μm indicates that our process is feasible in bonding the real targets. Key parameters that are required for successful bonding were concluded from the bonding results. They include inert bonding atmosphere, sufficient contact between the PGMA films, and smooth substrates. Average bond strength of 0.60 MPa was obtained from mechanical shearing tests. The bonding failure mode of the sheared interfaces was observed to be cohesive. Future work on this project will include reattempt to bond silica aerogel to iCVD PGMA coated substrates, stabilize carbon nanotube forests with iCVD PGMA coating, and kinetics study of PGMA thermal crosslinking.« less

  3. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  4. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  5. Synthesis of high performance ceramic fibers by chemical vapor deposition for advanced metallics reinforcing

    NASA Technical Reports Server (NTRS)

    Revankar, Vithal; Hlavacek, Vladimir

    1991-01-01

    The chemical vapor deposition (CVD) synthesis of fibers capable of effectively reinforcing intermetallic matrices at elevated temperatures which can be used for potential applications in high temperature composite materials is described. This process was used due to its advantage over other fiber synthesis processes. It is extremely important to produce these fibers with good reproducible and controlled growth rates. However, the complex interplay of mass and energy transfer, blended with the fluid dynamics makes this a formidable task. The design and development of CVD reactor assembly and system to synthesize TiB2, CrB, B4C, and TiC fibers was performed. Residual thermal analysis for estimating stresses arising form thermal expansion mismatch were determined. Various techniques to improve the mechanical properties were also performed. Various techniques for improving the fiber properties were elaborated. The crystal structure and its orientation for TiB2 fiber is discussed. An overall view of the CVD process to develop CrB2, TiB2, and other high performance ceramic fibers is presented.

  6. High pressure studies using two-stage diamond micro-anvils grown by chemical vapor deposition

    DOE PAGES

    Vohra, Yogesh K.; Samudrala, Gopi K.; Moore, Samuel L.; ...

    2015-06-10

    Ultra-high static pressures have been achieved in the laboratory using a two-stage micro-ball nanodiamond anvils as well as a two-stage micro-paired diamond anvils machined using a focused ion-beam system. The two-stage diamond anvils’ designs implemented thus far suffer from a limitation of one diamond anvil sliding past another anvil at extreme conditions. We describe a new method of fabricating two-stage diamond micro-anvils using a tungsten mask on a standard diamond anvil followed by microwave plasma chemical vapor deposition (CVD) homoepitaxial diamond growth. A prototype two stage diamond anvil with 300 μm culet and with a CVD diamond second stage ofmore » 50 μm in diameter was fabricated. We have carried out preliminary high pressure X-ray diffraction studies on a sample of rare-earth metal lutetium sample with a copper pressure standard to 86 GPa. Furthermore, the micro-anvil grown by CVD remained intact during indentation of gasket as well as on decompression from the highest pressure of 86 GPa.« less

  7. Synthesis of Three-dimensional Polymer Nanostructures via Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Cheng, Kenneth

    Chemical vapor deposition (CVD) is a widely practiced methodology for preparing thin film polymer coatings, and the coatings can be applied to a broad range of materials, including three-dimensional solid structures and low-vapor pressure liquids. Reactive poly(p-xylylene) (PPX) coatings prepared by CVD can be used as a powerful tool for surface functionalization and bio-conjugation. The first portion of this dissertation serves to extend the use of CVD-based reactive PPX coatings as a surface functionalization strategy for the conjugation of biomolecules. Micro-structured PPX coatings having multiple surface reactive groups were fabricated. Multiple orthogonal click reactions were then employed to selectively immobilize galactose and mannobiose to the micro-structured polymer coatings. The presence of different types of carbohydrate enables lectins binding for examining ligands/cell receptor interactions. This dissertation also demonstrates the use of CVD-based reactive PPX coatings as intermediate layers to immobilize adenoviral vectors onto tissue scaffolds. The ability to tether adenoviral vectors on tissue scaffolds localizes the transduction near the scaffold surface and reduces acute toxicity and hepatic pathology cause by direct administration of the viral vector, providing a safe and efficient gene therapy delivery strategy. In the second portion of this dissertation, we explore the CVD of PPX onto surfaces coated with a thin layer of liquid crystal (LC). Instead of forming a conformal PPX coating encapsulating the LC layer, PPX assembled into an array of high-aspect ratio nanofibers inside the LC layer. The LC layer was demonstrated to act as a template where the anisotropic internal ordering of the LC facilitated the formation of nanofibers. The diameter of the nanofibers was in the range of 100 nm and could be tuned by type of LC template used, and the length of the nanofibers could be precisely controlled by varying the thickness of the LC film. The

  8. Making Ceramic Fibers By Chemical Vapor

    NASA Technical Reports Server (NTRS)

    Revankar, Vithal V. S.; Hlavacek, Vladimir

    1994-01-01

    Research and development of fabrication techniques for chemical vapor deposition (CVD) of ceramic fibers presented in two reports. Fibers of SiC, TiB2, TiC, B4C, and CrB2 intended for use as reinforcements in metal-matrix composite materials. CVD offers important advantages over other processes: fibers purer and stronger and processed at temperatures below melting points of constituent materials.

  9. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  10. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  11. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  12. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  13. The Oxidation of CVD Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynchGiao N.

    1997-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 100 and 500 hours at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic laws are discussed. Oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants typically found in combustion environments: oxygen and water vapor.

  14. Fabrication of Nanocarbon Composites Using In Situ Chemical Vapor Deposition and Their Applications.

    PubMed

    He, Chunnian; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; Li, Jiajun

    2015-09-23

    Nanocarbon (carbon nanotubes (CNTs) and graphene (GN)) composites attract considerable research interest due to their fascinating applications in many fields. Here, recent developments in the field of in situ chemical vapor deposition (CVD) for the design and controlled preparation of advanced nanocarbon composites are highlighted, specifically, CNT-reinforced bulk structural composites, as well as CNT, GN, and CNT/GN functional composites, together with their practical and potential applications. In situ CVD is a very attractive approach for the fabrication of composites because of its engaging features, such as its simplicity, low-cost, versatility, and tunability. The morphologies, structures, dispersion, and interface of the resulting nanocarbon composites can be easily modulated by varying the experimental parameters (such as temperature, catalysts, carbon sources, templates or template catalysts, etc.), which enables a great potential for the in situ synthesis of high-quality nanocarbons with tailored size and dimension for constructing high-performance composites, which has not yet been achieved by conventional methods. In addition, new trends of the in situ CVD toward nanocarbon composites are discussed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  16. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    PubMed

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  17. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caplan, M.; Olstad, R.; Jory, H.

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this projectmore » uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)« less

  18. Surface functionalization of 3D-printed plastics via initiated chemical vapor deposition

    PubMed Central

    Cheng, Christine

    2017-01-01

    3D printing is a useful fabrication technique because it offers design flexibility and rapid prototyping. The ability to functionalize the surfaces of 3D-printed objects allows the bulk properties, such as material strength or printability, to be chosen separately from surface properties, which is critical to expanding the breadth of 3D printing applications. In this work, we studied the ability of the initiated chemical vapor deposition (iCVD) process to coat 3D-printed shapes composed of poly(lactic acid) and acrylonitrile butadiene styrene. The thermally insulating properties of 3D-printed plastics pose a challenge to the iCVD process due to large thermal gradients along the structures during processing. In this study, processing parameters such as the substrate temperature and the filament temperature were systematically varied to understand how these parameters affect the uniformity of the coatings along the 3D-printed objects. The 3D-printed objects were coated with both hydrophobic and hydrophilic polymers. Contact angle goniometry and X-ray photoelectron spectroscopy were used to characterize the functionalized surfaces. Our results can enable the use of iCVD to functionalize 3D-printed materials for a range of applications such as tissue scaffolds and microfluidics. PMID:28875099

  19. Onset conditions for gas phase reaction and nucleation in the CVD of transition metal oxides

    NASA Technical Reports Server (NTRS)

    Collins, J.; Rosner, D. E.; Castillo, J.

    1992-01-01

    A combined experimental/theoretical study is presented of the onset conditions for gas phase reaction and particle nucleation in hot substrate/cold gas CVD of transition metal oxides. Homogeneous reaction onset conditions are predicted using a simple high activation energy reacting gas film theory. Experimental tests of the basic theory are underway using an axisymmetric impinging jet CVD reactor. No vapor phase ignition has yet been observed in the TiCl4/O2 system under accessible operating conditions (below substrate temperature Tw = 1700 K). The goal of this research is to provide CVD reactor design and operation guidelines for achieving acceptable deposit microstructures at the maximum deposition rate while simultaneously avoiding homogeneous reaction/nucleation and diffusional limitations.

  20. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  1. Controllable poly-crystalline bilayered and multilayered graphene film growth by reciprocal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae

    2015-06-01

    We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for

  2. CVD Growth of Carbon Nanotubes: Structure, Catalyst, and Growth

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance

    2003-01-01

    Carbon nanotubes (CNTs) exhibit extraordinary mechanical and unique electronic properties and hence have been receiving much attention in recent years for their potential in nanoelectronics, field emission devices, scanning probes, high strength composites and many more applications. Catalytic decomposition of hydrocarbon feedstock with the aid of supported transition metal catalysts - also known as chemical vapor deposition (CVD) - has become popular to produce single-walled and multi-walled nanotubes (SWNTs, MWNTs) and multiwalled nanofibers (MWNFs). The ability to grow CNTs on patterned substrates and in vertically aligned arrays, and the simplicity of the process, has made CVD growth of CNTs an attractive approach.

  3. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    NASA Astrophysics Data System (ADS)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  4. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  5. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  6. Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Merkulov, V. I.; Lowndes, D. H.

    2001-03-01

    The correlation between prepatterned catalyst film thickness and carbon nanotube (CNT) growth by selective area chemical vapor deposition (CVD) was studied using Fe and Ni as catalyst. To eliminate sample-to-sample variations and create a growth environment in which the film thickness is the sole variable, samples with continuously changing catalyst film thickness from 0 to 60 nm were fabricated by electron-gun evaporation. Using thermal CVD CNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature. There appears to be no strong correlation between the film thickness and the diameter of the tubes. In contrast, using plasma enhanced CVD with Ni as catalyst, vertically oriented CNTs grow in the entire range of catalyst film thickness. The diameter of these CNTs shows a strong correlation with the catalyst film thickness. The significance of these experimental trends is discussed within the framework of the diffusion model for CNT growth.

  7. Fabrication of efficient planar perovskite solar cells using a one-step chemical vapor deposition method

    PubMed Central

    Tavakoli, Mohammad Mahdi; Gu, Leilei; Gao, Yuan; Reckmeier, Claas; He, Jin; Rogach, Andrey L.; Yao, Yan; Fan, Zhiyong

    2015-01-01

    Organometallic trihalide perovskites are promising materials for photovoltaic applications, which have demonstrated a rapid rise in photovoltaic performance in a short period of time. We report a facile one-step method to fabricate planar heterojunction perovskite solar cells by chemical vapor deposition (CVD), with a solar power conversion efficiency of up to 11.1%. We performed a systematic optimization of CVD parameters such as temperature and growth time to obtain high quality films of CH3NH3PbI3 and CH3NH3PbI3-xClx perovskite. Scanning electron microscopy and time resolved photoluminescence data showed that the perovskite films have a large grain size of more than 1 micrometer, and carrier life-times of 10 ns and 120 ns for CH3NH3PbI3 and CH3NH3PbI3-xClx, respectively. This is the first demonstration of a highly efficient perovskite solar cell using one step CVD and there is likely room for significant improvement of device efficiency. PMID:26392200

  8. Influence of Alumina Reaction Tube Impurities on the Oxidation of Chemically-Vapor-Deposited Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth

    1995-01-01

    Pure coupons of chemically vapor deposited (CVD) SiC were oxidized for 100 h in dry flowing oxygen at 1300 C. The oxidation kinetics were monitored using thermogravimetry (TGA). The experiments were first performed using high-purity alumina reaction tubes. The experiments were then repeated using fused quartz reaction tubes. Differences in oxidation kinetics, scale composition, and scale morphology were observed. These differences were attributed to impurities in the alumina tubes. Investigators interested in high-temperature oxidation of silica formers should be aware that high-purity alumina can have significant effects on experiment results.

  9. Porous tungsten prepared by atmospheric-pressure chemical vapor deposition with WF6 and its characterization

    NASA Astrophysics Data System (ADS)

    Li, Ying; Yu, Xiaodong; Tan, Chengwen; Wang, Fuchi; Ma, Honglei; Yue, Jintao

    2017-05-01

    Porous tungsten (W) is used in aeronautic and aerospace engineering, power electronics field and metallurgical industry. In this study, porous W with 98wt% W was prepared on a carbon foam substrate by atmospheric-pressure chemical vapor deposition (CVD) with tungsten fluoride (WF6) as the precursor. The porous W with 78.1346% porosity displayed a pure α-W phase and the uniform surface. The mode pore diameter of porous W is 208.0 µm. In a compression test, the fracture strength of porous W is 20.3 MPa.

  10. Variability in Chemical Vapor Deposited Zinc Sulfide: Assessment of Legacy and International CVD ZnS Materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Korenstein, Ralph

    2009-10-06

    Samples of CVD ZnS from the United States, Germany, Israel, and China were evaluated using transmission spectroscopy, x-ray diffraction, photoluminescence, and biaxial flexure testing. Visible and near-infrared scattering, 6 μm absorption, and ultraviolet cut-on edge varied substantially in tested materials. Crystallographic hexagonality and texture was determined and correlated with optical scattering. Transmission cut-on (ultraviolet edge) blue-shifts with annealing and corresponds to visible color but not the 6 μm absorption. Photoluminescence results suggest that CVD ZnS exhibits a complex suite of electronic bandgap defects. All CVD ZnS tested with biaxial flexure exhibit similar fracture strength values and Weibull moduli. This surveymore » suggests that technical understanding of the structure and optical properties CVD ZnS is still in its infancy.« less

  11. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  12. Development of CVD mullite coatings for Si-based ceramics

    NASA Astrophysics Data System (ADS)

    Auger, Michael Lawrence

    1999-09-01

    To raise fuel efficiencies, the next generation of engines and fuel systems must be lighter and operate at higher temperatures. Ceramic-based materials, which are considerably lighter than metals and can withstand working temperatures of up to 1400sp°C, have been targeted to replace traditional metal-based components. The materials used in combustion environments must also be capable of withstanding erosion and corrosion caused by combustion gases, particulates, and deposit-forming corrodants. With these demanding criteria, silicon-based ceramics are the leading candidate materials for high temperature engine and heat exchanger structural components. However, these materials are limited in gaseous environments and in the presence of molten salts since they form liquid silicates on exposed surfaces at temperatures as low as 800sp°C. Protective coatings that can withstand higher operating temperatures and corrosive atmospheres must be developed for silicon-based ceramics. Mullite (3Alsb2Osb3{*}2SiOsb2) was targeted as a potential coating material due to its unique ability to resist corrosion, retain its strength, resist creep, and avoid thermal shock failure at elevated temperatures. Several attempts to deposit mullite coatings by various processing methods have met with limited success and usually resulted in coatings that have had pores, cracks, poor adherence, and required thermal post-treatments. To overcome these deficiencies, the direct formation of chemically vapor deposited (CVD) mullite coatings has been developed. CVD is a high temperature atomistic deposition technique that results in dense, adherent crystalline coatings. The object of this dissertation was to further the understanding of the CVD mullite deposition process and resultant coating. The kinetics of CVD mullite deposition were investigated as a function of the following process parameters: temperature, pressure, and the deposition reactor system. An empirical kinetic model was developed

  13. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  14. Nanoscale arrays of antimony telluride single crystals by selective chemical vapor deposition

    PubMed Central

    Huang, Ruomeng; Benjamin, Sophie L.; Gurnani, Chitra; Wang, Yudong; Hector, Andrew L.; Levason, William; Reid, Gillian; De Groot, C. H. (Kees)

    2016-01-01

    Arrays of individual single nanocrystals of Sb2Te3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor. Crystals are self-assembled reproducibly in confined spaces of 100 nm diameter with pitch down to 500 nm. The distribution of crystallite sizes across the arrays is very narrow (standard deviation of 15%) and is affected by both the hole diameter and the array pitch. The preferred growth of the crystals in the <1 1 0> orientation along the diagonal of the square holes strongly indicates that the diffusion of adatoms results in a near thermodynamic equilibrium growth mechanism of the nuclei. A clear relationship between electrical resistivity and selectivity is established across a range of metal selenides and tellurides, showing that conductive materials result in more selective growth and suggesting that electron donation is of critical importance for selective deposition. PMID:27283116

  15. Strain transfer across grain boundaries in MoS2 monolayers grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niehues, Iris; Blob, Anna; Stiehm, Torsten; Schmidt, Robert; Jadriško, Valentino; Radatović, Borna; Čapeta, Davor; Kralj, Marko; Michaelis de Vasconcellos, Steffen; Bratschitsch, Rudolf

    2018-07-01

    Monolayers of transition metal dichalcogenides (TMDC) mechanically exfoliated from bulk crystals have exceptional mechanical and optical properties. They are extremely flexible, sustaining mechanical strain of about 10% without breaking. Their optical properties dramatically change with applied strain. However, the fabrication of a large number of mechanical devices is tedious due to the micromechanical exfoliation process. Alternatively, monolayers can be grown by chemical vapor deposition (CVD) on the wafer scale, with the drawback of cracks and grain boundaries in the material. Therefore, it is important to investigate the mechanical properties of CVD-grown material and its potential as a material for mass production of nanomechanical devices. Here, we measure the optical absorption of CVD-grown MoS2 monolayers with applied uniaxial tensile strain. We derive a strain-dependent shift for the A exciton of  ‑42 meV/%. This value is identical to MoS2 monolayers, which are mechanically exfoliated from natural molybdenite crystals. Using angle-resolved second-harmonic generation spectroscopy, we find that the applied uniaxial tensile strain is fully transferred across grain boundaries of the CVD-grown monolayer. Our work demonstrates that large-area artificially grown MoS2 monolayers are promising for mass-produced nanomechanical devices.

  16. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    NASA Astrophysics Data System (ADS)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials.Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary

  17. Photochemical CVD of Ru on functionalized self-assembled monolayers from organometallic precursors

    NASA Astrophysics Data System (ADS)

    Johnson, Kelsea R.; Arevalo Rodriguez, Paul; Brewer, Christopher R.; Brannaka, Joseph A.; Shi, Zhiwei; Yang, Jing; Salazar, Bryan; McElwee-White, Lisa; Walker, Amy V.

    2017-02-01

    Chemical vapor deposition (CVD) is an attractive technique for the metallization of organic thin films because it is selective and the thickness of the deposited film can easily be controlled. However, thermal CVD processes often require high temperatures which are generally incompatible with organic films. In this paper, we perform proof-of-concept studies of photochemical CVD to metallize organic thin films. In this method, a precursor undergoes photolytic decomposition to generate thermally labile intermediates prior to adsorption on the sample. Three readily available Ru precursors, CpRu(CO)2Me, (η3-allyl)Ru(CO)3Br, and (COT)Ru(CO)3, were employed to investigate the role of precursor quantum yield, ligand chemistry, and the Ru oxidation state on the deposition. To investigate the role of the substrate chemistry on deposition, carboxylic acid-, hydroxyl-, and methyl-terminated self-assembled monolayers were used. The data indicate that moderate quantum yields for ligand loss (φ ≥ 0.4) are required for ruthenium deposition, and the deposition is wavelength dependent. Second, anionic polyhapto ligands such as cyclopentadienyl and allyl are more difficult to remove than carbonyls, halides, and alkyls. Third, in contrast to the atomic layer deposition, acid-base reactions between the precursor and the substrate are more effective for deposition than nucleophilic reactions. Finally, the data suggest that selective deposition can be achieved on organic thin films by judicious choice of precursor and functional groups present on the substrate. These studies thus provide guidelines for the rational design of new precursors specifically for selective photochemical CVD on organic substrates.

  18. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  19. Recent Results with CVD Diamond Trackers

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm 2 diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 μs shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm 2 diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  20. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene.

    PubMed

    Weng, Jiaxin; Zhao, Shichao; Li, Zhiting; Ricardo, Karen B; Zhou, Feng; Kim, Hyojeong; Liu, Haitao

    2017-10-19

    Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD)-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN) was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  1. Atmospheric-Pressure Chemical Vapor Deposition of Iron Pyrite Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berry, Nicholas; Cheng, Ming; Perkins, Craig L.

    2012-10-23

    Iron pyrite (cubic FeS{sub 2}) is a promising candidate absorber material for earth-abundant thin-film solar cells. In this report, single-phase, large-grain, and uniform polycrystalline pyrite thin films are fabricated on glass and molybdenum-coated glass substrates by atmospheric-pressure chemical vapor deposition (AP-CVD) using the reaction of iron(III) acetylacetonate and tert-butyl disulfide in argon at 300 C, followed by sulfur annealing at 500--550 C to convert marcasite impurities to pyrite. The pyrite-marcasite phase composition depends strongly on the concentration of sodium in the growth substrate and the sulfur partial pressure during annealing. Phase and elemental composition of the films are characterized bymore » X-ray diffraction, Raman spectroscopy, Auger electron spectroscopy, secondary ion mass spectrometry, Rutherford backscattering spectrometry, and X-ray photoelectron spectroscopy. The in-plane electrical properties are surprisingly insensitive to phase and elemental impurities, with all films showing p-type, thermally activated transport with a small activation energy ({approx}30 meV), a room- temperature resistivity of {approx}1 {Omega} cm, and low mobility. These ubiquitous electrical properties may result from robust surface effects. These CVD pyrite thin films are well suited to fundamental electrical studies and the fabrication of pyrite photovoltaic device stacks.« less

  2. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  3. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. Chemical vapor deposition of mesoporous graphene nanoballs for supercapacitor.

    PubMed

    Lee, Jung-Soo; Kim, Sun-I; Yoon, Jong-Chul; Jang, Ji-Hyun

    2013-07-23

    A mass-producible mesoporous graphene nanoball (MGB) was fabricated via a precursor-assisted chemical vapor deposition (CVD) technique for supercapacitor application. Polystyrene balls and reduced iron created under high temperature and a hydrogen gas environment provide a solid carbon source and a catalyst for graphene growth during the precursor-assisted CVD process, respectively. Carboxylic acid and sulfonic acid functionalization of the polystyrene ball facilitates homogeneous dispersion of the hydrophobic polymer template in the metal precursor solution, thus, resulting in a MGB with a uniform number of graphene layers. The MGB is shown to have a specific surface area of 508 m(2)/g and is mesoporous with a mean mesopore diameter of 4.27 nm. Mesopores are generated by the removal of agglomerated iron domains, permeating down through the soft polystyrene spheres and providing the surface for subsequent graphene growth during the heating process in a hydrogen environment. This technique requires only drop-casting of the precursor/polystyrene solution, allowing for mass-production of multilayer MGBs. The supercapacitor fabricated by the use of the MGB as an electrode demonstrates a specific capacitance of 206 F/g and more than 96% retention of capacitance after 10,000 cycles. The outstanding characteristics of the MGB as an electrode for supercapacitors verify the strong potential for use in energy-related areas.

  5. Surface-texture evolution of different chemical-vapor-deposited zinc sulfide flats polished with various magnetorheological fluids

    DOE PAGES

    Salzman, S.; Romanofsky, H. J.; Jacobs, S. D.; ...

    2015-08-19

    The macro-structure of chemical-vapor-deposited (CVD) zinc sulfide (ZnS) substrates is characterizedby cone-like structures that start growing at the early stages of deposition. As deposition progresses,these cones grow larger and reach centimeter size in height and millimeter size in width. It is challengingto polish out these features from the top layer, particularly for the magnetorheological finishing (MRF)process. A conventional MR fluid tends to leave submillimeter surface artifacts on the finished surface,which is a direct result of the cone-like structure. Here we describe the MRF process of polishing four CVD ZnS substrates, manufactured by four differentvendors, with conventional MR fluid at pHmore » 10 and zirconia-coated-CI (carbonyl iron) MR fluids at pH 4, 5,and 6. We report on the surface–texture evolution of the substrates as they were MRF polished with thedifferent fluids. We show that performances of the zirconia-coated-CI MR fluid at pH 4 are significantlyhigher than that of the same fluid at pH levels of 5 and 6 and moderately higher than that of a conventionalMR fluid at pH 10. An improvement in surface–texture variability from part to part was also observedwith the pH 4 MR fluid.« less

  6. Chemical vapor deposition on chabazite (CHA) zeolite membranes for effective post-combustion CO2 capture.

    PubMed

    Kim, Eunjoo; Lee, Taehee; Kim, Hyungmin; Jung, Won-Jin; Han, Doug-Young; Baik, Hionsuck; Choi, Nakwon; Choi, Jungkyu

    2014-12-16

    Chabazite (CHA) zeolites with a pore size of 0.37 × 0.42 nm(2) are expected to separate CO2 (0.33 nm) from larger N2 (0.364 nm) in postcombustion flue gases by recognizing their minute size differences. Furthermore, the hydrophobic siliceous constituent in CHA membranes can allow for maintaining the CO2/N2 separation performance in the presence of H2O in contrast with the CO2 affinity-based membranes. In an attempt to increase the molecular sieving ability, the pore mouth size of all silica CHA (Si-CHA) particles was reduced via the chemical vapor deposition (CVD) of a silica precursor (tetraethyl orthosilicate). Accordingly, an increase of the CVD treatment duration decreased the penetration rate of CO2 into the CVD-treated Si-CHA particles. Furthermore, the CVD process was applied to siliceous CHA membranes in order to improve their CO2/N2 separation performance. Compared to the intact CHA membranes, the CO2/N2 maximum separation factor (max SF) for CVD-treated CHA membranes was increased by ∼ 2 fold under dry conditions. More desirably, the CO2/N2 max SF was increased by ∼ 3 fold under wet conditions at ∼ 50 °C, a representative temperature of the flue gas stream. In fact, the presence of H2O in the feed disfavored the permeation of N2 more than that of CO2 through CVD-modified CHA membranes and thus, contributed to the increased CO2/N2 separation factor.

  7. Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications

    NASA Astrophysics Data System (ADS)

    Faÿ, S.; Shah, A.

    Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.

  8. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  9. Chemical vapor deposition of aluminum oxide

    DOEpatents

    Gordon, Roy; Kramer, Keith; Liu, Xinye

    2000-01-01

    An aluminum oxide film is deposited on a heated substrate by CVD from one or more alkylaluminum alkoxide compounds having composition R.sub.n Al.sub.2 (OR').sub.6-n, wherein R and R' are alkyl groups and n is in the range of 1 to 5.

  10. iCVD Cyclic Polysiloxane and Polysilazane as Nanoscale Thin-Film Electrolyte: Synthesis and Properties.

    PubMed

    Chen, Nan; Reeja-Jayan, B; Liu, Andong; Lau, Jonathan; Dunn, Bruce; Gleason, Karen K

    2016-03-01

    A group of crosslinked cyclic siloxane (Si-O) and silazane (Si-N) polymers are synthesized via solvent-free initiated chemical vapor deposition (iCVD). Notably, this is the first report of cyclic polysilazanes synthesized via the gas-phase iCVD method. The deposited nanoscale thin films are thermally stable and chemically inert. By iCVD, they can uniformly and conformally cover nonplanar surfaces having complex geometry. Although polysiloxanes are traditionally utilized as dielectric materials and insulators, our research shows these cyclic organosilicon polymers can conduct lithium ions (Li(+) ) at room temperature. The conformal coating and the room temperature ionic conductivity make these cyclic organosilicon polymers attractive for use as thin-film electrolytes in solid-state batteries. Also, their synthesis process and properties have been systemically studied and discussed. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  12. Time dependent 14 MeV neutrons measurement using a polycrystalline chemical vapor deposited diamond detector at the JET tokamak

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angelone, M.; Pillon, M.; Bertalot, L.

    A polycrystalline chemical vapor deposited (CVD) diamond detector was installed on a JET tokamak in order to monitor the time dependent 14 MeV neutron emission produced by D-T plasma pulses during the Trace Tritium Experiment (TTE) performed in October 2003. This was the first tentative ever attempted to use a CVD diamond detector as neutron monitor in a tokamak environment. Despite its small active volume, the detector was able to detect the 14 MeV neutron emission (>1.0x10{sup 15} n/shot) with good reliability and stability during the experimental campaign that lasted five weeks. The comparison with standard silicon detectors presently usedmore » at JET as 14 MeV neutron monitors is reported, showing excellent correlation between the measurements. The results prove that CVD diamond detectors can be reliably used in a tokamak environment and therefore confirm the potential of this technology for next step machines like ITER.« less

  13. Graphitized hollow carbon spheres and yolk-structured carbon spheres fabricated by metal-catalyst-free chemical vapor deposition

    DOE PAGES

    Li, Xufan; Chi, Miaofang; Mahurin, Shannon Mark; ...

    2016-01-18

    Hard-sphere-templating method has been widely used to synthesize hollow carbon spheres (HCSs), in which the spheres were firstly coated with a carbon precursor, followed by carbonization and core removal. The obtained HCSs are generally amorphous or weakly graphitized (with the help of graphitization catalysts). In this work, we report on the fabrication of graphitized HCSs and yolk–shell Au@HCS nanostructures using a modified templating method, in which smooth, uniform graphene layers were grown on SiO 2 spheres or Au@SiO 2 nanoparticles via metal-catalyst-free chemical vapor deposition (CVD) of methane. Furthermore, our work not only provides a new method to fabricate high-quality,more » graphitized HCSs but also demonstrates a reliable approach to grow quality graphene on oxide surfaces using CVD without the presence of metal catalysts.« less

  14. An efficient synthesis of graphenated carbon nanotubes over the tailored mesoporous molecular sieves by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Atchudan, R.; Department of Chemistry, CEG Campus, Anna University, Chennai 600025; Joo, Jin., E-mail: joojin@knu.ac.kr

    2013-06-01

    Highlights: ► Tailored 3D cubic Ni/KIT-6 with large pores was synthesized successfully. ► The new hybrid g-CNTs in large scale were synthesized using Ni/KIT-6 by CVD method. ► The use of mesoporous material by CVD method would be an ideal choice to prepare g-CNTs at reasonable cost. ► This type of g-CNTs might be a new avenue for nano-electronic applications. - Abstract: The new hybrid of graphenated carbon nanotubes (g-CNTs) was superior to either CNTs or graphene. Mesoporous 3D cubic Ni/KIT-6 were synthesized hydrothermally through organic template route and then were used as catalytic template for the production of g-CNTsmore » using acetylene as a carbon precursor by chemical vapor deposition (CVD) method. The deposited new hybrid carbon materials were purified and analyzed by various physico-chemical techniques such as XRD, TGA, SEM, TEM and Raman spectroscopy techniques. The graphitization of CNTs was confirmed by TGA and HRTEM studies. Thermal stability, surface morphology, and structural morphology of these materials were revealed by TGA, SEM and TEM analysis, respectively. Moreover, the tailored mesoporous Ni/KIT-6 molecular sieves were found to possess better quality and massive quantity of g-CNTs produced compared to other catalytic template route.« less

  15. Chemical Vapor Deposition Synthesis of Graphene-Based Materials and Chemical Modulation of Graphene Electronics

    NASA Astrophysics Data System (ADS)

    Yan, Zheng

    Graphene, a two-dimensional sp2-bonded carbon material, has attracted enormous attention due to its excellent electrical, optical and mechanical properties. Recently developed chemical vapor deposition (CVD) methods could produce large-size and uniform polycrystalline graphene films, limited to gas carbon sources, metal catalyst substrates and degraded properties induced by grain boundaries. Meanwhile, pristine monolayer graphene exhibits a standard ambipolar behavior with a zero neutrality point in field-effect transistors (FETs), limiting its future electronic applications. This thesis starts with the investigation of CVD synthesis of pristine and N-doped graphene with controlled thickness using solid carbon sources on metal catalyst substrates (chapter 1), and then discusses the direct growth of bilayer graphene on insulating substrates, including SiO2, h-BN, Si3N4 and Al2O3, without needing further transfer-process (chapter 2). Chapter 3 discusses the synthesis of high-quality graphene single crystals and hexagonal onion-ring-like graphene domains, and also explores the basic growth mechanism of graphene on Cu substrates. To extend graphene's potential applications, both vertical and planar graphene-carbon nanotube hybrids are fabricated using CVD method and their interesting properties are investigated (chapter 4). Chapter 5 discusses how to use chemical methods to modulate graphene's electronic behaviors.

  16. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  17. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  18. Advanced zirconia-coated carbonyl-iron particles for acidic magnetorheological finishing of chemical-vapor-deposited ZnS and other IR materials

    NASA Astrophysics Data System (ADS)

    Salzman, S.; Giannechini, L. J.; Romanofsky, H. J.; Golini, N.; Taylor, B.; Jacobs, S. D.; Lambropoulos, J. C.

    2015-10-01

    We present a modified version of zirconia-coated carbonyl-iron (CI) particles that were invented at the University of Rochester in 2008. The amount of zirconia on the coating is increased to further protect the iron particles from corrosion when introduced to an acidic environment. Five low-pH, magnetorheological (MR) fluids were made with five acids: acetic, hydrochloric, nitric, phosphoric, and hydrofluoric. All fluids were based on the modified zirconia-coated CI particles. Off-line viscosity and pH stability were measured for all acidic MR fluids to determine the ideal fluid composition for acidic MR finishing of chemical-vapor-deposited (CVD) zinc sulfide (ZnS) and other infrared (IR) optical materials, such as hot-isostatic-pressed (HIP) ZnS, CVD zinc selenide (ZnSe), and magnesium fluoride (MgF2). Results show significant reduction in surface artifacts (millimeter-size, pebble-like structures on the finished surface) for several standard-grade CVD ZnS substrates and good surface roughness for the non-CVD MgF2 substrate when MR finished with our advanced acidic MR fluid.

  19. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    DOE PAGES

    Campo, Teresa; Cotto, María; Márquez, Francisco; ...

    2016-03-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plasma blue flash is required for obtaining graphene flakes. The synthesized graphene was characterized by different techniques, showing the presence of non-oxidized graphenemore » with high purity.« less

  20. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  1. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference inmore » the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.« less

  2. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    NASA Astrophysics Data System (ADS)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  3. Alternative group V precursors for CVD applications

    NASA Astrophysics Data System (ADS)

    Lum, R. M.; Klingert, J. K.

    1991-01-01

    The chemical vapor deposition (CVD) techniques used to grow III/V semiconductors films, such as metalorganic vapor phase epitaxy (MOVPE), hydride VPE, chemical beam epitaxy (CBE) and gas source molecular beam epitaxy (GS-MBE), all use hydrides (AsH 3 and PH 3) as the Group V source. However, the hydrides are extremely toxic gases which are stored under high pressure (200-2000 psi). To reduce the safety hazards associated with these gases, alternative Group V precursors have been investigated. Organoarsenic and phosphorous compounds have received the most attention as replacements for AsH 3 and PH 3 because they are typically low vapor pressure liquids, and thus present significantly lower exposure risks than the hydrides. For AsH 3 these have included the methyl, ethyl and butyl-based derivatives RnAsH 3- n, with varying degrees ( n = 1-3) of hydrogen atom substitution. In this paper the growth properties, thermochemistry and toxicity of the various alkylarsine precursors are compared with arsine. Data are presented on the impact of the thermochemistry of these compounds on film electrical properties, and on the effects of precursor composition and purity on overall film quality. The suitability of alternative As-precursors for device applications is demonstrated, and selection criteria are presented for the most effective alkylarsine compound for a particular CVD growth process.

  4. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  5. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  6. Graphene-based quantum Hall resistance standards grown by chemical vapor deposition on silicon carbide

    NASA Astrophysics Data System (ADS)

    Ribeiro-Palau, Rebeca; Lafont, Fabien; Kazazis, Dimitris; Michon, Adrien; Couturaud, Olivier; Consejo, Christophe; Jouault, Benoit; Poirier, Wilfrid; Schopfer, Felicien

    2015-03-01

    Replace GaAs-based quantum Hall resistance standards (GaAs-QHRS) by a more convenient one, based on graphene (Gr-QHRS), is an ongoing goal in metrology. The new Gr-QHRS are expected to work in less demanding experimental conditions than GaAs ones. It will open the way to a broad dissemination of quantum standards, potentially towards industrial end-users, and it will support the implementation of a new International System of Units based on fixed fundamental constants. Here, we present accurate quantum Hall resistance measurements in large graphene Hall bars, grown by the hybrid scalable technique of propane/hydrogen chemical vapor deposition (CVD) on silicon carbide (SiC). This new Gr-QHRS shows a relative accuracy of 1 ×10-9 of the Hall resistance under the lowest magnetic field ever achieved in graphene. These experimental conditions surpass those of the most wildely used GaAs-QHRS. These results confirm the promises of graphene for resistance metrology applications and emphasizes the quality of the graphene produced by the CVD on SiC for applications as demanding as the resistance metrology.

  7. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gong, Yansheng; Tu, Rong, E-mail: turong@whut.edu.cn; Goto, Takashi

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakismore » (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.« less

  8. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  9. CVD of silicon carbide on structural fibers - Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  10. CVD of silicon carbide on structural fibers: Microstructure and composition

    NASA Technical Reports Server (NTRS)

    Veitch, Lisa C.; Terepka, Francis M.; Gokoglu, Suleyman A.

    1992-01-01

    Structural fibers are currently being considered as reinforcements for intermetallic and ceramic materials. Some of these fibers, however, are easily degraded in a high temperature oxidative environment. Therefore, coatings are needed to protect the fibers from environmental attack. Silicon carbide (SiC) was chemically vapor deposited (CVD) on Textron's SCS6 fibers. Fiber temperatures ranging from 1350 to 1500 C were studied. Silane (SiH4) and propane (C2H8) were used for the source gases and different concentrations of these source gases were studied. Deposition rates were determined for each group of fibers at different temperatures. Less variation in deposition rates were observed for the dilute source gas experiments than the concentrated source gas experiments. A careful analysis was performed on the stoichiometry of the CVD SiC coating using electron microprobe. Microstructures for the different conditions were compared. At 1350 C, the microstructures were similar; however, at higher temperatures, the microstructure for the more concentrated source gas group were porous and columnar in comparison to the cross sections taken from the same area for the dilute source gas group.

  11. Growth of InAs NWs with controlled morphology by CVD

    NASA Astrophysics Data System (ADS)

    Huang, Y. S.; Li, M.; Wang, J.; Xing, Y.; Xu, H. Q.

    2017-06-01

    We report on the growth of single crystal InAs NWs on Si/SiOx substrates by chemical vapor deposition (CVD). By adjusting growth parameters, the diameters, morphology, length and the proportion of superlattice ZB InAs NWs (NWs) can be controlled on a Si/SiOx substrate. Our work provides a low-cost route to grow and phase-engineer single crystal InAs NWs for a wide range of potential applications.

  12. Spray CVD for Making Solar-Cell Absorber Layers

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Harris, Jerry; Jin, Michael H.; Hepp, Aloysius

    2007-01-01

    Spray chemical vapor deposition (spray CVD) processes of a special type have been investigated for use in making CuInS2 absorber layers of thin-film solar photovoltaic cells from either of two subclasses of precursor compounds: [(PBu3) 2Cu(SEt)2In(SEt)2] or [(PPh3)2Cu(SEt)2 In(SEt)2]. The CuInS2 films produced in the experiments have been characterized by x-ray diffraction, scanning electron microscopy, energy-dispersive spectroscopy, and four-point-probe electrical tests.

  13. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  14. CVD-Enabled Graphene Manufacture and Technology

    PubMed Central

    2015-01-01

    Integrated manufacturing is arguably the most challenging task in the development of technology based on graphene and other 2D materials, particularly with regard to the industrial demand for “electronic-grade” large-area films. In order to control the structure and properties of these materials at the monolayer level, their nucleation, growth and interfacing needs to be understood to a level of unprecedented detail compared to existing thin film or bulk materials. Chemical vapor deposition (CVD) has emerged as the most versatile and promising technique to develop graphene and 2D material films into industrial device materials and this Perspective outlines recent progress, trends, and emerging CVD processing pathways. A key focus is the emerging understanding of the underlying growth mechanisms, in particular on the role of the required catalytic growth substrate, which brings together the latest progress in the fields of heterogeneous catalysis and classic crystal/thin-film growth. PMID:26240694

  15. Chemical vapor deposition: Stable carbons from low-rank coals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, R.K.; Kulas, R.W.; Olson, E.S.

    1996-12-31

    A chemical vapor deposition (CVD) technique has been used to increase the oxidative stability of activated carbons. Activated carbons prepared from Gascoyne lignite (North Dakota) by thermal or potassium hydroxide activations were subjected to BCI, in helium at 727{degrees}C with or without benzene for a limited period of time, followed by annealing in helium at 900{degrees}C for three days. Untreated and acid-washed coal samples were used to assess the magnitude of the effect of mineral matter in the coal on the boron coating. The oxidative stability of the boron-modified carbons was determined from the decomposition curves obtained from the thermogravimetricmore » analysis. Modification of the as-received, KOH-treated carbon yielded oxidatively stable carbons up to an initial temperature of 520{degrees}C, compared to about 350{degrees}C for the starting material. Similar results were obtained for the carbonized Gascoyne lignite. Sulfurous acid washing of the Gascoyne significantly enhanced the thermal stability (600{degrees}C) of the boron-modified carbon.« less

  16. Calculation of residual principal stresses in CVD boron on carbon filaments

    NASA Technical Reports Server (NTRS)

    Behrendt, D. R.

    1980-01-01

    A three-dimensional finite element model of the chemical vapor deposition (CVD) of boron on a carbon substrate (B/C) is developed. The model includes an expansion of the boron after deposition due to atomic rearrangement and includes creep of the boron and carbon. Curves are presented to show how the principal residual stresses and the filament elongation vary as the parameters defining deposition strain and creep are varied. The calculated results are compared with experimental axial residual stress and elongation measurements made on B/C filaments. This comparison requires that for good agreement between calculated and experimental results, the deposited boron must continue to expand after deposition, and that the build-up of residual stresses is limited by significant boron and carbon creep rates.

  17. Chemical Vapor-Deposited Hexagonal Boron Nitride as a Scalable Template for High-Performance Organic Field-Effect Transistors

    DOE PAGES

    Lee, Tae Hoon; Kim, Kwanpyo; Kim, Gwangwoo; ...

    2017-02-27

    Organic field-effect transistors have attracted much attention because of their potential use in low-cost, large-area, flexible electronics. High-performance organic transistors require a low density of grain boundaries in their organic films and a decrease in the charge trap density at the semiconductor–dielectric interface for efficient charge transport. In this respect, the role of the dielectric material is crucial because it primarily determines the growth of the film and the interfacial trap density. Here, we demonstrate the use of chemical vapor-deposited hexagonal boron nitride (CVD h-BN) as a scalable growth template/dielectric for high-performance organic field-effect transistors. The field-effect transistors based onmore » C60 films grown on single-layer CVD h-BN exhibit an average mobility of 1.7 cm 2 V –1 s –1 and a maximal mobility of 2.9 cm 2 V –1 s –1 with on/off ratios of 10 7. The structural and morphology analysis shows that the epitaxial, two-dimensional growth of C 60 on CVD h-BN is mainly responsible for the superior charge transport behavior. In conclusion, we believe that CVD h-BN can serve as a growth template for various organic semiconductors, allowing the development of large-area, high-performance flexible electronics.« less

  18. Chemical Vapor-Deposited Hexagonal Boron Nitride as a Scalable Template for High-Performance Organic Field-Effect Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Tae Hoon; Kim, Kwanpyo; Kim, Gwangwoo

    Organic field-effect transistors have attracted much attention because of their potential use in low-cost, large-area, flexible electronics. High-performance organic transistors require a low density of grain boundaries in their organic films and a decrease in the charge trap density at the semiconductor–dielectric interface for efficient charge transport. In this respect, the role of the dielectric material is crucial because it primarily determines the growth of the film and the interfacial trap density. Here, we demonstrate the use of chemical vapor-deposited hexagonal boron nitride (CVD h-BN) as a scalable growth template/dielectric for high-performance organic field-effect transistors. The field-effect transistors based onmore » C60 films grown on single-layer CVD h-BN exhibit an average mobility of 1.7 cm 2 V –1 s –1 and a maximal mobility of 2.9 cm 2 V –1 s –1 with on/off ratios of 10 7. The structural and morphology analysis shows that the epitaxial, two-dimensional growth of C 60 on CVD h-BN is mainly responsible for the superior charge transport behavior. In conclusion, we believe that CVD h-BN can serve as a growth template for various organic semiconductors, allowing the development of large-area, high-performance flexible electronics.« less

  19. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  20. CVD diamond substrate for microelectronics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burden, J.; Gat, R.

    1996-11-01

    Chemical Vapor Deposition (CVD) of diamond films has evolved dramatically in recent years, and commercial opportunities for diamond substrates in thermal management applications are promising. The objective of this technology transfer initiative (TTI) is for Applied Science and Technology, Inc. (ASTEX) and AlliedSignal Federal Manufacturing and Technologies (FM&T) to jointly develop and document the manufacturing processes and procedures required for the fabrication of multichip module circuits using CVD diamond substrates, with the major emphasis of the project concentrating on lapping/polishing prior to metallization. ASTEX would provide diamond films for the study, and FM&T would use its experience in lapping, polishing,more » and substrate metallization to perform secondary processing on the parts. The primary goal of the project was to establish manufacturing processes that lower the manufacturing cost sufficiently to enable broad commercialization of the technology.« less

  1. Desalination by Membrane Distillation using Electrospun Polyamide Fiber Membranes with Surface Fluorination by Chemical Vapor Deposition.

    PubMed

    Guo, Fei; Servi, Amelia; Liu, Andong; Gleason, Karen K; Rutledge, Gregory C

    2015-04-22

    Fibrous membranes of poly(trimethyl hexamethylene terephthalamide) (PA6(3)T) were fabricated by electrospinning and rendered hydrophobic by applying a conformal coating of poly(1H,1H,2H,2H-perfluorodecyl acrylate) (PPFDA) using initiated chemical vapor deposition (iCVD). A set of iCVD-treated electrospun PA6(3)T fiber membranes with fiber diameters ranging from 0.25 to 1.8 μm were tested for desalination using the air gap membrane distillation configuration. Permeate fluxes of 2-11 kg/m2/h were observed for temperature differentials of 20-45 °C between the feed stream and condenser plate, with rejections in excess of 99.98%. The liquid entry pressure was observed to increase dramatically, from 15 to 373 kPa with reduction in fiber diameter. Contrary to expectation, for a given feed temperature the permeate flux was observed to increase for membranes of decreasing fiber diameter. The results for permeate flux and salt rejection show that it is possible to construct membranes for membrane distillation even from intrinsically hydrophilic materials after surface modification by iCVD and that the fiber diameter is shown to play an important role on the membrane distillation performance in terms of permeate flux, salt rejection, and liquid entry pressure.

  2. Ultratough CVD single crystal diamond and three dimensional growth thereof

    DOEpatents

    Hemley, Russell J [Washington, DC; Mao, Ho-kwang [Washington, DC; Yan, Chih-shiue [Washington, DC

    2009-09-29

    The invention relates to a single-crystal diamond grown by microwave plasma chemical vapor deposition that has a toughness of at least about 30 MPa m.sup.1/2. The invention also relates to a method of producing a single-crystal diamond with a toughness of at least about 30 MPa m.sup.1/2. The invention further relates to a process for producing a single crystal CVD diamond in three dimensions on a single crystal diamond substrate.

  3. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  4. Ion beam figuring of CVD silicon carbide mirrors

    NASA Astrophysics Data System (ADS)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  5. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A laboratory type CVD reactor system with a vertical deposition chamber and sample pedestal heated by an external RF coil has been extensively modified by installation of mass flow controllers, automatic process sequence timers, and special bellows-sealed air-operated valves for overall improved performance. Various film characterization procedures, including classical metallography, SEM analyses, X ray diffraction analyses, surface profilometry, and electrical measurements (resistivity, carrier concentration, mobility, spreading resistance profiles, and minority-carrier lifetime by the C-V-t method) area used to correlate Si sheet properties with CVD parameters and substrate properties. Evaluation procedures and measurements are given. Experimental solar cell structures were made both in epitaxial Si sheet (on sapphire substrates) and in polycrystalline material on alumina substrates, the former to provide an indication of what might be an upper limit on performance of the latter. Preliminary results are given, as obtained in cell structures not specially designed to allow for the unique properties of the sheet material, and fabricated in material known to be far from optimum for photovoltaic performance. Low power conversion efficiencies have been obtained in the epitaxial as well as the polycrystalline Si sheet.

  6. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  7. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  8. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.; Cho, W.D.

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygenmore » in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  9. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  10. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  11. Polymer Encapsulation of an Amorphous Pharmaceutical by initiated Chemical Vapor Deposition for Enhanced Stability

    PubMed Central

    2016-01-01

    The usage of amorphous solids in practical applications, such as in medication, is commonly limited by the poor long-term stability of this state, because unwanted crystalline transitions occur. In this study, three different polymeric coatings are investigated for their ability to stabilize amorphous films of the model drug clotrimazole and to protect against thermally induced transitions. For this, drop cast films of clotrimazole are encapsulated by initiated chemical vapor deposition (iCVD), using perfluorodecyl acrylate (PFDA), hydroxyethyl methacrylate (HEMA), and methacrylic acid (MAA). The iCVD technique operates under solvent-free conditions at low temperatures, thus leaving the solid state of the encapsulated layer unaffected. Optical microscopy and X-ray diffraction data reveal that at ambient conditions of about 22 °C, any of these iCVD layers extends the lifetime of the amorphous state significantly. At higher temperatures (50 or 70 °C), the p-PFDA coating is unable to provide protection, while the p-HEMA and p-MAA strongly reduce the crystallization rate. Furthermore, p-HEMA and p-MAA selectively facilitate a preferential alignment of clotrimazole and, interestingly, even suppress crystallization upon a temporary, rapid temperature increase (3 °C/min, up to 150 °C). The results of this study demonstrate how a polymeric coating, synthesized directly on top of an amorphous phase, can act as a stabilizing agent against crystalline transitions, which makes this approach interesting for a variety of applications. PMID:27467099

  12. Polymer Encapsulation of an Amorphous Pharmaceutical by initiated Chemical Vapor Deposition for Enhanced Stability.

    PubMed

    Christian, Paul; Ehmann, Heike M A; Coclite, Anna Maria; Werzer, Oliver

    2016-08-24

    The usage of amorphous solids in practical applications, such as in medication, is commonly limited by the poor long-term stability of this state, because unwanted crystalline transitions occur. In this study, three different polymeric coatings are investigated for their ability to stabilize amorphous films of the model drug clotrimazole and to protect against thermally induced transitions. For this, drop cast films of clotrimazole are encapsulated by initiated chemical vapor deposition (iCVD), using perfluorodecyl acrylate (PFDA), hydroxyethyl methacrylate (HEMA), and methacrylic acid (MAA). The iCVD technique operates under solvent-free conditions at low temperatures, thus leaving the solid state of the encapsulated layer unaffected. Optical microscopy and X-ray diffraction data reveal that at ambient conditions of about 22 °C, any of these iCVD layers extends the lifetime of the amorphous state significantly. At higher temperatures (50 or 70 °C), the p-PFDA coating is unable to provide protection, while the p-HEMA and p-MAA strongly reduce the crystallization rate. Furthermore, p-HEMA and p-MAA selectively facilitate a preferential alignment of clotrimazole and, interestingly, even suppress crystallization upon a temporary, rapid temperature increase (3 °C/min, up to 150 °C). The results of this study demonstrate how a polymeric coating, synthesized directly on top of an amorphous phase, can act as a stabilizing agent against crystalline transitions, which makes this approach interesting for a variety of applications.

  13. Ballistic transport in graphene grown by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calado, V. E.; Goswami, S.; Xu, Q.

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  14. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  15. Highly Crystalline CVD-grown Multilayer MoSe2 Thin Film Transistor for Fast Photodetector

    PubMed Central

    Jung, Chulseung; Kim, Seung Min; Moon, Hyunseong; Han, Gyuchull; Kwon, Junyeon; Hong, Young Ki; Omkaram, Inturu; Yoon, Youngki; Kim, Sunkook; Park, Jozeph

    2015-01-01

    Hexagonal molybdenum diselenide (MoSe2) multilayers were grown by chemical vapor deposition (CVD). A relatively high pressure (>760 Torr) was used during the CVD growth to achieve multilayers by creating multiple nuclei based on the two-dimensional crystal growth model. Our CVD-grown multilayer MoSe2 thin-film transistors (TFTs) show p-type-dominant ambipolar behaviors, which are attributed to the formation of Se vacancies generated at the decomposition temperature (650 °C) after the CVD growth for 10 min. Our MoSe2 TFT with a reasonably high field-effect mobility (10 cm2/V · s) exhibits a high photoresponsivity (93.7 A/W) and a fast photoresponse time (τrise ~ 0.4 s) under the illumination of light, which demonstrates the practical feasibility of multilayer MoSe2 TFTs for photodetector applications. PMID:26477744

  16. Chemical Vapor Deposition Growth and Applications of Two-Dimensional Materials and Their Heterostructures.

    PubMed

    Cai, Zhengyang; Liu, Bilu; Zou, Xiaolong; Cheng, Hui-Ming

    2018-01-31

    Two-dimensional (2D) materials have attracted increasing research interest because of the abundant choice of materials with diverse and tunable electronic, optical, and chemical properties. Moreover, 2D material based heterostructures combining several individual 2D materials provide unique platforms to create an almost infinite number of materials and show exotic physical phenomena as well as new properties and applications. To achieve these high expectations, methods for the scalable preparation of 2D materials and 2D heterostructures of high quality and low cost must be developed. Chemical vapor deposition (CVD) is a powerful method which may meet the above requirements, and has been extensively used to grow 2D materials and their heterostructures in recent years, despite several challenges remaining. In this review of the challenges in the CVD growth of 2D materials, we highlight recent advances in the controlled growth of single crystal 2D materials, with an emphasis on semiconducting transition metal dichalcogenides. We provide insight into the growth mechanisms of single crystal 2D domains and the key technologies used to realize wafer-scale growth of continuous and homogeneous 2D films which are important for practical applications. Meanwhile, strategies to design and grow various kinds of 2D material based heterostructures are thoroughly discussed. The applications of CVD-grown 2D materials and their heterostructures in electronics, optoelectronics, sensors, flexible devices, and electrocatalysis are also discussed. Finally, we suggest solutions to these challenges and ideas concerning future developments in this emerging field.

  17. Vertical heterostructures of MoS2 and graphene nanoribbons grown by two-step chemical vapor deposition for high-gain photodetectors.

    PubMed

    Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki

    2015-10-14

    Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.

  18. Room Temperature Sensing Achieved by GaAs Nanowires and oCVD Polymer Coating.

    PubMed

    Wang, Xiaoxue; Ermez, Sema; Goktas, Hilal; Gradečak, Silvija; Gleason, Karen

    2017-06-01

    Novel structures comprised of GaAs nanowire arrays conformally coated with conducting polymers (poly(3,4-ethylenedioxythiophene) (PEDOT) or poly(3,4-ethylenedioxythiophene-co-3-thiophene acetic acid) display both sensitivity and selectivity to a variety of volatile organic chemicals. A key feature is room temperature operation, so that neither a heater nor the power it would consume, is required. It is a distinct difference from traditional metal oxide sensors, which typically require elevated operational temperature. The GaAs nanowires are prepared directly via self-seeded metal-organic chemical deposition, and conducting polymers are deposited on GaAs nanowires using oxidative chemical vapor deposition (oCVD). The range of thickness for the oCVD layer is between 100 and 200 nm, which is controlled by changing the deposition time. X-ray diffraction analysis indicates an edge-on alignment of the crystalline structure of the PEDOT coating layer on GaAs nanowires. In addition, the positive correlation between the improvement of sensitivity and the increasing nanowire density is demonstrated. Furthermore, the effect of different oCVD coating materials is studied. The sensing mechanism is also discussed with studies considering both nanowire density and polymer types. Overall, the novel structure exhibits good sensitivity and selectivity in gas sensing, and provides a promising platform for future sensor design. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    PubMed

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Terranova, M. L.; Rossi, M.; Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  1. Gas-to-particle conversion in the particle precipitation-aided chemical vapor deposition process II. Synthesis of the perovskite oxide yttrium chromite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dieten, V.E.J. van; Dekker, J.P.; Hurkmans, E.J.

    1993-11-01

    In the particle precipitation-aided chemical vapor deposition process, an aerosol is formed in the gas phase at elevated temperatures. The particles are deposited on a cooled substrate. Coherent layers with a controlled porosity can be obtained by a simultaneous heterogeneous reaction, which interconnects the deposited particles. The synthesis of submicrometer powder of the perovskite oxide yttrium chromite (YCrO[sub 3]) by gas to particle conversion, which is the first step of the PP-CVD process, has been investigated, and preliminary results are shown. The powders have been synthesized using yttrium trichloride vapor (YCl[sub 3]), chromium trichloride vapor (CrCl[sub 3]), and steam andmore » oxygen as reactants. The influence of the input molar ratio of the elements on the composition and characteristics of the powders has been investigated. Phase composition has been determined by X-ray diffraction (XRD). The powders have been characterized by transmission electron microscopy (TEM) and sedimentation field flow fractionation (SF[sup 3]). At a reaction temperature of 1283 K the powders consist of the chromium sesquioxide (Cr[sub 2]O[sub 3]), or a mixture of Cr[sub 2]O[sub 3] and YCrO[sub 3]. At stoichiometeric input amounts of metal chlorides and steam the formation of YCrO[sub 3] seems to be favored. 19 refs., 6 figs., 3 tabs.« less

  2. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  3. Near room temperature chemical vapor deposition of graphene with diluted methane and molten gallium catalyst.

    PubMed

    Fujita, Jun-Ichi; Hiyama, Takaki; Hirukawa, Ayaka; Kondo, Takahiro; Nakamura, Junji; Ito, Shin-Ichi; Araki, Ryosuke; Ito, Yoshikazu; Takeguchi, Masaki; Pai, Woei Wu

    2017-09-28

    Direct growth of graphene integrated into electronic devices is highly desirable but difficult due to the nominal ~1000 °C chemical vapor deposition (CVD) temperature, which can seriously deteriorate the substrates. Here we report a great reduction of graphene CVD temperature, down to 50 °C on sapphire and 100 °C on polycarbonate, by using dilute methane as the source and molten gallium (Ga) as catalysts. The very low temperature graphene synthesis is made possible by carbon attachment to the island edges of pre-existing graphene nuclei islands, and causes no damages to the substrates. A key benefit of using molten Ga catalyst is the enhanced methane absorption in Ga at lower temperatures; this leads to a surprisingly low apparent reaction barrier of ~0.16 eV below 300 °C. The faster growth kinetics due to a low reaction barrier and a demonstrated low-temperature graphene nuclei transfer protocol can facilitate practical direct graphene synthesis on many kinds of substrates down to 50-100 °C. Our results represent a significant progress in reducing graphene synthesis temperature and understanding its mechanism.

  4. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya

    2007-01-19

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transitionmore » of 1s{yields}{sigma}* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s{yields}{pi}* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from {approx_equal}2.2% of the as-deposited FIB-CVD DLC to {approx_equal}1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C.« less

  5. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  6. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  7. Development of hot-pressed and chemical-vapor-deposited zinc sulfide and zinc selenide in the United States for optical windows

    NASA Astrophysics Data System (ADS)

    Harris, Daniel C.

    2007-04-01

    By the mid 1950s, there was a need for infrared-transmitting materials with improved optical and mechanical characteristics for military and commercial instruments. The newly invented "heat-seeking" missile also required a more durable infrared-transmitting dome. Some properties of ZnS were known from studies of natural minerals. More properties of pure ZnS and ZnSe were measured with single crystals grown in Air Force and industrial laboratories in the 1950s. In 1956, a team led by William Parsons at the Eastman Kodak Hawk-Eye Works in Rochester, New York began to apply the technique of hot pressing to make infrared-transmitting ceramics from powders. This work led to commercial production of six materials, including ZnS (IRTRAN® 2) and ZnSe (IRTRAN® 4) in the 1960s. Because the hot pressed materials could not be made in very large sizes and suffered from undesirable optical losses, the Air Force began to look for alternative manufacturing methods around 1970. Almost immediately, highly successful materials were produced by chemical vapor deposition under Air Force sponsorship by a team led by James Pappis at the Raytheon Research Division in Waltham, Massachusetts. Chemical-vapor-deposited materials replaced hot pressed materials in most applications within a few years. From a stream of Air Force contracts in the 1970s and early 1980s, Raytheon produced two different grades of ZnS for windows and domes, one grade of ZnSe for high-energy CO II laser windows, and a composite ZnS/ZnSe window for aircraft sensor pods. In 1980, a competitor called CVD, Inc., was formed by Robert Donadio, who came from the Raytheon Research Division. CVD began with a license from Raytheon, but soon sued Raytheon, arguing that the license violated the Sherman Antitrust Act. Raytheon countersued for breach of employment contracts and misappropriation of trade secrets. In 1984, a jury ruled in favor of CVD, which went on to build a lucrative business in ZnSe and ZnS. CVD was eventually

  8. Selected Topics in CVD Diamond Research

    NASA Astrophysics Data System (ADS)

    Koizumi, Satoshi; Nebel, Christoph E.; Nesladek, Milos

    2006-10-01

    Since the discovery of Chemical Vapor Deposition (CVD) diamond growth in 1976, the steady scientific progress often resulted in surprising new discoveries and breakthroughs. This brought us to the idea to publish the special issue Selected Topics in CVD Diamond Research in physica status solidi (a), reflecting such advancements and interesting results at the leading edge of diamond research.The present issue summarizes this progress in the CVD diamond field by selecting contributions from several areas such as superconductivity, super-excitonic radiation, quantum computing, bio-functionalization, surface electronic properties, the nature of phosphorus doping, transport properties in high energy detectors, CVD growth and properties of nanocrystalline diamond. In all these directions CVD diamond appears to be very competitive in comparison with other semiconducting materials.As Editors of this special issue, we must admit that the selection is biased by our opinion. Nonetheless, we are sure that each contribution introduces new ideas and results which will improve the understanding of the current level of physics and chemistry of this attractive wide-bandgap semiconductor and which will help to bring it closer to applications.All submissions were invited based on the contributions of the authors to their specific research field. The Feature Articles have the format of topical reviews to give the reader a comprehensive summary. Partially, however, they are written in research paper style to report new results of ongoing research.We hope that this issue will attract the attention of a broad community of scientists and engineers, and that it will facilitate the utilization of diamond in electronic applications and technologies of the future.

  9. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  10. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  11. Fast method for reactor and feature scale coupling in ALD and CVD

    DOEpatents

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  12. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  13. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    NASA Astrophysics Data System (ADS)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  14. High Yield Chemical Vapor Deposition Growth of High Quality Large-Area AB Stacked Bilayer Graphene

    PubMed Central

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Yu, Woo Jong; Liu, Yuan; Chen, Yu; Shaw, Jonathan; Zhong, Xing; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Bernal stacked (AB stacked) bilayer graphene is of significant interest for functional electronic and photonic devices due to the feasibility to continuously tune its band gap with a vertical electrical field. Mechanical exfoliation can be used to produce AB stacked bilayer graphene flakes but typically with the sizes limited to a few micrometers. Chemical vapor deposition (CVD) has been recently explored for the synthesis of bilayer graphene but usually with limited coverage and a mixture of AB and randomly stacked structures. Herein we report a rational approach to produce large-area high quality AB stacked bilayer graphene. We show that the self-limiting effect of graphene growth on Cu foil can be broken by using a high H2/CH4 ratio in a low pressure CVD process to enable the continued growth of bilayer graphene. A high temperature and low pressure nucleation step is found to be critical for the formation of bilayer graphene nuclei with high AB stacking ratio. A rational design of a two-step CVD process is developed for the growth of bilayer graphene with high AB stacking ratio (up to 90 %) and high coverage (up to 99 %). The electrical transport studies demonstrated that devices made of the as-grown bilayer graphene exhibit typical characteristics of AB stacked bilayer graphene with the highest carrier mobility exceeding 4,000 cm2/V·s at room temperature, comparable to that of the exfoliated bilayer graphene. PMID:22906199

  15. Delaminated Transfer of CVD Graphene

    NASA Astrophysics Data System (ADS)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  16. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  17. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    PubMed

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  18. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  19. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  20. A beam radiation monitor based on CVD diamonds for SuperB

    NASA Astrophysics Data System (ADS)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  1. Formation of Graphene Grain Boundaries on Cu(100) Surface and a Route Towards Their Elimination in Chemical Vapor Deposition Growth

    NASA Astrophysics Data System (ADS)

    Yuan, Qinghong; Song, Guangyao; Sun, Deyan; Ding, Feng

    2014-10-01

    Grain boundaries (GBs) in graphene prepared by chemical vapor deposition (CVD) greatly degrade the electrical and mechanical properties of graphene and thus hinder the applications of graphene in electronic devices. The seamless stitching of graphene flakes can avoid GBs, wherein the identical orientation of graphene domain is required. In this letter, the graphene orientation on one of the most used catalyst surface -- Cu(100) surface, is explored by density functional theory (DFT) calculations. Our calculation demonstrates that a zigzag edged hexagonal graphene domain on a Cu(100) surface has two equivalent energetically preferred orientations, which are 30 degree away from each other. Therefore, the fusion of graphene domains on Cu(100) surface during CVD growth will inevitably lead to densely distributed GBs in the synthesized graphene. Aiming to solve this problem, a simple route, that applies external strain to break the symmetry of the Cu(100) surface, was proposed and proved efficient.

  2. Low-temperature graphene synthesis using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  3. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    NASA Technical Reports Server (NTRS)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  4. Methylsilane derived silicon carbide particle coatings produced by fluid-bed chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Miller, James Henry

    This report describes the research effort that was undertaken to develop and understand processing techniques for the deposition of both low and high density SiC coatings from a non-halide precursor, in support of the Generation IV Gas-Cooled Fast Reactor (GFR) fuel development program. The research was conducted in two phases. In the first phase, the feasibility of producing both porous SiC coatings and dense SiC coatings on surrogate fuel particles by fluidized bed chemical vapor deposition (FBCVD) using gas mixtures of methylsilane and argon was demonstrated. In the second phase, a combined experimental and modeling effort was carried out in order to gain an understanding of the deposition mechanisms that result in either porous or dense SiC coatings, depending on the coating conditions. For this second phase effort, a simplified (compared to the fluid bed) single-substrate chemical vapor deposition (CVD) system was employed. Based on the experimental and modeling results, the deposition of SiC from methylsilane is controlled by the extent of gas-phase reaction, and is therefore highly sensitive to temperature. The results show that all SiC coatings are due to the surface adsorption of species that result from gas-phase reactions. The model terms these gas-borne species embryos, and while the model does not include a prediction of coating morphology, a comparison of the model and experimental results indicates that the morphology of the coatings is controlled by the nucleation and growth of the embryos. The coating that results from small embryos (embryos with only two Si-C pairs) appears relatively dense and continuous, while the coating that results from larger embryos becomes less continuous and more nodular as embryo size increases. At some point in the growth of embryos they cease to behave as molecular species and instead behave as particles that grow by either agglomeration or by incorporation of molecular species on their surface. As these particles

  5. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    PubMed

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  6. Electron emission from chemical vapor deposited diamond and amorphous carbon films observed with a simple field emission device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Z.; Brown, I.G.; Ager, J.W. III

    Electron emission from chemical vapor deposited (CVD) diamond and amorphous carbon (a-C) films was observed with a simple field emission device (FED). Both diamond and a-C films were prepared with microwave plasma-enhanced CVD techniques. Electron emission in the field strength range +10 to {minus}10 MVm{sup {minus}1} was studied, and the field emission source was confirmed by a diode characteristic of the {ital I}-{ital V} curve, a straight line in the Fowler--Nordheim (F-N) plot, and direct observation of light emission from a fluorescent screen. The turn-on field strength was {similar_to}5 MVm{sup {minus}1}, which was similar for both kinds of carbon films.more » The highest current density for diamond films, observed at a field strength of 10 MVm{sup {minus}1}, was {similar_to}15 {mu}A cm{sup {minus}2}. Diamond films yielded a higher emission current than a-C films. The reasons for the observed field emission are discussed.« less

  7. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    NASA Astrophysics Data System (ADS)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  8. Growth of monolayer MoS2 films in a quasi-closed crucible encapsulated substrates by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng

    2017-07-01

    Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.

  9. Preparation and characterization of boron nitride coatings on carbon fibers from borazine by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Jun-Sheng; Zhang, Chang-Rui; Li, Bin

    2011-06-01

    Boron nitride (BN) coatings were deposited on carbon fibers by chemical vapor deposition (CVD) using borazine as single source precursor. The deposited coatings were characterized by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and Raman spectroscopy. The effect of temperatures on growth kinetics, morphology, composition and structure of the coatings was investigated. In the low temperature range of 900 °C-1000 °C, the growth rate increased with increasing temperature complying with Arrhenius law, and an apparent active energy of 72 kJ/mol was calculated. The coating surface was smooth and compact, and the coatings uniformly deposited on individual fibers of carbon fiber bundles. The growth was controlled by surface reaction. At 1000 °C, the deposition rate reached a maximum (2.5 μm/h). At the same time, the limiting step of the growth translated to be mass-transportation. Above 1100 °C, the growth rate decreased drastically due to the occurrence of gas-phase nucleation. Moreover, the coating surface became loose and rough. Composition and structure examinations revealed that stoichiometric BN coatings with turbostratic structure were obtained below 1000 °C, while hexagonal BN coatings were deposited above 1100 °C. A penetration of carbon element from the fibers to the coatings was observed.

  10. Deposition of amorphous carbon thin films by aerosol-assisted CVD method

    NASA Astrophysics Data System (ADS)

    Fadzilah, A. N.; Dayana, K.; Rusop, M.

    2018-05-01

    This paper reports on the deposition of amorphous carbon (a-C) by Aerosol-assisted Chemical Vapor Deposition (AACVD) using natural source of camphor oil as the precursor material. 4 samples were deposited at 4 different deposition flow rate from 15 sccm to 20 sccm, with 5 sccm interval for each sample. The analysis includes the electrical, optical and structural analysis of the data. The a-C structure which came from the manipulation of synthesis parameter was characterized by the solar simulator system, UV-VIS-NIR, Raman spectroscope and AFM. The properties of a-C are highly dependent on the deposition techniques and deposition parameters; hence the influences of gas flow rate were studied.

  11. CVD growth of graphene at low temperature

    NASA Astrophysics Data System (ADS)

    Zeng, Changgan

    2012-02-01

    Graphene has attracted a lot of research interest owing to its exotic properties and a wide spectrum of potential applications. Chemical vapor deposition (CVD) from gaseous hydrocarbon sources has shown great promises for large-scale graphene growth. However, high growth temperature, typically 1000^oC, is required for such growth. In this talk, I will show a revised CVD route to grow graphene on Cu foils at low temperature, adopting solid and liquid hydrocarbon feedstocks. For solid PMMA and polystyrene precursors, centimeter-scale monolayer graphene films are synthesized at a growth temperature down to 400^oC. When benzene is used as the hydrocarbon source, monolayer graphene flakes with excellent quality are achieved at a growth temperature as low as 300^oC. I will also talk about our recent progress on low-temperature graphene growth using paraterphenyl as precursor. The successful low-temperature growth can be qualitatively understood from the first principles calculations. Our work might pave a way to economical and convenient growth route of graphene, as well as better control of the growth pattern of graphene at low temperature.

  12. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  13. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    PubMed Central

    Wang, Rui; Lu, Fen; Fan, Wei Jun; Liu, Chong Yang; Loh, Ter-Hoe; Nguyen, Hoai Son; Narayanan, Balasubramanian

    2007-01-01

    Si/Si0.66Ge0.34coupled quantum well (CQW) structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD) system. The samples were characterized using high resolution x-ray diffraction (HRXRD), cross-sectional transmission electron microscopy (XTEM) and photoluminescence (PL) spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  14. The synthesis of graphene at different deposition time from palm oil via thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Salifairus, M. J.; Soga, T.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    The basic building of graphitic materials is graphene that can range from zero-dimensional to three-dimensional. Graphene is a single atomic layer of sp2 bonded carbon atoms. It becomes most potential new materials to replace silicon due to its fascinating properties. In this study, the graphene growth was observed at different deposition time. The 1cm x 1cm polycrystalline nickel substrate was cleaned by etching process. The palm oil, carbon source, was placed in the precursor furnace and the nickel substrate was placed in the second furnace (deposition furnace). The palm oil will mix with Argon and Hydrogen gas was used as carrier gas in the CVD under certain temperature and pressure to undergo pyrolysis process. The deposition temperature was set at 900 °C and the deposition time was varied from 5 - 60 minutes. The graphene was growth at ambient pressure in the CVD system. Raman spectrometer and atomic force microscopy revealed the structural properties and surface topography of the grapheme on the nickel substrate. The D, G and 2D band appear approximately at 1378 cm-1, 1580 cm-1 and 2696 cm-1. It can be concluded that the graphene has successfully synthesized at different deposition time.

  15. Aerosol-assisted chemical vapor deposition of ultra-thin CuOx films as hole transport material for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu

    This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.

  16. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  17. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  18. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  19. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    NASA Astrophysics Data System (ADS)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  20. Processing - microstructure relationships of chemically vapor deposited zirconia fiber coating for environmentally durable silicon carbide/silicon carbide composites

    NASA Astrophysics Data System (ADS)

    Lee, Jinil

    In SiC/SiC ceramic matrix composites, toughness is obtained by adding a fiber coating which provides a weak interface for crack deflection and debonding between the fiber and the matrix. However, the most commonly used fiber coatings, carbon and boron nitride, are unstable in oxidative environments. In the present study, the feasibility of using a chemically vapor deposited zirconia (CVD-ZrO 2) fiber coating as an oxidation-resistant interphase for SiC/SiC composites was investigated. The feasibility of the CVD-ZrO2 coating as a useful interphase for SiC/SiC composites was investigated with emphasis on developing critical processing-microstructure relationships. A study of morphological evolution in the CVD-ZrO2 coating suggested that a size-controlled displacive phase transformation from tetragonal ZrO2 (t-ZrO2) to monoclinic ZrO2 (m-ZrO2) was the key mechanism responsible for the weak interface behavior exhibited by the ZrO2 coating. The pre-delamination occurred as a result of (i) continuous formation of t-ZrO2 nuclei on the deposition surface; (ii) martensitic transformation of the tetragonal phase to a monoclinic phase upon reaching a critical grain size; and (iii) development of significant compressive hoop stresses due to the volume dilation associated with the transformation. We also discovered that low oxygen partial pressure in the CVD reactor was required for the nucleation of t-ZrO2 and was ultimately responsible for the delamination behavior. The effects of oxygen partial pressure on the nucleation behavior of the CVD-ZrO2 coating was systematically studied by intentionally adding the controlled amount of O2 into the CVD chamber. Characterization results suggested that the number density of t-ZrO2 nuclei apparently decreased with increasing the oxygen partial pressure from 0.004 to 1.6 Pa. Also, the coating layer became more columnar and contained larger m-ZrO2 grains. The observed relationships between the oxygen partial pressure and the morphological

  1. Fluorescent carbon quantum dots synthesized by chemical vapor deposition: An alternative candidate for electron acceptor in polymer solar cells

    NASA Astrophysics Data System (ADS)

    Cui, Bo; Yan, Lingpeng; Gu, Huimin; Yang, Yongzhen; Liu, Xuguang; Ma, Chang-Qi; Chen, Yongkang; Jia, Husheng

    2018-01-01

    Excitation-wavelength-dependent blue-greenish fluorescent carbon quantum dots (CQDs) with graphite structure were synthesized by chemical vapor deposition (CVD) method. In comparison with those synthesized by hydrothermal method (named H-CQDs), C-CQDs have less hydrophilic terminal groups, showing good solubility in common organic solvents. Furthermore, these synthesized C-CQDs show a low LUMO energy level (LUMO = -3.84 eV), which is close to that of phenyl-C61-butyric acid methyl ester (PC61BM, LUMO = -4.01 eV), the most widely used electron acceptor in polymer solar cells. Photoluminescence quenching of the poly(3-hexylthiophene-2,5-diyl):C-CQDs blended film (P3HT:C-CQDs) indicated that a photo-induced charge transfer between P3HT and C-CQDs occurs in such a composite film. Bulk heterojunction solar cells using C-CQDs as electron acceptors or doping materials were fabricated and tested. High fill factors were achieved for these C-CQDs based polymer solar cells, demonstrating that CQDs synthesized by CVD could be alternative to the fullerene derivatives for applying in polymer solar cells.

  2. Evaluation of infrared thermography as a diagnostic tool in CVD applications

    NASA Astrophysics Data System (ADS)

    Johnson, E. J.; Hyer, P. V.; Culotta, P. W.; Clark, I. O.

    1998-05-01

    This research is focused on the feasibility of using infrared temperature measurements on the exterior of a chemical vapor deposition (CVD) reactor to ascertain both real-time information on the operating characteristics of a CVD system and provide data which could be post-processed to provide quantitative information for research and development on CVD processes. Infrared thermography techniques were used to measure temperatures on a horizontal CVD reactor of rectangular cross section which were correlated with the internal gas flow field, as measured with the laser velocimetry (LV) techniques. For the reactor tested, thermal profiles were well correlated with the gas flow field inside the reactor. Correlations are presented for nitrogen and hydrogen carrier gas flows. The infrared data were available to the operators in real time with sufficient sensitivity to the internal flow field so that small variations such as misalignment of the reactor inlet could be observed. The same data were post-processed to yield temperature measurements at known locations on the reactor surface. For the experiments described herein, temperatures associated with approximately 3.3 mm 2 areas on the reactor surface were obtained with a precision of ±2°C. These temperature measurements were well suited for monitoring a CVD production reactor, development of improved thermal boundary conditions for use in CFD models of reactors, and for verification of expected thermal conditions.

  3. Photoluminescence Segmentation within Individual Hexagonal Monolayer Tungsten Disulfide Domains Grown by Chemical Vapor Deposition.

    PubMed

    Sheng, Yuewen; Wang, Xiaochen; Fujisawa, Kazunori; Ying, Siqi; Elias, Ana Laura; Lin, Zhong; Xu, Wenshuo; Zhou, Yingqiu; Korsunsky, Alexander M; Bhaskaran, Harish; Terrones, Mauricio; Warner, Jamie H

    2017-05-03

    We show that hexagonal domains of monolayer tungsten disulfide (WS 2 ) grown by chemical vapor deposition (CVD) with powder precursors can have discrete segmentation in their photoluminescence (PL) emission intensity, forming symmetric patterns with alternating bright and dark regions. Two-dimensional maps of the PL reveal significant reduction within the segments associated with the longest sides of the hexagonal domains. Analysis of the PL spectra shows differences in the exciton to trion ratio, indicating variations in the exciton recombination dynamics. Monolayers of WS 2 hexagonal islands transferred to new substrates still exhibit this PL segmentation, ruling out local strain in the regions as the dominant cause. High-power laser irradiation causes preferential degradation of the bright segments by sulfur removal, indicating the presence of a more defective region that is higher in oxidative reactivity. Atomic force microscopy (AFM) images of topography and amplitude modes show uniform thickness of the WS 2 domains and no signs of segmentation. However, AFM phase maps do show the same segmentation of the domain as the PL maps and indicate that it is caused by some kind of structural difference that we could not clearly identify. These results provide important insights into the spatially varying properties of these CVD-grown transition metal dichalcogenide materials, which may be important for their effective implementation in fast photo sensors and optical switches.

  4. The use of CVD diamond burs for ultraconservative cavity preparations: a report of two cases.

    PubMed

    Carvalho, Carlos Augusto R; Fagundes, Ticiane C; Barata, Terezinha J E; Trava-Airoldi, Vladimir Jesus; Navarro, Maria Fidela L

    2007-01-01

    During the past decades, scientific developments in cutting instruments have changed the conventional techniques used to remove caries lesions. Ultrasound emerged as an alternative for caries removal since the 1950s. However, the conventional technology for diamond powder aggregation with nickel metallic binders could not withstand ultrasonic power. Around 5 years ago, an alternative approach using chemical vapor deposition (CVD) resulted in synthetic diamond technology. CVD diamond burs are obtained with high adherence of the diamond as a unique stone on the metallic surface with excellent abrading performance. This technology allows for diamond deposition with coalescent granulation in different formats of substrates. When connected to an ultrasonic handpiece, CVD diamond burs become an option for cavity preparation, maximizing preservation of tooth structure. Potential advantages such as reduced noise, minimal damage to the gingival tissue, extended bur durability, improved proximal cavity access, reduced risk of hitting the adjacent tooth resulting from the high inclination angles, and minimal patient's risk of metal contamination. These innovative instruments also potentially eliminate some problems regarding decreased cutting efficiency of conventional diamond burs. This clinical report presents the benefits of using CVD diamond burs coupled with an ultrasonic handpiece in the treatment of incipient caries. CVD diamond burs coupled with an ultrasonic device offer a promising alternative for removal of carious lesions when ultraconservative cavity preparations are required. Additionally, this system provides a less-painful technique for caries removal, with minimal noise.

  5. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  6. Robofurnace: A semi-automated laboratory chemical vapor deposition system for high-throughput nanomaterial synthesis and process discovery

    PubMed Central

    Oliver, C. Ryan; Westrick, William; Koehler, Jeremy; Brieland-Shoultz, Anna; Anagnostopoulos-Politis, Ilias; Cruz-Gonzalez, Tizoc; Hart, A. John

    2013-01-01

    Laboratory research and development on new materials, such as nanostructured thin films, often utilizes manual equipment such as tube furnaces due to its relatively low cost and ease of setup. However, these systems can be prone to inconsistent outcomes due to variations in standard operating procedures and limitations in performance such as heating and cooling rates restrict the parameter space that can be explored. Perhaps more importantly, maximization of research throughput and the successful and efficient translation of materials processing knowledge to production-scale systems, relies on the attainment of consistent outcomes. In response to this need, we present a semi-automated lab-scale chemical vapor deposition (CVD) furnace system, called “Robofurnace.” Robofurnace is an automated CVD system built around a standard tube furnace, which automates sample insertion and removal and uses motion of the furnace to achieve rapid heating and cooling. The system has a 10-sample magazine and motorized transfer arm, which isolates the samples from the lab atmosphere and enables highly repeatable placement of the sample within the tube. The system is designed to enable continuous operation of the CVD reactor, with asynchronous loading/unloading of samples. To demonstrate its performance, Robofurnace is used to develop a rapid CVD recipe for carbon nanotube (CNT) forest growth, achieving a 10-fold improvement in CNT forest mass density compared to a benchmark recipe using a manual tube furnace. In the long run, multiple systems like Robofurnace may be linked to share data among laboratories by methods such as Twitter. Our hope is Robofurnace and like automation will enable machine learning to optimize and discover relationships in complex material synthesis processes. PMID:24289435

  7. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  8. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  9. Surface passivation of InGaP/GaAs HBT using silicon-nitride film deposited by ECR CVD plasma

    NASA Astrophysics Data System (ADS)

    Manera, L. T.; Zoccal, L. B.; Diniz, J. A.; Tatsch, P. J.; Doi, I.

    2008-07-01

    In this paper we have developed a passivation technique with silicon-nitride (SiN X) film that requires no surface pre-treatment, and is fully compatible to monolithic microwave integrated circuits (MMICs). The nitride depositions were carried out by ECR-CVD (electron cyclotron resonance-chemical vapor deposition) directly over InGaP/GaAs heterojunction structures, which are used for heterojunction bipolar transistors (HBTs). Optical emission spectrometry (OES) was used for plasma characterization, and low formation of H and NH molecules in the gas phase was detected at pressure of 2.5 mTorr. These molecules can degrade III-V semiconductor surfaces due to the preferential loss of As or P and hydrogen incorporation at the substrate. The substrates were cleaned with organic solvents using a Sox-let distillate. The ECR depositions were carried out at a fixed substrate temperature of 20 °C, SiH 4/N 2 flow ratio of 1, Ar flow of 5 sccm pressure of 2.5 mTorr and microwave (2.45 GHz) power of 250 W and RF (13.56 MHz) power of 4 W. We have applied this film for InGaP/GaAs HBT fabrication process with excellent results, where two major contribuiton is related to this passivation technique, the enhancement in the transistor dc gain β and the improvement in the signal-to-noise ratio when compared unpassivated and passivated devices.

  10. Plasma CVD of hydrogenated boron-carbon thin films from triethylboron

    NASA Astrophysics Data System (ADS)

    Imam, Mewlude; Höglund, Carina; Schmidt, Susann; Hall-Wilton, Richard; Birch, Jens; Pedersen, Henrik

    2018-01-01

    Low-temperature chemical vapor deposition (CVD) of B—C thin films is of importance for neutron voltaics and semiconductor technology. The highly reactive trialkylboranes, with alkyl groups of 1-4 carbon atoms, are a class of precursors that have been less explored for low-temperature CVD of B—C films. Herein, we demonstrate plasma CVD of B—C thin films using triethylboron (TEB) as a single source precursor in an Ar plasma. We show that the film density and B/C ratio increases with increasing plasma power, reaching a density of 2.20 g/cm3 and B/C = 1.7. This is attributed to a more intense energetic bombardment during deposition and more complete dissociation of the TEB molecule in the plasma at higher plasma power. The hydrogen content in the films ranges between 14 and 20 at. %. Optical emission spectroscopy of the plasma shows that BH, CH, C2, and H are the optically active plasma species from TEB. We suggest a plasma chemical model based on β-hydrogen elimination of C2H4 to form BH3, in which BH3 and C2H4 are then dehydrogenated to form BH and C2H2. Furthermore, C2H2 decomposes in the plasma to produce C2 and CH, which together with BH and possibly BH3-x(C2H5)x are the film forming species.

  11. Frictional behavior of atomically thin sheets: hexagonal-shaped graphene islands grown on copper by chemical vapor deposition.

    PubMed

    Egberts, Philip; Han, Gang Hee; Liu, Xin Z; Johnson, A T Charlie; Carpick, Robert W

    2014-05-27

    Single asperity friction experiments using atomic force microscopy (AFM) have been conducted on chemical vapor deposited (CVD) graphene grown on polycrystalline copper foils. Graphene substantially lowers the friction force experienced by the sliding asperity of a silicon AFM tip compared to the surrounding oxidized copper surface by a factor ranging from 1.5 to 7 over loads from the adhesive minimum up to 80 nN. No damage to the graphene was observed over this range, showing that friction force microscopy serves as a facile, high contrast probe for identifying the presence of graphene on Cu. Consistent with studies of epitaxially grown, thermally grown, and mechanically exfoliated graphene films, the friction force measured between the tip and these CVD-prepared films depends on the number of layers of graphene present on the surface and reduces friction in comparison to the substrate. Friction results on graphene indicate that the layer-dependent friction properties result from puckering of the graphene sheet around the sliding tip. Substantial hysteresis in the normal force dependence of friction is observed with repeated scanning without breaking contact with a graphene-covered region. Because of the hysteresis, friction measured on graphene changes with time and maximum applied force, unless the tip slides over the edge of the graphene island or contact with the surface is broken. These results also indicate that relatively weak binding forces exist between the copper foil and these CVD-grown graphene sheets.

  12. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  13. Fabrication of nanostructured electrodes and interfaces using combustion CVD

    NASA Astrophysics Data System (ADS)

    Liu, Ying

    Reducing fabrication and operation costs while maintaining high performance is a major consideration for the design of a new generation of solid-state ionic devices such as fuel cells, batteries, and sensors. The objective of this research is to fabricate nanostructured materials for energy storage and conversion, particularly porous electrodes with nanostructured features for solid oxide fuel cells (SOFCs) and high surface area films for gas sensing using a combustion CVD process. This research started with the evaluation of the most important deposition parameters: deposition temperature, deposition time, precursor concentration, and substrate. With the optimum deposition parameters, highly porous and nanostructured electrodes for low-temperature SOFCs have been then fabricated. Further, nanostructured and functionally graded La0.8Sr0.2MnO2-La 0.8SrCoO3-Gd0.1Ce0.9O2 composite cathodes were fabricated on YSZ electrolyte supports. Extremely low interfacial polarization resistances (i.e. 0.43 Ocm2 at 700°C) and high power densities (i.e. 481 mW/cm2 at 800°C) were generated at operating temperature range of 600°C--850°C. The original combustion CVD process is modified to directly employ solid ceramic powder instead of clear solution for fabrication of porous electrodes for solid oxide fuel cells. Solid particles of SOFC electrode materials suspended in an organic solvent were burned in a combustion flame, depositing a porous cathode on an anode supported electrolyte. Combustion CVD was also employed to fabricate highly porous and nanostructured SnO2 thin film gas sensors with Pt interdigitated electrodes. The as-prepared SnO2 gas sensors were tested for ethanol vapor sensing behavior in the temperature range of 200--500°C and showed excellent sensitivity, selectivity, and speed of response. Moreover, several novel nanostructures were synthesized using a combustion CVD process, including SnO2 nanotubes with square-shaped or rectangular cross sections, well

  14. Reproducibility of CVD diamond detectors for radiotherapy dosimetry

    NASA Astrophysics Data System (ADS)

    Betzel, G. T.; Lansley, S. P.; McKay, D.; Meyer, J.

    2012-11-01

    Three in-house X-ray detectors based on diamond chemical vapor deposition (CVD) from the same manufactured batch of single crystal films were investigated for their reproducibility. Leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate and angular dependence were used to evaluate differences between detectors. Slight differences were seen in leakage currents before (<1.5 pA) and after (<12 pA) irradiation. A priming dose of ˜7 Gy and rise and fall times of 2 s were found for all three detectors. Sensitivities differed by up to 10%. Dependence on dose rate were similar (∆=0.92-0.94). Angular dependence was minimal (97-102% avg.). Differences in detector performance appeared to be primarily due to film thickness, which can significantly change sensitivities (nC Gy-1) and applied fields (V μm-1) for detectors with small sensitive volumes. Results suggest that preselection of CVD diamond films according to thickness in addition to material quality would be required to avoid individual calibration, which is performed for commercially available natural diamond detectors.

  15. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  16. Influence of Water on Chemical Vapor Deposition of Ni and Co thin films from ethanol solutions of acetylacetonate precursors

    PubMed Central

    Weiss, Theodor; Zielasek, Volkmar; Bäumer, Marcus

    2015-01-01

    In chemical vapor deposition experiments with pulsed spray evaporation (PSE-CVD) of liquid solutions of Ni and Co acetylacetonate in ethanol as precursors, the influence of water in the feedstock on the composition and growth kinetics of deposited Ni and Co metal films was systematically studied. Varying the water concentration in the precursor solutions, beneficial as well as detrimental effects of water on the metal film growth, strongly depending on the concentration of water and the β-diketonate in the precursor, were identified. For 2.5 mM Ni(acac)2 precursor solutions, addition of 0.5 vol% water improves growth of a metallic Ni film and reduces carbon contamination, while addition of 1.0 vol% water and more leads to significant oxidation of deposited Ni. By tuning the concentration of both, Ni(acac)2 and water in the precursor solution, the fraction of Ni metal and Ni oxide in the film or the film morphology can be adjusted. In the case of Co(acac)2, even smallest amounts of water promote complete oxidation of the deposited film. All deposited films were analyzed with respect to chemical composition quasi in situ by XPS, their morphology was evaluated after deposition by SEM. PMID:26658547

  17. Low-temperature growth of nitrogen-doped carbon nanofibers by acetonitrile catalytic CVD using Ni-based catalysts

    NASA Astrophysics Data System (ADS)

    Iwasaki, Tomohiro; Makino, Yuri; Fukukawa, Makoto; Nakamura, Hideya; Watano, Satoru

    2016-11-01

    To synthesize nitrogen-doped carbon nanofibers (N-CNFs) at high growth rates and low temperatures less than 673 K, nickel species (metallic nickel and nickel oxide) supported on alumina particles were used as the catalysts for an acetonitrile catalytic chemical vapor deposition (CVD) process. The nickel:alumina mass ratio in the catalysts was fixed at 0.05:1. The catalyst precursors were prepared from various nickel salts (nitrate, chloride, sulfate, acetate, and lactate) and then calcined at 1073 K for 1 h in oxidative (air), reductive (hydrogen-containing argon), or inert (pure argon) atmospheres to activate the nickel-based catalysts. The effects of precursors and calcination atmosphere on the catalyst activity at low temperatures were studied. We found that the catalysts derived from nickel nitrate had relatively small crystallite sizes of nickel species and provided N-CNFs at high growth rates of 57 ± 4 g-CNF/g-Ni/h at 673 K in the CVD process using 10 vol% hydrogen-containing argon as the carrier gas of acetonitrile vapor, which were approximately 4 times larger than that of a conventional CVD process. The obtained results reveal that nitrate ions in the catalyst precursor and hydrogen in the carrier gas can contribute effectively to the activation of catalysts in low-temperature CVD. The fiber diameter and nitrogen content of N-CNFs synthesized at high growth rates were several tens of nanometers and 3.5 ± 0.3 at.%, respectively. Our catalysts and CVD process may lead to cost reductions in the production of N-CNFs.

  18. Observation of Charge Generation and Transfer during CVD Growth of Carbon Nanotubes.

    PubMed

    Wang, Jiangtao; Liu, Peng; Xia, Bingyu; Wei, Haoming; Wei, Yang; Wu, Yang; Liu, Kai; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Fan, Shoushan; Jiang, Kaili

    2016-07-13

    Carbon nanotube (CNT) is believed to be the most promising material for next generation IC industries with the prerequisite of chirality specific growth. For various approaches to controlling the chiral indices of CNTs, the key is to deepen the understanding of the catalytic growth mechanism in chemical vapor deposition (CVD). Here we show our discovery that the as-grown CNTs are all negatively charged after Fe-catalyzed CVD process. The extra electrons come from the charge generation and transfer during the growth of CNTs, which indicates that an electrochemical process happens in the surface reaction step. We then designed an in situ measurement equipment, verifying that the CVD growth of CNTs can be regarded as a primary battery system. Furthermore, we found that the variation of the Fermi level in Fe catalysts have a significant impact on the chirality of CNTs when different external electric fields are applied. These findings not only provide a new perspective on the growth of CNTs but also open up new possibilities for controlling the growth of CNTs by electrochemical methods.

  19. Fabricating Large-Area Sheets of Single-Layer Graphene by CVD

    NASA Technical Reports Server (NTRS)

    Bronikowski, Michael; Manohara, Harish

    2008-01-01

    This innovation consists of a set of methodologies for preparing large area (greater than 1 cm(exp 2)) domains of single-atomic-layer graphite, also called graphene, in single (two-dimensional) crystal form. To fabricate a single graphene layer using chemical vapor deposition (CVD), the process begins with an atomically flat surface of an appropriate substrate and an appropriate precursor molecule containing carbon atoms attached to substituent atoms or groups. These molecules will be brought into contact with the substrate surface by being flowed over, or sprayed onto, the substrate, under CVD conditions of low pressure and elevated temperature. Upon contact with the surface, the precursor molecules will decompose. The substituent groups detach from the carbon atoms and form gas-phase species, leaving the unfunctionalized carbon atoms attached to the substrate surface. These carbon atoms will diffuse upon this surface and encounter and bond to other carbon atoms. If conditions are chosen carefully, the surface carbon atoms will arrange to form the lowest energy single-layer structure available, which is the graphene lattice that is sought. Another method for creating the graphene lattice includes metal-catalyzed CVD, in which the decomposition of the precursor molecules is initiated by the catalytic action of a catalytic metal upon the substrate surface. Another type of metal-catalyzed CVD has the entire substrate composed of catalytic metal, or other material, either as a bulk crystal or as a think layer of catalyst deposited upon another surface. In this case, the precursor molecules decompose directly upon contact with the substrate, releasing their atoms and forming the graphene sheet. Atomic layer deposition (ALD) can also be used. In this method, a substrate surface at low temperature is covered with exactly one monolayer of precursor molecules (which may be of more than one type). This is heated up so that the precursor molecules decompose and form one

  20. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  1. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  2. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  3. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  4. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  5. Preparation of Ti species coating hydrotalcite by chemical vapor deposition for photodegradation of azo dye.

    PubMed

    Xiao, Gaofei; Zeng, HongYan; Xu, Sheng; Chen, ChaoRong; Zhao, Quan; Liu, XiaoJun

    2017-10-01

    TiO 2 in anatase crystal phase is a very effective catalyst in the photocatalytic oxidation of organic compounds in water. To improve its photocatalytic activity, the Ti-coating MgAl hydrotalcite (Ti-MgAl-LDH) was prepared by chemical vapor deposition (CVD) method. Response surface method (RSM) was employed to evaluate the effect of Ti species coating parameters on the photocatalytic activity, which was found to be affected by the furnace temperature, N 2 flow rate and influx time of precursor gas. Application of RSM successfully increased the photocatalytic efficiency of the Ti-MgAl-LDH in methylene blue photodegradation under UV irradiation, leading to improved economy of the process. According to the results from X-ray diffraction, scanning electron microscopy, Brunner-Emmet-Teller and Barrett-Joyner-Hallender, thermogravimetric and differential thermal analysis, UV-vis diffuse reflectance spectra analyses, the Ti species (TiO 2 or/and Ti 4+ ) were successfully coated on the MgAl-LDH matrix. The Ti species on the surface of the Ti-MgAl-LDH lead to a higher photocatalytic performance than commercial TiO 2 -P25. The results suggested that CVD method provided a new approach for the industrial preparation of Ti-coating MgAl-LDH material with good photocatalytic performances. Copyright © 2017. Published by Elsevier B.V.

  6. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  7. Scattering of low-energetic atoms and molecules from a boron-doped CVD diamond surface

    NASA Astrophysics Data System (ADS)

    Allenbach, M.; Neuland, M. B.; Riedo, A.; Wurz, P.

    2018-01-01

    For the detection of low energetic neutral atoms for the remote sensing of space plasmas, charge state conversion surfaces are used to ionize the neutrals for their subsequent measurement. We investigated a boron-doped Chemical Vapor Deposition (CVD) diamond sample for its suitability to serve as a conversion surface on future space missions, such as NASA's Interstellar Mapping and Acceleration Probe. For H and O atoms incident on conversion surface with energies ranging from 195 to 1000 eV and impact angles from 6° to 15° we measured the angular scattering distributions and the ionization yields. Atomic force microscope and laser ablation ionization mass spectrometry analyses were applied to further characterize the sample. Based on a figure-of-merit, which included the ionization yield and angular scatter distribution, the B-doped CVD surface was compared to other, previously characterized conversion surfaces, including e.g. an undoped CVD diamond with a metallized backside. For particle energies below 390 eV the performance of the B-doped CVD conversion surfaces is comparable to surfaces studied before. For higher energies the figure-of-merit indicates a superior performance. From our studies we conclude that the B-doped CVD diamond sample is well suited for its application on future space missions.

  8. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  9. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  10. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  11. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method

    NASA Astrophysics Data System (ADS)

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-11-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  12. Synthesis of Different Layers of Graphene on Stainless Steel Using the CVD Method.

    PubMed

    Ghaemi, Ferial; Abdullah, Luqman Chuah; Tahir, Paridah Md; Yunus, Robiah

    2016-12-01

    In this study, different types of graphene, including single-, few-, and multi-layer graphene, were grown on a stainless steel (SS) mesh coated with Cu catalyst by using the chemical vapor deposition (CVD) method. Even though the SS mesh consisted of different types of metals, such as Fe, Ni, and Cr, which can also be used as catalysts, the reason for coating Cu catalyst on the SS surface had been related to the nature of the Cu, which promotes the growth of graphene with high quality and quantity at low temperature and time. The reaction temperature and run time, as the most important parameters of the CVD method, were varied, and thus led to the synthesis of different layers of graphene. Moreover, the presence of single-, few-, and multi-layer graphene was confirmed by employing two techniques, namely transmission electron microscopy (TEM) and Raman spectroscopy. On top of that, electron dispersive X-ray (EDX) was further applied to establish the influence of the CVD parameters on the growth of graphene.

  13. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  14. Microstructure and electroluminescent performance of chemical vapor deposited zinc sulfide doped with manganese films for integration in thin film electroluminescent devices

    NASA Astrophysics Data System (ADS)

    Topol, Anna Wanda

    Zinc sulfide (ZnS) doped with manganese (Mn), ZnS:Mn, is widely recognized as the brightest and most effective electroluminescent (EL) phosphor used in current thin film electroluminescent (TFEL) devices. ZnS acts as a host lattice for the luminescent activator, Mn, leading to a highly efficient yellow-orange EL emission, and resulting in a wide array of applications in monochrome, multi-color and full color displays. Although this wide band dap (3.7 eV) material can be prepared by several deposition techniques, the chemical vapor deposition (CVD) is the most promising for TFEL applications in terms of viable deposition rates, high thickness and composition uniformity, and excellent yield over large area panels. This study describes the development and optimization of a CVD ZnS:Mn process using diethylzinc [(C2H5)2Zn, DEZ], di-pi-cyclopentadienylmanganese [(C5H5)2Mn, CPMn], and hydrogen sulfide [H2S] as the chemical sources for, respectively, Zn, Mn, and S. The effects of key deposition parameters on resulting Film microstructure and performance are discussed, primarily in the context of identifying an optimized process window for best electroluminescence behavior. In particular, substrate temperature was observed to play a key role in the formation of high quality crystalline ZnS:Mn films leading to improved brightness and EL efficiency. Further investigations of the influence of temperature treatment on the structural characteristics and EL performance of the CVD ZnS:Mn film were carried out. In this study, the influence of post-deposition annealing both in-situ and ex-situ annealing processes, on chemical, structural, and electroluminescent characteristics of the phosphor layer are described. The material properties of the employed dielectric are among the key factors determining the performance, stability and reliability of the TFEL display and therefore, the choice of dielectric material for use in ACTFEL displays is crucial. In addition, the luminous

  15. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  16. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  17. Diffusion mechanisms in chemical vapor-deposited iridium coated on chemical vapor-deposited rhenium

    NASA Technical Reports Server (NTRS)

    Hamilton, J. C.; Yang, N. Y. C.; Clift, W. M.; Boehme, D. R.; Mccarty, K. F.; Franklin, J. E.

    1992-01-01

    Radiation-cooled rocket thruster chambers have been developed which use CVD Re coated with CVD Ir on the interior surface that is exposed to hot combustion gases. The Ir serves as an oxidation barrier which protects the structural integrity-maintaining Re at elevated temperatures. The diffusion kinetics of CVD materials at elevated temperatures is presently studied with a view to the prediction and extension of these thrusters' performance limits. Line scans for Ir and Re were fit on the basis of a diffusion model, in order to extract relevant diffusion constants; the fastest diffusion process is grain-boundary diffusion, where Re diffuses down grain boundaries in the Ir overlayer.

  18. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  19. Enhanced cold wall CVD reactor growth of horizontally aligned single-walled carbon nanotubes

    NASA Astrophysics Data System (ADS)

    Mu, Wei; Kwak, Eun-Hye; Chen, Bingan; Huang, Shirong; Edwards, Michael; Fu, Yifeng; Jeppson, Kjell; Teo, Kenneth; Jeong, Goo-Hwan; Liu, Johan

    2016-05-01

    HASynthesis of horizontally-aligned single-walled carbon nanotubes (HA-SWCNTs) by chemical vapor deposition (CVD) directly on quartz seems very promising for the fabrication of future nanoelectronic devices. In comparison to hot-wall CVD, synthesis of HA-SWCNTs in a cold-wall CVD chamber not only means shorter heating, cooling and growth periods, but also prevents contamination of the chamber. However, since most synthesis of HA-SWCNTs is performed in hot-wall reactors, adapting this well-established process to a cold-wall chamber becomes extremely crucial. Here, in order to transfer the CVD growth technology from a hot-wall to a cold-wall chamber, a systematic investigation has been conducted to determine the influence of process parameters on the HA-SWCNT's growth. For two reasons, the cold-wall CVD chamber was upgraded with a top heater to complement the bottom substrate heater; the first reason to maintain a more uniform temperature profile during HA-SWCNTs growth, and the second reason to preheat the precursor gas flow before projecting it onto the catalyst. Our results show that the addition of a top heater had a significant effect on the synthesis. Characterization of the CNTs shows that the average density of HA-SWCNTs is around 1 - 2 tubes/ μm with high growth quality as shown by Raman analysis. [Figure not available: see fulltext.

  20. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  1. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  2. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  3. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  4. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  5. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    NASA Astrophysics Data System (ADS)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  6. Properties, synthesis, and growth mechanisms of carbon nanotubes with special focus on thermal chemical vapor deposition.

    PubMed

    Nessim, Gilbert D

    2010-08-01

    Carbon nanotubes (CNTs) have been extensively investigated in the last decade because their superior properties could benefit many applications. However, CNTs have not yet made a major leap into industry, especially for electronic devices, because of fabrication challenges. This review provides an overview of state-of-the-art of CNT synthesis techniques and illustrates their major technical difficulties. It also charts possible in situ analyses and new reactor designs that might enable commercialization. After a brief description of the CNT properties and of the various techniques used to synthesize substrate-free CNTs, the bulk of this review analyzes chemical vapor deposition (CVD). This technique receives special attention since it allows CNTs to be grown in predefined locations, provides a certain degree of control of the types of CNTs grown, and may have the highest chance to succeed commercially. Understanding the primary growth mechanisms at play during CVD is critical for controlling the properties of the CNTs grown and remains the major hurdle to overcome. Various factors that influence CNT growth receive a special focus: choice of catalyst and substrate materials, source gases, and process parameters. This review illustrates important considerations for in situ characterization and new reactor designs that may enable researchers to better understand the physical growth mechanisms and to optimize the synthesis of CNTs, thus contributing to make carbon nanotubes a manufacturing reality.

  7. Impact of hydrogen and oxygen defects on the lattice parameter of chemical vapor deposited zinc sulfide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Wolf, Walter; Wimmer, Erich

    2013-01-09

    The lattice parameter of cubic chemical vapor deposited (CVD) ZnS with measured oxygen concentrations < 0.6 at.% and hydrogen impurities of < 0.015 at.% have been measured and found to vary between -0.10% and +0.09% relative to the reference lattice parameter (5.4093 Å) of oxygen-free cubic ZnS as reported in the literature. Defects other than substitutional O must be invoked to explain these observed volume changes. The structure and thermodynamic stability of a wide range of native and impurity induced defects in ZnS have been determined by Ab initio calculations. Lattice contraction is caused by S-vacancies, substitutional O on Smore » sites, Zn vacancies, H in S vacancies, peroxy defects, and dissociated water in S-vacancies. The lattice is expanded by interstitial H, H in Zn vacancies, dihydroxy defects, interstitial oxygen, Zn and [ZnHn] complexes (n=1,…,4), interstitial Zn, and S2 dumbbells. Oxygen, though present, likely forms substitutional defects for sulfur resulting in lattice contraction rather than as interstitial oxygen resulting in lattice expansion. It is concluded based on measurement and calculations that excess zinc atoms either at anti-sites (i.e. Zn atoms on S-sites) or possibly as interstitial Zn are responsible for the relative increase of the lattice parameter of commercially produced CVD ZnS.« less

  8. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  9. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  10. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  11. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  12. Thermoelectic properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy

    This thesis is based on experimental work on thermoelectric properties of CVD grown large area graphene. The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO 2_substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP S ≈ 20 mVK in ambient conditions and saturated at a negative value as low as S ≈ -50 mVK after vacuum-annealing at 500 K in a vacuum of 10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  13. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  14. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  15. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  16. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    NASA Astrophysics Data System (ADS)

    Jung, Hanearl; Kim, Doyoung; Kim, Hyungjun

    2014-04-01

    The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O2 gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O2 ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O2 from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10-3 Ω cm for undoped ZnO to 2.05 × 10-3 Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  17. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  18. Selenium-assisted controlled growth of graphene-Bi2Se3 nanoplates hybrid Dirac materials by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sun, Zhencui; Man, Baoyuan; Yang, Cheng; Liu, Mei; Jiang, Shouzhen; Zhang, Chao; Zhang, Jiaxin; Liu, Fuyan; Xu, Yuanyuan

    2016-03-01

    Se seed layers were used to synthesize the high-quality graphene-Bi2Se3 nanoplates hybrid Dirac materials via chemical vapor deposition (CVD) method. The morphology, crystallization and structural properties of the hybrid Dirac materials were characterized by SEM, EDS, Raman, XRD, AFM and HRTEM. The measurement results verify that the Se seed layer on the graphene surface can effectively saturate the surface dangling bonds of the graphene, which not only impel the uniform Bi2Se3 nanoplates growing along the horizontal direction but also can supply enough Se atoms to fill the Se vacancies. We also demonstrate the Se seed layer can effectively avoid the interaction of Bi2Se3 and the graphene. Further experiments testify the different Se seed layer on the graphene surface can be used to control the density of the Bi2Se3 nanoplates.

  19. Report of work done for technical assistance agreement 1269 between Sandia National Laboratories and the Watkins-Johnson Company: Chemical reaction mechanisms for computational models of SiO{sub 2} CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ho, P.; Johannes, J.; Kudriavtsev, V.

    The use of computational modeling to improve equipment and process designs for chemical vapor deposition (CVD) reactors is becoming increasingly common. Commercial codes are available that facilitate the modeling of chemically-reacting flows, but chemical reaction mechanisms must be separately developed for each system of interest. One f the products of the Watkins-Johnson Company (WJ) is a reactor marketed to semiconductor manufacturers for the atmospheric-pressure chemical vapor deposition (APCVD) of silicon oxide films. In this process, TEOS (tetraethoxysilane, Si(OC{sub 2}H{sub 5}){sub 4}) and ozone (O{sub 3}) are injected (in nitrogen and oxygen carrier gases) over hot silicon wafers that are beingmore » carried through the system on a moving belt. As part of their equipment improvement process, WJ is developing computational models of this tool. In this effort, they are collaborating with Sandia National Laboratories (SNL) to draw on Sandia`s experience base in understanding and modeling the chemistry of CVD processes.« less

  20. Micro-strip sensors based on CVD diamond

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  1. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    NASA Technical Reports Server (NTRS)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  2. Organic solar cells with graphene electrodes and vapor printed poly(3,4-ethylenedioxythiophene) as the hole transporting layers.

    PubMed

    Park, Hyesung; Howden, Rachel M; Barr, Miles C; Bulović, Vladimir; Gleason, Karen; Kong, Jing

    2012-07-24

    For the successful integration of graphene as a transparent conducting electrode in organic solar cells, proper energy level alignment at the interface between the graphene and the adjacent organic layer is critical. The role of a hole transporting layer (HTL) thus becomes more significant due to the generally lower work function of graphene compared to ITO. A commonly used HTL material with ITO anodes is poly(3,4-ethylenedioxythiophene) (PEDOT) with poly(styrenesulfonate) (PSS) as the solid-state dopant. However, graphene's hydrophobic surface renders uniform coverage of PEDOT:PSS (aqueous solution) by spin-casting very challenging. Here, we introduce a novel, yet simple, vapor printing method for creating patterned HTL PEDOT layers directly onto the graphene surface. Vapor printing represents the implementation of shadow masking in combination with oxidative chemical vapor deposition (oCVD). The oCVD method was developed for the formation of blanket (i.e., unpatterened) layers of pure PEDOT (i.e., no PSS) with systematically variable work function. In the unmasked regions, vapor printing produces complete, uniform, smooth layers of pure PEDOT over graphene. Graphene electrodes were synthesized under low-pressure chemical vapor deposition (LPCVD) using a copper catalyst. The use of another electron donor material, tetraphenyldibenzoperiflanthene, instead of copper phthalocyanine in the organic solar cells also improves the power conversion efficiency. With the vapor printed HTL, the devices using graphene electrodes yield comparable performances to the ITO reference devices (η(p,LPCVD) = 3.01%, and η(p,ITO) = 3.20%).

  3. The CVD ZrB2 as a selective solar absorber

    NASA Astrophysics Data System (ADS)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  4. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  5. Soft x-ray measurements using photoconductive type-IIa and single-crystal chemical vapor deposited diamond detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moore, A. S.; Bentley, C. D.; Foster, J. M.

    2008-10-15

    Photoconductive detectors (PCDs) are routinely used alongside vacuum x-ray diodes (XRDs) to provide an alternative x-ray flux measurement at laser facilities such as HELEN at AWE Aldermaston, UK, and Omega at the Laboratory for Laser Energetics. To evaluate diamond PCDs as an alternative to XRD arrays, calibration measurements made at the National Synchrotron Light Source (NSLS) at Brookhaven National Laboratory are used to accurately calculate the x-ray flux from a laser-heated target. This is compared to a flux measurement using the Dante XRD diagnostic. Estimates indicate that the photoinduced conductivity from measurements made at Omega are too large, and calculationsmore » using the radiometric calibrations made at the NSLS agree with this hypothesis. High-purity, single-crystal, chemical vapor deposited (CVD) diamond samples are compared to natural type-IIa PCDs and show promising high resistivity effects, the corollary of which preliminary results show is a slower response time.« less

  6. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  7. Fracture Characteristics of Monolayer CVD-Graphene

    PubMed Central

    Hwangbo, Yun; Lee, Choong-Kwang; Kim, Sang-Min; Kim, Jae-Hyun; Kim, Kwang-Seop; Jang, Bongkyun; Lee, Hak-Joo; Lee, Seoung-Ki; Kim, Seong-Su; Ahn, Jong-Hyun; Lee, Seung-Mo

    2014-01-01

    We have observed and analyzed the fracture characteristics of the monolayer CVD-graphene using pressure bulge testing setup. The monolayer CVD-graphene has appeared to undergo environmentally assisted subcritical crack growth in room condition, i.e. stress corrosion cracking arising from the adsorption of water vapor on the graphene and the subsequent chemical reactions. The crack propagation in graphene has appeared to be able to be reasonably tamed by adjusting applied humidity and stress. The fracture toughness, describing the ability of a material containing inherent flaws to resist catastrophic failure, of the CVD-graphene has turned out to be exceptionally high, as compared to other carbon based 3D materials. These results imply that the CVD-graphene could be an ideal candidate as a structural material notwithstanding environmental susceptibility. In addition, the measurements reported here suggest that specific non-continuum fracture behaviors occurring in 2D monoatomic structures can be macroscopically well visualized and characterized. PMID:24657996

  8. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  9. Nitrogen and silicon defect incorporation during homoepitaxial CVD diamond growth on (111) surfaces

    DOE PAGES

    Moore, Samuel L.; Vohra, Yogesh K.

    2015-01-01

    Chemical Vapor Deposited (CVD) diamond growth on (111)-diamond surfaces has received increased attention lately because of the use of N-V related centers in quantum computing as well as application of these defect centers in sensing nano-Tesla strength magnetic fields. We have carried out a detailed study of homoepitaxial diamond deposition on (111)-single crystal diamond (SCD) surfaces using a 1.2 kW microwave plasma CVD (MPCVD) system employing methane/hydrogen/nitrogen/oxygen gas phase chemistry. We have utilized Type Ib (111)-oriented single crystal diamonds as seed crystals in our study. The homoepitaxially grown diamond films were analyzed by Raman spectroscopy, Photoluminescence Spectroscopy (PL), X-ray Photoelectronmore » Spectroscopy (XPS), Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). The nitrogen concentration in the plasma was carefully varied between 0 and 1500 ppm while a ppm level of silicon impurity is present in the plasma from the quartz bell jar. The concentration of N-V defect centers with PL zero phonon lines (ZPL) at 575nm and 637nm and the Si-defect center with a ZPL at 737nm were experimentally detected from a variation in CVD growth conditions and were quantitatively studied. As a result, altering nitrogen and oxygen concentration in the plasma was observed to directly affect N-V and Si-defect incorporation into the (111)-oriented diamond lattice and these findings are presented.« less

  10. Process in manufacturing high efficiency AlGaAs/GaAs solar cells by MO-CVD

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Chang, K. I.; Tandon, J.

    1984-01-01

    Manufacturing technology for mass producing high efficiency GaAs solar cells is discussed. A progress using a high throughput MO-CVD reactor to produce high efficiency GaAs solar cells is discussed. Thickness and doping concentration uniformity of metal oxide chemical vapor deposition (MO-CVD) GaAs and AlGaAs layer growth are discussed. In addition, new tooling designs are given which increase the throughput of solar cell processing. To date, 2cm x 2cm AlGaAs/GaAs solar cells with efficiency up to 16.5% were produced. In order to meet throughput goals for mass producing GaAs solar cells, a large MO-CVD system (Cambridge Instrument Model MR-200) with a susceptor which was initially capable of processing 20 wafers (up to 75 mm diameter) during a single growth run was installed. In the MR-200, the sequencing of the gases and the heating power are controlled by a microprocessor-based programmable control console. Hence, operator errors can be reduced, leading to a more reproducible production sequence.

  11. High-Performance Polymers Sandwiched with Chemical Vapor Deposited Hexagonal Boron Nitrides as Scalable High-Temperature Dielectric Materials.

    PubMed

    Azizi, Amin; Gadinski, Matthew R; Li, Qi; AlSaud, Mohammed Abu; Wang, Jianjun; Wang, Yi; Wang, Bo; Liu, Feihua; Chen, Long-Qing; Alem, Nasim; Wang, Qing

    2017-09-01

    Polymer dielectrics are the preferred materials of choice for power electronics and pulsed power applications. However, their relatively low operating temperatures significantly limit their uses in harsh-environment energy storage devices, e.g., automobile and aerospace power systems. Herein, hexagonal boron nitride (h-BN) films are prepared from chemical vapor deposition (CVD) and readily transferred onto polyetherimide (PEI) films. Greatly improved performance in terms of discharged energy density and charge-discharge efficiency is achieved in the PEI sandwiched with CVD-grown h-BN films at elevated temperatures when compared to neat PEI films and other high-temperature polymer and nanocomposite dielectrics. Notably, the h-BN-coated PEI films are capable of operating with >90% charge-discharge efficiencies and delivering high energy densities, i.e., 1.2 J cm -3 , even at a temperature close to the glass transition temperature of polymer (i.e., 217 °C) where pristine PEI almost fails. Outstanding cyclability and dielectric stability over a straight 55 000 charge-discharge cycles are demonstrated in the h-BN-coated PEI at high temperatures. The work demonstrates a general and scalable pathway to enable the high-temperature capacitive energy applications of a wide range of engineering polymers and also offers an efficient method for the synthesis and transfer of 2D nanomaterials at the scale demanded for applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  13. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  14. Fully porous GaN p-n junction diodes fabricated by chemical vapor deposition.

    PubMed

    Bilousov, Oleksandr V; Carvajal, Joan J; Geaney, Hugh; Zubialevich, Vitaly Z; Parbrook, Peter J; Martínez, Oscar; Jiménez, Juan; Díaz, Francesc; Aguiló, Magdalena; O'Dwyer, Colm

    2014-10-22

    Porous GaN based LEDs produced by corrosion etching techniques demonstrated enhanced light extraction efficiency in the past. However, these fabrication techniques require further postgrown processing steps, which increases the price of the final system. Also, the penetration depth of these etching techniques is limited, and affects not only the semiconductor but also the other elements constituting the LED when applied to the final device. In this paper, we present the fabrication of fully porous GaN p-n junctions directly during growth, using a sequential chemical vapor deposition (CVD) process to produce the different layers that form the p-n junction. We characterized their diode behavior from room temperature to 673 K and demonstrated their ability as current rectifiers, thus proving the potential of these fully porous p-n junctions for diode and LEDs applications. The electrical and luminescence characterization confirm that high electronic quality porous structures can be obtained by this method, and we believe this investigation can be extended to other III-N materials for the development of white light LEDs, or to reduce reflection losses and narrowing the output light cone for improved LED external quantum efficiencies.

  15. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    DTIC Science & Technology

    2013-01-01

    catalyst thermal CVD (FCT-CVD) with xylene and ferrocene liquid mixture without any prior catalyst deposition. T-CVD is a low-cost system that can... ferrocene is used as an iron source to promoteCNT growth. Based on these repeatable results, the CNT growth parameters were used to grow CNTs on the...temperature furnace is ramped up to the growth temperature of 750∘C. Ferrocene was dissolved into a xylene solvent in a 0.008 : 1molar volume ratio.The xylene

  16. VOx effectively doping CVD-graphene for transparent conductive films

    NASA Astrophysics Data System (ADS)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  17. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  18. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  19. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  20. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  1. Lateral gas phase diffusion length of boron atoms over Si/B surfaces during CVD of pure boron layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohammadi, V., E-mail: V.Mohammadi@tudelft.nl; Nihtianov, S.

    The lateral gas phase diffusion length of boron atoms, L{sub B}, along silicon and boron surfaces during chemical vapor deposition (CVD) using diborane (B{sub 2}H{sub 6}) is reported. The value of L{sub B} is critical for reliable and uniform boron layer coverage. The presented information was obtained experimentally and confirmed analytically in the boron deposition temperature range from 700 °C down to 400 °C. For this temperature range the local loading effect of the boron deposition is investigated on the micro scale. A L{sub B} = 2.2 mm was determined for boron deposition at 700 °C, while a L{sub B}more » of less than 1 mm was observed at temperatures lower than 500 °C.« less

  2. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  3. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  4. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  5. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  6. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  7. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  8. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  9. CVD Rhenium Engines for Solar-Thermal Propulsion Systems

    NASA Technical Reports Server (NTRS)

    Williams, Brian E.; Fortini, Arthur J.; Tuffias, Robert H.; Duffy, Andrew J.; Tucker, Stephen P.

    1999-01-01

    Solar-thermal upper-stage propulsion systems have the potential to provide specific impulse approaching 900 seconds, with 760 seconds already demonstrated in ground testing. Such performance levels offer a 100% increase in payload capability compared to state-of-the-art chemical upper-stage systems, at lower cost. Although alternatives such as electric propulsion offer even greater performance, the 6- to 18- month orbital transfer time is a far greater deviation from the state of the art than the one to two months required for solar propulsion. Rhenium metal is the only material that is capable of withstanding the predicted thermal, mechanical, and chemical environment of a solar-thermal propulsion device. Chemical vapor deposition (CVD) is the most well-established and cost-effective process for the fabrication of complex rhenium structures. CVD rhenium engines have been successfully constructed for the Air Force ISUS program (bimodal thrust/electricity) and the NASA Shooting Star program (thrust only), as well as under an Air Force SBIR project (thrust only). The bimodal engine represents a more long-term and versatile approach to solar-thermal propulsion, while the thrust-only engines provide a potentially lower weight/lower cost and more near-term replacement for current upper-stage propulsion systems.

  10. Influence of low energy argon plasma treatment on the moisture barrier performance of hot wire-CVD grown SiNx multilayers

    NASA Astrophysics Data System (ADS)

    Majee, Subimal; Fátima Cerqueira, Maria; Tondelier, Denis; Geffroy, Bernard; Bonnassieux, Yvan; Alpuim, Pedro; Bourée, Jean Eric

    2014-01-01

    The reliability and stability are key issues for the commercial utilization of organic photovoltaic devices based on flexible polymer substrates. To increase the shelf-lifetime of these devices, transparent moisture barriers of silicon nitride (SiNx) films are deposited at low temperature by hot wire CVD (HW-CVD) process. Instead of the conventional route based on organic/inorganic hybrid structures, this work defines a new route consisting in depositing multilayer stacks of SiNx thin films, each single layer being treated by argon plasma. The plasma treatment allows creating smoother surface and surface atom rearrangement. We define a critical thickness of the single layer film and focus our attention on the effect of increasing the number of SiNx single-layers on the barrier properties. A water vapor transmission rate (WVTR) of 2 × 10-4 g/(m2·day) is reported for SiNx multilayer stack and a physical interpretation of the plasma treatment effect is given.

  11. Electronic structure of polycrystalline CVD-graphene revealed by Nano-ARPES

    NASA Astrophysics Data System (ADS)

    Chen, Chaoyu; Avila, José; Asensio, Maria C.

    2017-06-01

    The ability to explore electronic structure and their role in determining material’s macroscopic behaviour is essential to explain and engineer functions of material and device. Since its debut in 2004, graphene has attracted global research interest due to its unique properties. Chemical vapor deposition (CVD) has emerged as an important method for the massive preparation and production of graphene for various applications. Here by employing angle-resolved photoemission spectroscopy with nanoscale spatial resolution ˜ 100 nm (Nano-ARPES), we describe the approach to measure the electronic structure of polycrystalline graphene on copper foils, demonstrating the power of Nano-ARPES to detect the electronic structure of microscopic single crystalline domains, being fully compatible with conventional ARPES. Similar analysis could be employed to other microscopic materials

  12. Revealing the microscopic CVD growth mechanism of MoSe2 and the role of hydrogen gas during the growth procedure.

    PubMed

    Wang, Hulian; Zhu, Dancheng; Jiang, Feng; Zhao, Pei; Wang, Hongtao; Zhang, Ze; Chen, Xin; Jin, Chuanhong

    2018-08-03

    Understanding the microscopic mechanisms for the nucleation and growth of two-dimensional molybdenum diselenide (2D MoSe 2 ) via chemical vapor deposition (CVD) is crucial towards the precisely controlled growth of the 2D material. In this work, we employed a joint use of transmission electron microscopy and CVD, in which the 2D MoSe 2 were directly grown on a graphene membrane based on grids, that enables the microstructural characterization of as-grown MoSe 2 flakes. We further explore the role of hydrogen gas and find: in an argon ambient, the primary products are few-layer MoSe 2 flakes, along with MoO x nanoparticles; while with the introduction of H 2 , single-layer MoSe 2 became the dominant product during the CVD growth. Quantitative analysis of the effects of H 2 flow rate on the flake sizes, and areal coverage was also given. Nevertheless, we further illuminated the evolution of shape morphology and edge structures of single-layer MoSe 2 , and proposed the associated growth routes during a typical CVD process.

  13. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  14. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  15. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  16. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  17. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  18. Deposition of tantalum carbide coatings on graphite by laser interactions

    NASA Technical Reports Server (NTRS)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  19. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  20. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  1. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  2. Radio Frequency Transistors and Circuits Based on CVD MoS2.

    PubMed

    Sanne, Atresh; Ghosh, Rudresh; Rai, Amritesh; Yogeesh, Maruthi Nagavalli; Shin, Seung Heon; Sharma, Ankit; Jarvis, Karalee; Mathew, Leo; Rao, Rajesh; Akinwande, Deji; Banerjee, Sanjay

    2015-08-12

    We report on the gigahertz radio frequency (RF) performance of chemical vapor deposited (CVD) monolayer MoS2 field-effect transistors (FETs). Initial DC characterizations of fabricated MoS2 FETs yielded current densities exceeding 200 μA/μm and maximum transconductance of 38 μS/μm. A contact resistance corrected low-field mobility of 55 cm(2)/(V s) was achieved. Radio frequency FETs were fabricated in the ground-signal-ground (GSG) layout, and standard de-embedding techniques were applied. Operating at the peak transconductance, we obtain short-circuit current-gain intrinsic cutoff frequency, fT, of 6.7 GHz and maximum intrinsic oscillation frequency, fmax, of 5.3 GHz for a device with a gate length of 250 nm. The MoS2 device afforded an extrinsic voltage gain Av of 6 dB at 100 MHz with voltage amplification until 3 GHz. With the as-measured frequency performance of CVD MoS2, we provide the first demonstration of a common-source (CS) amplifier with voltage gain of 14 dB and an active frequency mixer with conversion gain of -15 dB. Our results of gigahertz frequency performance as well as analog circuit operation show that large area CVD MoS2 may be suitable for industrial-scale electronic applications.

  3. Chemical Vapor Deposited SiC (SCS-0) Fiber-Reinforced Strontium Aluminosilicate Glass-Ceramic Composites

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.

    1997-01-01

    Unidirectional SrO Al2O3 2SiO2 glass-ceramic matrix composites reinforced with uncoated Chemical Vapor Deposited (CVD) SiC (SCS-0) fibers have been fabricated by hot-pressing under appropriate conditions using the glass-ceramic approach. Almost fully dense composites having a fiber volume fraction of 0.24 have been obtained. Monoclinic celsian, SrAl2Si2O8, was the only crystalline phase observed in the matrix by x-ray diffraction. No chemical reaction was observed between the fiber and the matrix after high temperature processing. In three-point flexure, the composite exhibited a first matrix cracking stress of approx. 231 +/- 20 MPa and an ultimate strength of 265 +/- 17 MPa. Examination of fracture surfaces revealed limited short length fiber pull-out. From fiber push-out, the fiber/matrix interfacial debonding and frictional strengths were evaluated to be approx. 17.5 +/- 2.7 MPa and 11.3 +/- 1.6 MPa, respectively. Some fibers were strongly bonded to the matrix and could not be pushed out. The micromechanical models were not useful in predicting values of the first matrix cracking stress as well as the ultimate strength of the composites.

  4. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  5. Advances in the Development of a WCl6 CVD System for Coating UO2 Powders with Tungsten

    NASA Technical Reports Server (NTRS)

    Mireles, Omar R.; Tieman, Alyssa; Broadway, Jeramie; Hickman, Robert

    2013-01-01

    W-UO2 CERMET fuels are under development to enable Nuclear Thermal Propulsion (NTP) for deep space exploration. Research efforts with an emphasis on fuel fabrication, testing, and identification of potential risks is underway. One primary risk is fuel loss due to CTE mismatch between W and UO2 and the grain boundary structure of W particles resulting in higher thermal stresses. Mechanical failure can result in significant reduction of the UO2 by hot hydrogen. Fuel loss can be mitigated if the UO2 particles are coated with a layer of high density tungsten before the consolidation process. This paper discusses the work to date, results, and advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process. Keywords: Space, Nuclear, Thermal, Propulsion, Fuel, CERMET, CVD, Tungsten, Uranium

  6. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    NASA Astrophysics Data System (ADS)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  7. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  8. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    NASA Astrophysics Data System (ADS)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  9. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  10. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  11. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  12. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  13. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  14. Direct synthesis of vertically aligned ZnO nanowires on FTO substrates using a CVD method and the improvement of photovoltaic performance

    PubMed Central

    2012-01-01

    In this work, we report a direct synthesis of vertically aligned ZnO nanowires on fluorine-doped tin oxide-coated substrates using the chemical vapor deposition (CVD) method. ZnO nanowires with a length of more than 30 μm were synthesized, and dye-sensitized solar cells (DSSCs) based on the as-grown nanowires were fabricated, which showed improvement of the device performance compared to those fabricated using transferred ZnO nanowires. Dependence of the cell performance on nanowire length and annealing temperature was also examined. This synthesis method provided a straightforward, one-step CVD process to grow relatively long ZnO nanowires and avoided subsequent nanowire transfer process, which simplified DSSC fabrication and improved cell performance. PMID:22673046

  15. Raman studied of undoped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    The undoped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The effect of different substrate deposition temperatures on structural and electrical properties of undoped doped amorphous carbon film was discussed. The structural of undoped amorphous carbon films were correlated with Raman analysis through the evolution of D and G bands, Fourier spectra, and conductivity measurement. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. The spectral evolution observed at different substrate deposition temperatures show progressive formation of crystallites. It was predicted that small number of hydrogen is terminated with carbon at surface of thin film as shown by FTIR spectra since palm oil has high number of hydrogen (C67H127O8). These structural changes were further correlated with conductivity and the results obtained are discussed and compared. The conductivity is found in the range of 10-8 Scm-1. The increase of conductivity is correlated by the change of structural properties as correlated with characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG and FTIR result.

  16. Chemical vapor deposition of aminopropyl silanes in microfluidic channels for highly efficient microchip capillary electrophoresis-electrospray ionization-mass spectrometry.

    PubMed

    Batz, Nicholas G; Mellors, J Scott; Alarie, Jean Pierre; Ramsey, J Michael

    2014-04-01

    We describe a chemical vapor deposition (CVD) method for the surface modification of glass microfluidic devices designed to perform electrophoretic separations of cationic species. The microfluidic channel surfaces were modified using aminopropyl silane reagents. Coating homogeneity was inferred by precise measurement of the separation efficiency and electroosmotic mobility for multiple microfluidic devices. Devices coated with (3-aminopropyl)di-isopropylethoxysilane (APDIPES) yielded near diffusion-limited separations and exhibited little change in electroosmotic mobility between pH 2.8 and pH 7.5. We further evaluated the temporal stability of both APDIPES and (3-aminopropyl)triethoxysilane (APTES) coatings when stored for a total of 1 week under vacuum at 4 °C or filled with pH 2.8 background electrolyte at room temperature. Measurements of electroosmotic flow (EOF) and separation efficiency during this time confirmed that both coatings were stable under both conditions. Microfluidic devices with a 23 cm long, serpentine electrophoretic separation channel and integrated nanoelectrospray ionization emitter were CVD coated with APDIPES and used for capillary electrophoresis (CE)-electrospray ionization (ESI)-mass spectrometry (MS) of peptides and proteins. Peptide separations were fast and highly efficient, yielding theoretical plate counts over 600,000 and a peak capacity of 64 in less than 90 s. Intact protein separations using these devices yielded Gaussian peak profiles with separation efficiencies between 100,000 and 400,000 theoretical plates.

  17. Initial stages of growth and the influence of temperature during chemical vapor deposition of sp{sup 2}-BN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chubarov, Mikhail; Pedersen, Henrik; Högberg, Hans

    2015-11-15

    Knowledge of the structural evolution of thin films, starting by the initial stages of growth, is important to control the quality and properties of the film. The authors present a study on the initial stages of growth and the temperature influence on the structural evolution of sp{sup 2} hybridized boron nitride (BN) thin films during chemical vapor deposition (CVD) with triethyl boron and ammonia as precursors. Nucleation of hexagonal BN (h-BN) occurs at 1200 °C on α-Al{sub 2}O{sub 3} with an AlN buffer layer (AlN/α-Al{sub 2}O{sub 3}). At 1500 °C, h-BN grows with a layer-by-layer growth mode on AlN/α-Al{sub 2}O{sub 3} upmore » to ∼4 nm after which the film structure changes to rhombohedral BN (r-BN). Then, r-BN growth proceeds with a mixed layer-by-layer and island growth mode. h-BN does not grow on 6H-SiC substrates; instead, r-BN nucleates and grows directly with a mixed layer-by-layer and island growth mode. These differences may be caused by differences in substrate surface temperature due to different thermal conductivities of the substrate materials. These results add to the understanding of the growth process of sp{sup 2}-BN employing CVD.« less

  18. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  19. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  20. Microstructure-property relationships of chemically vapor deposited zirconia fiber coating for environmentally durable silicon carbide/silicon carbide composites

    NASA Astrophysics Data System (ADS)

    Li, Hao

    In SiC/SiC ceramic matrix composites, toughness is obtained by adding a fiber coating, which provides a weak interface for crack deflection and debonding between the fiber and the matrix. However, the most commonly used fiber coatings, carbon and boron nitride, are unstable in oxidative environments. In the present study, the feasibility of using a chemically vapor deposited zirconia (CVD-ZrO2) fiber coating as an oxidation-resistant interphase for SiC/SiC composites was investigated. A study of morphological evolution in the CVD-ZrO2 coating suggested that a size-controlled displacive phase transformation from tetragonal ZrO2 ( t-ZrO2) to monoclinic ZrO2 (m-ZrO 2) was the key mechanism responsible for the weak interface behavior exhibited by the ZrO2 coating. It appeared that a low oxygen partial pressure in the CVD reactor chamber was essential for the nucleation of t-ZrO2 and therefore was responsible for the delamination behavior. With this understanding of the weak interface mechanism, minicomposite specimens containing various ZrO2 fiber coating morphologies were fabricated and tested. A fractographic analysis showed that in-situ fiber strength and minicomposite failure loads were strongly dependent on the phase contents and microstructure of the ZrO2 coating. We determined that an optimum microstructure of the ZrO2 coating should contain a predelaminated interface surrounded by a dense outer layer. The outer layer was needed to protect the fiber from degradation during the subsequent SiC matrix infiltration procedure. A preliminary tensile stress-rupture study indicated that the ZrO2 coating exhibited promising performance in terms of providing the weak interface behavior and maintaining the thermal and oxidative stability at elevated temperatures.

  1. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  2. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  3. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  4. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  5. Raman spectra boron doped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    Boron doped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The structural boron doped amorphous carbon films were discussed by Raman analysis through the evolution of D and G bands. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. These structural changes were further correlated with optical gap and the results obtained are discussed and compared. The estimated optical band gap is found to be 1.9 to 2.05 eV and conductivity is to be in the range of 10-5 Scm-1 to 10-4 Scm-1. The decrease of optical band gap is associated to conductivity increased which change the characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG.

  6. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    PubMed

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  7. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  8. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  9. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  10. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  11. A Scalable Route to Nanoporous Large-Area Atomically Thin Graphene Membranes by Roll-to-Roll Chemical Vapor Deposition and Polymer Support Casting.

    PubMed

    Kidambi, Piran R; Mariappan, Dhanushkodi D; Dee, Nicholas T; Vyatskikh, Andrey; Zhang, Sui; Karnik, Rohit; Hart, A John

    2018-03-28

    Scalable, cost-effective synthesis and integration of graphene is imperative to realize large-area applications such as nanoporous atomically thin membranes (NATMs). Here, we report a scalable route to the production of NATMs via high-speed, continuous synthesis of large-area graphene by roll-to-roll chemical vapor deposition (CVD), combined with casting of a hierarchically porous polymer support. To begin, we designed and built a two zone roll-to-roll graphene CVD reactor, which sequentially exposes the moving foil substrate to annealing and growth atmospheres, with a sharp, isothermal transition between the zones. The configurational flexibility of the reactor design allows for a detailed evaluation of key parameters affecting graphene quality and trade-offs to be considered for high-rate roll-to-roll graphene manufacturing. With this system, we achieve synthesis of uniform high-quality monolayer graphene ( I D / I G < 0.065) at speeds ≥5 cm/min. NATMs fabricated from the optimized graphene, via polymer casting and postprocessing, show size-selective molecular transport with performance comparable to that of membranes made from conventionally synthesized graphene. Therefore, this work establishes the feasibility of a scalable manufacturing process of NATMs, for applications including protein desalting and small-molecule separations.

  12. Growth and Properties of Cl- Incorporated ZnO Nanofilms Grown by Ultrasonic Spray-Assisted Chemical Vapor Deposition.

    PubMed

    Chen, Tingfang; Wang, Aiji; Kong, Lingrui; Li, Yongliang; Wang, Yinshu

    2016-04-01

    Pure and Cl- incorporated ZnO nanofilms were grown by the ultrasonic spray-assisted chemical vapor deposition (CVD) method. The properties of the nanofilms were investigated. The effects of growth temperature and Cl- concentration on the crystal structure, morphology, and optical properties of the nanofilms were studied. Temperature plays an important role in the growth mode and morphology of the pure nanofilms. Preferential growth along the c-axis occurs only at modulating temperature. Lower temperature suppresses the preferential growth, and higher temperature suppresses the growth of the nanofilms. The morphologies of the nanofilms change from lamellar and spherical structures into hexagonal platelets, then into separated nanoparticles with an increase in the temperature. Incorporating Cl- results in the lattice contracting gradually along with c-axis. Grains composing the nanofilms refine, and the optical gap broadens with increasing of Cl- concentration in growth precursor. Incorporating Cl- could reduce oxygen vacancies and passivate the non-irradiated centers, thus enhancing the UV emission and suppressing the visible emission of ZnO nanofilms.

  13. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  14. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru; Voloshin, A. E.; Ralchenko, V. G.

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  15. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  16. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  17. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  18. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  19. A chemical and fluid dynamic study of the chemical vapor deposition of aluminum nitride in a vertical reactor

    NASA Astrophysics Data System (ADS)

    Bather, Wayne Anthony

    The metalorganic chemical vapor deposition (MOCVD) growth of compound semiconductors has become important in producing many high performance electronic and optoelectronic devices from the wide bandgaps III-V nitrides, for example, aluminum nitride (AlN). A systematic theoretical and experimental investigation of the chemistry and mass transport process in a MOCVD system can yield predictive models of the deposition process. The chemistries and fluid dynamics of the MOCVD growth of AlN in a vertical reactor is analyzed and characterized in order to parameterize and model the deposition process. A Fourier Transform Infrared (FTIR) spectroscopic study of the predeposition reactions between trimethylaluminum (TMAl) and ammonia (NHsb3) is carried out in a static gas cell to examine the primary homogeneous gas phase reactions, pyrolysis of the reactants, and adduct formation, possibly accompanied by elimination reactions. A series of reactions, based on laboratory studies and literature review, is then proposed to model the deposition process. All pertinent kinetic, thermochemical, and transport properties were obtained. Utilizing a mass transport model, we performed computational fluid dynamics calculations using the FLUENT software package. We determined temperature, velocity, and concentration profiles, along with deposition rates inside the experimental vertical CVD reactor in the Howard University Material Science Research Center of Excellence. Experimental deposition rate data were found to be in good agreement with those predicted from the simulations, thus validating the proposed model. The control of the homogeneous gas phase reaction leading to the formation and subsequent decomposition of the adduct is critical to the formation of device-grade AlN films. Many basic processes occurring during MOCVD of AlN are still not completely understood, and none of the detailed surface reaction mechanisms are known.

  20. CVD Fiber Coatings for Al2O3/NiAl Composites

    NASA Technical Reports Server (NTRS)

    Boss, Daniel E.

    1995-01-01

    While sapphire-fiber-reinforced nickel aluminide (Al2O3/NiAl) composites are an attractive candidate for high-temperature structures, the significant difference in the coefficient of thermal expansion between the NiAl matrix and the sapphire fiber creates substantial residual stresses in the composite. This study seeks to produce two fiber-coating systems with the potential to reduce the residual stresses in the sapphire/NiAl composite system. Chemical vapor deposition (CVD) was used to produce both the compensating and compliant-fiber coatings for use in sapphire/NiAl composites. A special reactor was designed and built to produce the FGM and to handle the toxic nickel precursors. This process was successfully used to produce 500-foot lengths of fiber with coating thicknesses of approximately 3 microns, 5 microns, and 10 microns.

  1. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  2. A Route Towards Sustainability Through Engineered Polymeric Interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reeja-Jayan, B; Kovacik, P; Yang, R

    2014-05-30

    Chemical vapor deposition (CVD) of polymer films represent the marriage of two of the most important technological innovations of the modern age. CVD as a mature technology for growing inorganic thin films is already a workhorse technology of the microfabrication industry and easily scalable from bench to plant. The low cost, mechanical flexibility, and varied functionality offered by polymer thin films make them attractive for both macro and micro scale applications. This review article focuses on two energy and resource efficient CVD polymerization methods, initiated Chemical Vapor Deposition (iCVD) and oxidative Chemical Vapor Deposition (oCVD). These solvent-free, substrate independent techniquesmore » engineer multi-scale, multi-functional and conformal polymer thin film surfaces and interfaces for applications that can address the main sustainability challenges faced by the world today.« less

  3. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS2 grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaplan, D.; Mills, K.; Lee, J.; Torrel, S.; Swaminathan, V.

    2016-06-01

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS2 grown by chemical vapor deposition (CVD) on SiO2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150-250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS2 monolayers can be leveraged for various device applications.

  4. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    NASA Technical Reports Server (NTRS)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  5. Numerical Simulations of a 96-rod Polysilicon CVD Reactor

    NASA Astrophysics Data System (ADS)

    Guoqiang, Tang; Cong, Chen; Yifang, Cai; Bing, Zong; Yanguo, Cai; Tihu, Wang

    2018-05-01

    With the rapid development of the photovoltaic industry, pressurized Siemens belljar-type polysilicon CVD reactors have been enlarged from 24 rods to 96 rods in less than 10 years aimed at much greater single-reactor productivity. A CFD model of an industry-scale 96-rod CVD reactor was established to study the internal temperature distribution and the flow field of the reactor. Numerical simulations were carried out and compared with actual growth results from a real CVD reactor. Factors affecting polysilicon depositions such as inlet gas injections, flow field, and temperature distribution in the CVD reactor are studied.

  6. Direct Laser Writing of Graphene Made from Chemical Vapor Deposition for Flexible, Integratable Micro-Supercapacitors with Ultrahigh Power Output.

    PubMed

    Ye, Jianglin; Tan, Huabing; Wu, Shuilin; Ni, Kun; Pan, Fei; Liu, Jie; Tao, Zhuchen; Qu, Yan; Ji, Hengxing; Simon, Patrice; Zhu, Yanwu

    2018-05-17

    High-performance yet flexible micro-supercapacitors (MSCs) hold great promise as miniaturized power sources for increasing demand of integrated electronic devices. Herein, this study demonstrates a scalable fabrication of multilayered graphene-based MSCs (MG-MSCs), by direct laser writing (DLW) of stacked graphene films made from industry-scale chemical vapor deposition (CVD). Combining the dry transfer of multilayered CVD graphene films, DLW allows a highly efficient fabrication of large-areal MSCs with exceptional flexibility, diverse planar geometry, and capability of customer-designed integration. The MG-MSCs exhibit simultaneously ultrahigh energy density of 23 mWh cm -3 and power density of 1860 W cm -3 in an ionogel electrolyte. Notably, such MG-MSCs demonstrate an outstanding flexible alternating current line-filtering performance in poly(vinyl alcohol) (PVA)/H 2 SO 4 hydrogel electrolyte, indicated by a phase angle of -76.2° at 120 Hz and a resistance-capacitance constant of 0.54 ms, due to the efficient ion transport coupled with the excellent electric conductance of the planar MG microelectrodes. MG-polyaniline (MG-PANI) hybrid MSCs fabricated by DLW of MG-PANI hybrid films show an optimized capacitance of 3.8 mF cm -2 in PVA/H 2 SO 4 hydrogel electrolyte; an integrated device comprising MG-MSCs line filtering, MG-PANI MSCs, and pressure/gas sensors is demonstrated. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Low temperature growth of diamond films on optical fibers using Linear Antenna CVD system

    NASA Astrophysics Data System (ADS)

    Ficek, M.; Drijkoningen, S.; Karczewski, J.; Bogdanowicz, R.; Haenen, K.

    2016-01-01

    It is not trivial to achieve a good quality diamond-coated fibre interface due to a large difference in the properties and composition of the diamond films (or use coating even) and the optical fibre material, i.e. fused silica. One of the biggest problems is the high temperature during the deposition which influences the optical fibre or optical fibre sensor structure (e.g. long-period gratings (LPG)). The greatest advantage of a linear antenna microwave plasma enhanced chemical vapor deposition system (LA MW CVD) is the fact that it allows to grow the diamond layers at low temperature (below 300°C) [1]. High quality nanocrystalline diamond (NCD) thin films with thicknesses ranging from 70 nm to 150 nm, were deposited on silicon, glass and optical fibre substrates [2]. Substrates pretreatment by dip-coating and spin coating process with a dispersion consisting of detonation nanodiamond (DND) in dimethyl sulfoxide (DMSO) with polyvinyl alcohol (PVA) has been applied. During the deposition process the continuous mode of operation of the LA MW CVD system was used, which produces a continuous wave at a maximum power of 1.9 kW (in each antenna). Diamond films on optical fibres were obtained at temperatures below 350°C, providing a clear improvement of results compared to our earlier work [3]. The samples were characterized by scanning electron microscopy (SEM) imaging to investigate the morphology of the nanocrystalline diamond films. The film growth rate, film thickness, and optical properties in the VIS-NIR range, i.e. refractive index and extinction coefficient will be discussed based on measurements on reference quartz plates by using spectroscopic ellipsometry (SE).

  8. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  9. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  10. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    PubMed

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  11. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  12. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  13. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  14. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  15. AuCl3 doping-induced conductive unstability for CVD-grown graphene on glass substrate

    NASA Astrophysics Data System (ADS)

    Wang, Jiaqing; Liu, Xianming; Cao, Xueying; Zhang, Peng; Lei, Xiaohua; Chen, Weimin

    2017-09-01

    Graphene is a candidate material for next-generation high performance transparent conducting film (TCF) to replace indium tin oxide (ITO) materials. However, the sheet resistance of large area graphene obtained by the chemical vapor deposition (CVD) method is higher than other kinds of TCFs. The main strategies for improving the electrical conductivity of graphene films have been based on various doping treatments. AuCl3 is one of the most effective dopants. In this paper, we investigate the influence of AuCl3 doping on the conductive stability of CVD-grown graphene. Large area graphene film synthesized by CVD and transferred to glass substrates is taken as experimental sample. AuCl3 in nitromethane is used to dope the graphene films to improve the electrical conductivity. Another sample without doping is prepared for comparison. The resistances of graphene under periodic visible light irradiation with and without AuCl3 doping are measured. Results show that the resistances for all samples increase exponentially under lighting, while decrease slowly in an exponential form as well after the light is switched off. The relative resistance changes for undoped and doped samples are compared under 445nm light irradiation with 40mW/cm2, 60mW/cm2, 80mW/cm2, 100mW/cm2 in atmosphere and vacuum. The change rate and degree for doped graphene are greater than that of undoped graphene. It is evident from the experimental data that AuCl3 doping may induce conductive unstability for CVD-grown graphene on glass substrate.

  16. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn; Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystalsmore » is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.« less

  17. From Bench Top to Market: Growth of Multi-Walled Carbon Nanotubes by Injection CVD Using Fe Organometallics - Production of a Commercial Reactor

    NASA Technical Reports Server (NTRS)

    Rowsell, J.; Hepp, A. F.; Harris, J. D.; Raffaelle, R. P.; Cowen, J. C.; Scheiman, D. A.; Flood, D. M.; Flood, D. J.

    2009-01-01

    Preferential oriented multiwalled carbon nanotubes were prepared by the injection chemical vapor deposition (CVD) method using either cyclopentadienyliron dicarbonyl dimer or cyclooctatetraene iron tricarbonyl as the iron catalyst source. The catalyst precursors were dissolved in toluene as the carrier solvent for the injections. The concentration of the catalyst was found to influence both the growth (i.e., MWNT orientation) of the nanotubes, as well as the amount of iron in the deposited material. As deposited, the multiwalled carbon nanotubes contained as little as 2.8% iron by weight. The material was deposited onto tantalum foil and fused silica substrates. The nanotubes were characterized by scanning electron microscopy, transmission electron microscopy, Raman spectroscopy and thermogravimetric analysis. This synthetic route provides a simple and scalable method to deposit MWNTs with a low defect density, low metal content and a preferred orientation. Subsequently, a small start-up was founded to commercialize the deposition equipment. The contrast between the research and entrepreneurial environments will be discussed.

  18. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  19. Optimization of chemical vapor deposition diamond films growth on steel: correlation between mechanical properties, structure, and composition.

    PubMed

    Laikhtman, A; Rapoport, L; Perfilyev, V; Moshkovich, A; Akhvlediani, R; Hoffman, A

    2011-09-01

    In the present work we perform optimization of mechanical and crystalline properties of CVD microcrystalline diamond films grown on steel substrates. A chromium-nitride (Cr-N) interlayer had been previously proposed to serve as a buffer for carbon and iron inter-diffusion and as a matching layer for the widely differing expansion coefficients of diamond and steel. However, adhesion and wear as well as crystalline perfection of diamond films are strongly affected by conditions of both Cr-N interlayer preparation and CVD diamond deposition. In this work we assess the effects of two parameters. The first one is the temperature of the Cr-N interlayer preparation: temperatures in the range of 500 degrees C-800 degrees C were used. The second one is diamond film thickness in the 0.5 microm-2 microm range monitored through variation of the deposition time from approximately 30 min to 2 hours. The mechanical properties of so deposited diamond films were investigated. For this purpose, scratch tests were performed at different indentation loads. The friction coefficient and wear loss were assessed. The mechanical and tribological properties were related to structure, composition, and crystalline perfection of diamond films which were extensively analyzed using different microscopic and spectroscopic techniques. It was found that relatively thick diamond film deposited on the Cr-N interlayer prepared at the temperature similar to that of the CVD process has the best mechanical and adhesion strength. This film was stable without visible cracks around the wear track during all scratch tests with different indentation loads. In other cases, cracking and delamination of the films took place at low to moderate indentation loads.

  20. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Tractable Chemical Models for CVD of Silicon and Carbon

    NASA Technical Reports Server (NTRS)

    Blanquet, E.; Gokoglu, S. A.

    1993-01-01

    Tractable chemical models are validated for the CVD of silicon and carbon. Dilute silane (SiH4) and methane (CH4) in hydrogen are chosen as gaseous precursors. The chemical mechanism for each systems Si and C is deliberately reduced to three reactions in the models: one in the gas phase and two at the surface. The axial-flow CVD reactor utilized in this study has well-characterized flow and thermal fields and provides variable deposition rates in the axial direction. Comparisons between the experimental and calculated deposition rates are made at different pressures and temperatures.

  2. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  3. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  4. Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties

    DOE PAGES

    Baggetto, Loic; Charvillat, Cedric; Thebault, Yannick; ...

    2015-12-02

    Ti/Al 2O 3 bilayer stacks are used as model systems to investigate the role of atomic layer deposition (ALD) and chemical vapor deposition (CVD) to prepare 30-180 nm thick amorphous alumina films as protective barriers for the medium temperature oxidation (500-600⁰C) of titanium, which is employed in aeronautic applications. X-ray diffraction (XRD), transmission electron microscopy (TEM) with selected area electron diffraction (SAED), and X-ray photoelectron spectroscopy (XPS) results show that the films produced from the direct liquid injection (DLI) CVD of aluminum tri-isopropoxide (ATI) are poor oxygen barriers. The films processed using the ALD of trimethylaluminum (TMA) show good barriermore » properties but an extensive intermixing with Ti which subsequently oxidizes. In contrast, the films prepared from dimethyl aluminum isopropoxide (DMAI) by CVD are excellent oxygen barriers and show little intermixing with Ti. Overall, these measurements correlate the effect of the alumina coating thickness, morphology, and stoichiometry resulting from the preparation method to the oxidation barrier properties, and show that compact and stoichiometric amorphous alumina films offer superior barrier properties.« less

  5. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  6. The role of NH3 and hydrocarbon mixtures in GaN pseudo-halide CVD: a quantum chemical study.

    PubMed

    Gadzhiev, Oleg B; Sennikov, Peter G; Petrov, Alexander I; Kachel, Krzysztof; Golka, Sebastian; Gogova, Daniela; Siche, Dietmar

    2014-11-01

    The prospects of a control for a novel gallium nitride pseudo-halide vapor phase epitaxy (PHVPE) with HCN were thoroughly analyzed for hydrocarbons-NH3-Ga gas phase on the basis of quantum chemical investigation with DFT (B3LYP, B3LYP with D3 empirical correction on dispersion interaction) and ab-initio (CASSCF, coupled clusters, and multireference configuration interaction including MRCI+Q) methods. The computational screening of reactions for different hydrocarbons (CH4, C2H6, C3H8, C2H4, and C2H2) as readily available carbon precursors for HCN formation, potential chemical transport agents, and for controlled carbon doping of deposited GaN was carried out with the B3LYP method in conjunction with basis sets up to aug-cc-pVTZ. The gas phase intermediates for the reactions in the Ga-hydrocarbon systems were predicted at different theory levels. The located π-complexes Ga…C2H2 and Ga…C2H4 were studied to determine a probable catalytic activity in reactions with NH3. A limited influence of the carbon-containing atmosphere was exhibited for the carbon doping of GaN crystal in the conventional GaN chemical vapor deposition (CVD) process with hydrocarbons injected in the gas phase. Our results provide a basis for experimental studies of GaN crystal growth with C2H4 and C2H2 as auxiliary carbon reagents for the Ga-NH3 and Ga-C-NH3 CVD systems and prerequisites for reactor design to enhance and control the PHVPE process through the HCN synthesis.

  7. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  8. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  9. Origins of Moiré Patterns in CVD-grown MoS2 Bilayer Structures at the Atomic Scales.

    PubMed

    Wang, Jin; Namburu, Raju; Dubey, Madan; Dongare, Avinash M

    2018-06-21

    The chemical vapor deposition (CVD)-grown two-dimensional molybdenum disulfide (MoS 2 ) structures comprise of flakes of few layers with different dimensions. The top layers are relatively smaller in size than the bottom layers, resulting in the formation of edges/steps across adjacent layers. The strain response of such few-layer terraced structures is therefore likely to be different from exfoliated few-layered structures with similar dimensions without any terraces. In this study, the strain response of CVD-grown few-layered MoS 2 terraced structures is investigated at the atomic scales using classic molecular dynamics (MD) simulations. MD simulations suggest that the strain relaxation of CVD-grown triangular terraced structures is observed in the vertical displacement of the atoms across the layers that results in the formation of Moiré patterns. The Moiré islands are observed to nucleate at the corners or edges of the few-layered structure and propagate inwards under both tensile and compressive strains. The nucleation of these islands is observed to happen at tensile strains of ~ 2% and at compressive strains of ~2.5%. The vertical displacements of the atoms and the dimensions of the Moiré islands predicted using the MD simulation are in excellent agreement with that observed experimentally.

  10. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  11. Process for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, P.L.; Giammarise, A.W.

    1991-10-29

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance to erosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice's interior surfaces by the vapor deposited coating formed from the reaction gas. 2 figures.

  12. Apparatus for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, P.L.; Giammarise, A.W.

    1995-02-21

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance to erosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice`s interior surfaces by the vapor deposited coating formed from the reaction gas. 2 figs.

  13. Apparatus for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, Paul L.; Giammarise, Anthony W.

    1995-01-01

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance to erosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice's interior surfaces by the vapor deposited coating formed from the reaction gas.

  14. Process for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, Paul L.; Giammarise, Anthony W.

    1991-01-01

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance toerosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice's interior surfaces by the vapor deposited coating formed from the reaction gas.

  15. Electrical applications of CVD diamond films

    NASA Astrophysics Data System (ADS)

    Fujimori, Naoji

    Electronics applications of CVD diamond films are reported. The properties of epitaxial diamond films are affected by the orientation of the substrate and the deposition conditions. Boron-doped epitaxial films are found to have the same characteristics as natural IIb diamonds. An LED and an FET were successfully fabricated using boron-doped epitaxial films and Schottky junctions. However, these devices did not exhibit satisfactory properties. Other applications of CVD diamond films include speaker diaphragms (as both a thin-film coating and a free-standing film), and as an ideal packaging material (due to its high thermal conductivity and low dielectric constant).

  16. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    PubMed

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  17. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  18. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    NASA Astrophysics Data System (ADS)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  19. Thermoelectric properties of CVD grown large area graphene

    NASA Astrophysics Data System (ADS)

    Sherehiy, Andriy; Jayasinghe, Ruwantha; Stallard, Robert; Sumanasekera, Gamini; Sidorov, Anton; Benjamin, Daniel; Jiang, Zhigang; Yu, Qingkai; Wu, Wei; Bao, Jiming; Liu, Zhihong; Pei, Steven; Chen, Yong

    2010-03-01

    The thermoelectric power (TEP) of CVD (Chemical Vapor Deposition) grown large area graphene transferred onto a Si/SiO2 substrate was measured by simply attaching two miniature thermocouples and a resistive heater. Availability of such large area graphene facilitates straight forward TEP measurement without the use of any microfabrication processes. All investigated graphene samples showed a positive TEP ˜ + 30 μV/K in ambient conditions and saturated at a negative value as low as ˜ -75 μV/K after vacuum-annealing at 500 K in a vacuum of ˜10-7 Torr. The observed p-type behavior under ambient conditions is attributed to the oxygen doping, while the n-type behavior under degassed conditions is due to electron doping from SiO2 surface states. It was observed that the sign of the TEP switched from negative to positive for the degassed graphene when exposed to acceptor gases. Conversely, the TEP of vacuum-annealed graphene exposed to the donor gases became even more negative than the TEP of vacuum-annealed sample.

  20. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  1. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  2. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  3. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  4. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  5. Electrical transport and low-frequency noise in chemical vapor deposited single-layer MoS2 devices.

    PubMed

    Sharma, Deepak; Amani, Matin; Motayed, Abhishek; Shah, Pankaj B; Birdwell, A Glen; Najmaei, Sina; Ajayan, Pulickel M; Lou, Jun; Dubey, Madan; Li, Qiliang; Davydov, Albert V

    2014-04-18

    We have studied temperature-dependent (77-300 K) electrical characteristics and low-frequency noise (LFN) in chemical vapor deposited (CVD) single-layer molybdenum disulfide (MoS2) based back-gated field-effect transistors (FETs). Electrical characterization and LFN measurements were conducted on MoS2 FETs with Al2O3 top-surface passivation. We also studied the effect of top-surface passivation etching on the electrical characteristics of the device. Significant decrease in channel current and transconductance was observed in these devices after the Al2O3 passivation etching. For passivated devices, the two-terminal resistance variation with temperature showed a good fit to the activation energy model, whereas for the etched devices the trend indicated a hopping transport mechanism. A significant increase in the normalized drain current noise power spectral density (PSD) was observed after the etching of the top passivation layer. The observed channel current noise was explained using a standard unified model incorporating carrier number fluctuation and correlated surface mobility fluctuation mechanisms. Detailed analysis of the gate-referred noise voltage PSD indicated the presence of different trapping states in passivated devices when compared to the etched devices. Etched devices showed weak temperature dependence of the channel current noise, whereas passivated devices exhibited near-linear temperature dependence.

  6. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  7. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  8. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  9. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  10. Work function variation of MoS{sub 2} atomic layers grown with chemical vapor deposition: The effects of thickness and the adsorption of water/oxygen molecules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jong Hun; Kim, Jae Hyeon; Park, Jeong Young, E-mail: peterlee@skku.edu, E-mail: jeongypark@kaist.ac.kr

    2015-06-22

    The electrical properties of two-dimensional atomic sheets exhibit remarkable dependences on layer thickness and surface chemistry. Here, we investigated the variation of the work function properties of MoS{sub 2} films prepared with chemical vapor deposition (CVD) on SiO{sub 2} substrates with the number of film layers. Wafer-scale CVD MoS{sub 2} films with 2, 4, and 12 layers were fabricated on SiO{sub 2}, and their properties were evaluated by using Raman and photoluminescence spectroscopies. In accordance with our X-ray photoelectron spectroscopy results, our Kelvin probe force microscopy investigation found that the surface potential of the MoS{sub 2} films increases by ∼0.15 eVmore » when the number of layers is increased from 2 to 12. Photoemission spectroscopy (PES) with in-situ annealing under ultra high vacuum conditions was used to directly demonstrate that this work function shift is associated with the screening effects of oxygen or water molecules adsorbed on the film surface. After annealing, it was found with PES that the surface potential decreases by ∼0.2 eV upon the removal of the adsorbed layers, which confirms that adsorbed species have a role in the variation in the work function.« less

  11. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  12. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    PubMed

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  13. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  14. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  15. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS{sub 2} grown by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaplan, D.; Swaminathan, V.; Mills, K.

    2016-06-07

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS{sub 2} grown by chemical vapor deposition (CVD) on SiO{sub 2}/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappearsmore » for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS{sub 2} monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS{sub 2} monolayers can be leveraged for various device applications.« less

  16. Characterization of CVD micrometer-size diamond (abstract)

    NASA Astrophysics Data System (ADS)

    Ohsumi, K.; Hagiya, K.; Miyamoto, M.; Matsuda, J.; Ohmasa, M.

    1989-07-01

    formed from carbonaceous materials by impact shock or directly formed from vapor. Recent discovery of vapor-growth diamonds in carbonaceous chondrites has generated a renewed interest in the origin of ureilite diamonds. Two types of micrometer-size diamonds were prepared. One of them was grown under low pressure by chemical vapor deposition (CVD) from gaseous mixtures of H2 and CH4, and another was synthesized by shock effect (kindly offered by Nippon Oil & Fats Co., Ltd.) The micro-Laue method was applied to them in order to get information about their microstructures. Two characteristics are recognized in profiles of reflections themselves and in whole patterns of the Laue photographs. The reflections of CVD diamonds are elongated but symmetric in their profiles and are distributed regularly as they are indexed by the diamond lattice, while those of shock effect are also elongated and asymmetric, and are distributed at random as they cannot be indexed. The characteristics observed by the method may be useful to ascribe the origin to CVD or shock effect.

  17. Creep and Rupture Strength of an Advanced CVD SiC Fiber

    NASA Technical Reports Server (NTRS)

    Goldsby, J. C.; Yun, H. M.; DiCarlo, J. A.

    1997-01-01

    In the as-produced condition the room temperature strength (approx. 6 GPa) of Textron Specialty Materials' 50 microns CVD SiC fiber represents the highest value thus far obtained for commercially produced polycrystalline SiC fibers. To understand whether this strength can be maintained after composite processing conditions, high temperature studies were performed on the effects of time, stress, and environment on 1400 deg. C tensile creep strain and stress rupture on as-produced, chemically vapor deposited SiC fibers. Creep strain results were consistent, allowing an evaluation of time and stress effects. Test environment had no influence on creep strain but I hour annealing at 1600 deg. C in argon gas significantly reduced the total creep strain and increased the stress dependence. This is attributed to changes in the free carbon morphology and its distribution within the CVD SiC fiber. For the as-produced and annealed fibers, strength at 1400 deg. C was found to decrease from a fast fracture value of 2 GPa to a 100-hr rupture strength value of 0. 8 GPa. In addition a loss of fast fracture strength from 6 GPa is attributed to thermally induced changes in the outer carbon coating and microstructure. Scatter in rupture times made a definitive analysis of environmental and annealing effects on creep strength difficult.

  18. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  19. TiOx thin films grown on Pd(100) and Pd(111) by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Farstad, M. H.; Ragazzon, D.; Grönbeck, H.; Strømsheim, M. D.; Stavrakas, C.; Gustafson, J.; Sandell, A.; Borg, A.

    2016-07-01

    The growth of ultrathin TiOx (0≤x≤2) films on Pd(100) and Pd(111) surfaces by chemical vapor deposition (CVD), using Titanium(IV)isopropoxide (TTIP) as precursor, has been investigated by high resolution photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Three different TiOx phases and one Pd-Ti alloy phase have been identified for both surfaces. The Pd-Ti alloy phase is observed at the initial stages of film growth. Density functional theory (DFT) calculations for Pd(100) and Pd(111) suggest that Ti is alloyed into the second layer of the substrate. Increasing the TTIP dose yields a wetting layer comprising Ti2 + species (TiOx, x ∼0.75). On Pd(100), this phase exhibits a mixture of structures with (3 × 5) and (4 × 5) periodicity with respect to the Pd(100) substrate, while an incommensurate structure is formed on Pd(111). Most importantly, on both surfaces this phase consists of a zigzag pattern similar to observations on other reactive metal surfaces. Further increase in coverage results in growth of a fully oxidized (TiO2) phase on top of the partially oxidized layer. Preliminary investigations indicate that the fully oxidized phase on both Pd(100) and Pd(111) may be the TiO2(B) phase.

  20. Microstructural Effects on the Corrosion Behavior of Alloys and Ceramics

    DTIC Science & Technology

    2008-06-30

    Cr ferritic- martensitic steel HCMI2A, and silicon carbide fabricated by means of chemical vapor deposition (CVD). The study focused on the effect of...12%Cr ferritic- martensitic steel HCM12A, and silicon carbide fabricated by means of chemical vapor deposition (CVD). The study focused on the effect...3 1.4.3. Ferritic/ martensitic steel H CM 12A

  1. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  2. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  3. Parameter Space of Atomic Layer Deposition of Ultrathin Oxides on Graphene

    PubMed Central

    2016-01-01

    Atomic layer deposition (ALD) of ultrathin aluminum oxide (AlOx) films was systematically studied on supported chemical vapor deposition (CVD) graphene. We show that by extending the precursor residence time, using either a multiple-pulse sequence or a soaking period, ultrathin continuous AlOx films can be achieved directly on graphene using standard H2O and trimethylaluminum (TMA) precursors even at a high deposition temperature of 200 °C, without the use of surfactants or other additional graphene surface modifications. To obtain conformal nucleation, a precursor residence time of >2s is needed, which is not prohibitively long but sufficient to account for the slow adsorption kinetics of the graphene surface. In contrast, a shorter residence time results in heterogeneous nucleation that is preferential to defect/selective sites on the graphene. These findings demonstrate that careful control of the ALD parameter space is imperative in governing the nucleation behavior of AlOx on CVD graphene. We consider our results to have model system character for rational two-dimensional (2D)/non-2D material process integration, relevant also to the interfacing and device integration of the many other emerging 2D materials. PMID:27723305

  4. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  5. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  6. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  7. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  8. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  9. Apparatus and process for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, P.L.; Giammarise, A.W.

    1994-12-20

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance to erosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice's interior surfaces by the vapor deposited coating formed from the reaction gas. 2 figures.

  10. Apparatus and process for depositing hard coating in a nozzle orifice

    DOEpatents

    Flynn, Paul L.; Giammarise, Anthony W.

    1994-01-01

    The present invention is directed to a process for coating the interior surfaces of an orifice in a substrate that forms a slurry fuel injection nozzle. In a specific embodiment, the nozzle is part of a fuel injection system for metering a coal-water slurry into a large, medium-speed, multi-cylinder diesel engine. In order to retard erosion of the orifice, the substrate is placed in a chemical vapor deposition (CVD) reaction chamber. A reaction gas is passed into the chamber at a gas temperature below its reaction temperature and is directed through the orifice in the substrate. The gas reaction temperature is a temperature at and above which the reaction gas deposits as a coating, and the reaction gas is of a composition whereby improved resistance to erosion by flow of the particulates in the slurry fuel is imparted by the deposited coating. Only the portion of the substrate in proximity to the orifice to be coated is selectively heated to at least the gas reaction temperature for effecting coating of the orifice's interior surfaces by the vapor deposited coating formed from the reaction gas.

  11. D.C. Arcjet Diamond Deposition

    NASA Astrophysics Data System (ADS)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  12. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  13. Synthesis of Sr2Si5N8:Ce3+ phosphors for white LEDs via an efficient chemical deposition

    PubMed Central

    Yang, Che-Yuan; Som, Sudipta; Das, Subrata; Lu, Chung-Hsin

    2017-01-01

    Novel chemical vapor deposition (CVD) process was successfully developed for the growth of Sr2Si5N8:Ce3+ phosphors with elevated luminescent properties. Metallic strontium was used as a vapor source for producing Sr3N2 vapor to react with Si3N4 powder via a homogeneous gas-solid reaction. The phosphors prepared via the CVD process showed high crystallinity, homogeneous particle size ranging from 8 to 10 μm, and high luminescence properties. In contrast, the phosphors prepared via the conventional solid-state reaction process exhibited relative low crystallinity, non-uniform particle size in the range of 0.5–5 μm and relatively lower luminescent properties than the phosphors synthesized via the CVD process. Upon the blue light excitation, Sr2−xCexSi5N8 phosphors exhibited a broad yellow band. A red shift of the emission band from 535 to 556 nm was observed with the increment in the doping amount of Ce3+ ions from x = 0.02 to x = 0.10. The maximum emission was observed at x = 0.06, and the external and internal quantum efficiencies were calculated to be 51% and 71%, respectively. Furthermore, the CVD derived optimum Sr1.94Ce0.06Si5N8 phosphor exhibited sufficient thermal stability for blue-LEDs and the activation energy was calculated to be 0.33 eV. The results demonstrate a potential synthesis process for nitride phosphors suitable for light emitting diodes. PMID:28361999

  14. Synthesis of Sr2Si5N8:Ce3+ phosphors for white LEDs via an efficient chemical deposition

    NASA Astrophysics Data System (ADS)

    Yang, Che-Yuan; Som, Sudipta; Das, Subrata; Lu, Chung-Hsin

    2017-03-01

    Novel chemical vapor deposition (CVD) process was successfully developed for the growth of Sr2Si5N8:Ce3+ phosphors with elevated luminescent properties. Metallic strontium was used as a vapor source for producing Sr3N2 vapor to react with Si3N4 powder via a homogeneous gas-solid reaction. The phosphors prepared via the CVD process showed high crystallinity, homogeneous particle size ranging from 8 to 10 μm, and high luminescence properties. In contrast, the phosphors prepared via the conventional solid-state reaction process exhibited relative low crystallinity, non-uniform particle size in the range of 0.5-5 μm and relatively lower luminescent properties than the phosphors synthesized via the CVD process. Upon the blue light excitation, Sr2-xCexSi5N8 phosphors exhibited a broad yellow band. A red shift of the emission band from 535 to 556 nm was observed with the increment in the doping amount of Ce3+ ions from x = 0.02 to x = 0.10. The maximum emission was observed at x = 0.06, and the external and internal quantum efficiencies were calculated to be 51% and 71%, respectively. Furthermore, the CVD derived optimum Sr1.94Ce0.06Si5N8 phosphor exhibited sufficient thermal stability for blue-LEDs and the activation energy was calculated to be 0.33 eV. The results demonstrate a potential synthesis process for nitride phosphors suitable for light emitting diodes.

  15. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  16. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  17. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  18. Growth and process identification of CuInS 2 on GaP by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hwang, H. L.; Sun, C. Y.; Fang, C. S.; Chang, S. D.; Cheng, C. H.; Yang, M. H.; Lin, H. H.; Tuwan-Mu, H.

    1981-10-01

    Experimental techniques for growing CuInS 2 layers on GaP substrates by the metalorganic method have been developed. Hydrogen sulfide gas together with the vapors of CuCl( NCCH3) n and InCl3( NCCH3) both of which were generated by bubbling nitrogen through sources, using a solvent of acetonitride, were used as transport agents. Various characterization techniques such as atomic absorption (AA), neutron activation analysis (NAA), energy dispersive analysis by X-rays (EDAX), Rutherford back-scattering analysis (RBS), and X-ray analyses were used to help understand the fundamental mechanism of the CVD growth.

  19. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  20. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  1. Localized growth of carbon nanotubes via lithographic fabrication of metallic deposits

    PubMed Central

    Tu, Fan; Drost, Martin; Szenti, Imre; Kiss, Janos; Kónya, Zoltan

    2017-01-01

    We report on the fabrication of carbon nanotubes (CNTs) at predefined positions and controlled morphology, for example, as individual nanotubes or as CNT forests. Electron beam induced deposition (EBID) with subsequent autocatalytic growth (AG) was applied to lithographically produce catalytically active seeds for the localized growth of CNTs via chemical vapor deposition (CVD). With the precursor Fe(CO)5 we were able to fabricate clean iron deposits via EBID and AG. After the proof-of-principle that these Fe deposits indeed act as seeds for the growth of CNTs, the influence of significant EBID/AG parameters on the deposit shape and finally the yield and morphology of the grown CNTs was investigated in detail. Based on these results, the parameters could be optimized such that EBID point matrixes (6 × 6) were fabricated on a silica surface whereby at each predefined site only one CNT was produced. Furthermore, the localized fabrication of CNT forests was targeted and successfully achieved on an Al2O3 layer on a silicon sample. A peculiar lift-up of the Fe seed structures as “flakes” was observed and the mechanism was discussed. Finally, a proof-of-principle was presented showing that EBID deposits from the precursor Co(CO)3NO are also very effective catalysts for the CNT growth. Even though the metal content (Co) of the latter is reduced in comparison to the Fe deposits, effective CNT growth was observed for the Co-containing deposits at lower CVD temperatures than for the corresponding Fe deposits. PMID:29259874

  2. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  3. Effect of microstructure on the corrosion of CVD-SiC exposed to supercritical water

    NASA Astrophysics Data System (ADS)

    Tan, L.; Allen, T. R.; Barringer, E.

    2009-10-01

    Silicon carbide (SiC) is an important engineering material being studied for potential use in multiple nuclear energy systems including high-temperature gas-cooled reactors and water-cooled reactors. The corrosion behavior of SiC exposed to supercritical water (SCW) is critical for examining its applications in nuclear reactors. Although the hydrothermal corrosion of SiC has been the subject of many investigations, the study on the microstructural effects on the corrosion is limited. This paper presents the effect of residual strain, grain size, grain boundary types, and surface orientations on the corrosion of chemical vapor deposited (CVD) β-SiC exposed to SCW at 500 °C and 25 MPa. Weight loss occurred on all the samples due to localized corrosion. Residual strains associated with small grains showed the most significant effect on the corrosion compared to the other factors.

  4. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  5. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  6. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  7. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  8. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  9. CVD of SiC and AlN using cyclic organometallic precursors

    NASA Technical Reports Server (NTRS)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  10. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  11. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  12. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  13. Effect of irradiation with MeV protons and electrons on the conductivity compensation and photoluminescence of moderately doped p-4H-SiC (CVD)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovski, V. V.; Lebedev, A. A., E-mail: shura.lebe@mail.ioffe.ru; Bogdanova, E. V.

    The compensation of moderately doped p-4H-SiC samples grown by the chemical vapor deposition (CVD) method under irradiation with 0.9-MeV electrons and 15-MeV protons is studied. The experimentally measured carrier removal rates are 1.2–1.6 cm{sup –1} for electrons and 240–260 cm{sup –1} for protons. The dependence of the concentration of uncompensated acceptors and donors, measured in the study, demonstrates a linear decrease with increasing irradiation dose to the point of complete compensation. This run of the dependence shows that compensation of the samples is due to the transition of carriers to deep centers formed by primary radiation-induced defects. It is demonstratedmore » that, in contrast to n-SiC (CVD), primary defects in the carbon sublattice of moderately doped p-SiC (CVD) only cannot account for the compensation process. In p-SiC, either primary defects in the silicon sublattice, or defects in both sublattices are responsible for conductivity compensation. Also, photoluminescence spectra are examined in relation to the irradiation dose.« less

  14. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  15. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  16. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    PubMed

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  17. Glasses and Liquids Low on the Energy Landscape Prepared by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel; Fakhraai, Zahra; Ediger, Mark

    2014-03-01

    The lower portions of the potential energy landscape for glass-forming materials such as polymers and small molecules were historically inaccessible by experiments. Physical vapor deposition is uniquely able to prepare materials in this portion of the energy landscape, with the properties of the deposited material primarily modulated by the substrate temperature. Here we report on high-throughput experiments which utilize a temperature gradient stage to enable rapid screening of vapor-deposited organic glasses. Using ellipsometry, we characterize a 100 K range of substrate temperatures in a single experiment, allowing us to rapidly determine the density, kinetic stability, fictive temperature and molecular orientation of these glasses. Their properties fall into three temperature regimes. At substrate temperatures as low as 0.97Tg, we prepare materials which are equivalent to the supercooled liquid produced by cooling the melt. Below 0.9Tg (1.16TK) the properties of materials are kinetically controlled and highly tunable. At intermediate substrate temperatures we are able to produce materials whose bulk properties match those expected for the equilibrium supercooled liquid, down to 1.16TK, but are structurally anisotropic.

  18. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  19. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    NASA Astrophysics Data System (ADS)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  20. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.