Sample records for vapor deposition diamond

  1. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  2. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  3. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  4. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  5. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  6. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  7. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  8. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  9. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  10. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  11. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  12. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  13. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  14. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  15. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  16. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  17. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  18. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  19. Fluidized bed deposition of diamond

    DOEpatents

    Laia, Jr., Joseph R.; Carroll, David W.; Trkula, Mitchell; Anderson, Wallace E.; Valone, Steven M.

    1998-01-01

    A process for coating a substrate with diamond or diamond-like material including maintaining a substrate within a bed of particles capable of being fluidized, the particles having substantially uniform dimensions and the substrate characterized as having different dimensions than the bed particles, fluidizing the bed of particles, and depositing a coating of diamond or diamond-like material upon the substrate by chemical vapor deposition of a carbon-containing precursor gas mixture, the precursor gas mixture introduced into the fluidized bed under conditions resulting in excitation mechanisms sufficient to form the diamond coating.

  20. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  1. High pressure studies using two-stage diamond micro-anvils grown by chemical vapor deposition

    DOE PAGES

    Vohra, Yogesh K.; Samudrala, Gopi K.; Moore, Samuel L.; ...

    2015-06-10

    Ultra-high static pressures have been achieved in the laboratory using a two-stage micro-ball nanodiamond anvils as well as a two-stage micro-paired diamond anvils machined using a focused ion-beam system. The two-stage diamond anvils’ designs implemented thus far suffer from a limitation of one diamond anvil sliding past another anvil at extreme conditions. We describe a new method of fabricating two-stage diamond micro-anvils using a tungsten mask on a standard diamond anvil followed by microwave plasma chemical vapor deposition (CVD) homoepitaxial diamond growth. A prototype two stage diamond anvil with 300 μm culet and with a CVD diamond second stage ofmore » 50 μm in diameter was fabricated. We have carried out preliminary high pressure X-ray diffraction studies on a sample of rare-earth metal lutetium sample with a copper pressure standard to 86 GPa. Furthermore, the micro-anvil grown by CVD remained intact during indentation of gasket as well as on decompression from the highest pressure of 86 GPa.« less

  2. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  3. Doping of vanadium to nanocrystalline diamond films by hot filament chemical vapor deposition

    PubMed Central

    2012-01-01

    Doping an impure element with a larger atomic volume into crystalline structure of buck crystals is normally blocked because the rigid crystalline structure could not tolerate a larger distortion. However, this difficulty may be weakened for nanocrystalline structures. Diamonds, as well as many semiconductors, have a difficulty in effective doping. Theoretical calculations carried out by DFT indicate that vanadium (V) is a dopant element for the n-type diamond semiconductor, and their several donor state levels are distributed between the conduction band and middle bandgap position in the V-doped band structure of diamond. Experimental investigation of doping vanadium into nanocrystalline diamond films (NDFs) was first attempted by hot filament chemical vapor deposition technique. Acetone/H2 gas mixtures and vanadium oxytripropoxide (VO(OCH2CH2CH3)3) solutions of acetone with V and C elemental ratios of 1:5,000, 1:2,000, and 1:1,000 were used as carbon and vanadium sources, respectively. The resistivity of the V-doped NDFs decreased two orders with the increasing V/C ratios. PMID:22873631

  4. Optical emission diagnostics of plasmas in chemical vapor deposition of single-crystal diamond

    DOE PAGES

    Hemawan, Kadek W.; Hemley, Russell J.

    2015-08-03

    Here, a key aspect of single crystal diamond growth via microwave plasma chemical vapor deposition is in-process control of the local plasma-substrate environment, that is, plasma gas phase concentrations of activated species at the plasma boundary layer near the substrate surface. Emission spectra of the plasma relative to the diamond substrate inside the microwave plasma reactor chamber have been analyzed via optical emission spectroscopy. The spectra of radical species such as CH, C 2, and H (Balmer series) important for diamond growth were found to be more depndent on operating pressure than on microwave power. Plasma gas temperatures were calculatedmore » from measurements of the C 2 Swan band (d 3Π → a 3Π transition) system. The plasma gas temperature ranges from 2800 to 3400 K depending on the spatial location of the plasma ball, microwave power and operating pressure. Addition of Ar into CH 4 + H 2 plasma input gas mixture has little influence on the Hα, Hβ, and Hγ intensities and single-crystal diamond growth rates.« less

  5. D.C. Arcjet Diamond Deposition

    NASA Astrophysics Data System (ADS)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  6. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  7. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  8. Deposition Of Cubic BN On Diamond Interlayers

    NASA Technical Reports Server (NTRS)

    Ong, Tiong P.; Shing, Yuh-Han

    1994-01-01

    Thin films of polycrystalline, pure, cubic boron nitride (c-BN) formed on various substrates, according to proposal, by chemical vapor deposition onto interlayers of polycrystalline diamond. Substrate materials include metals, semiconductors, and insulators. Typical substrates include metal-cutting tools: polycrystalline c-BN coats advantageous for cutting ferrous materials and for use in highly oxidizing environments-applications in which diamond coats tend to dissolve in iron or be oxidized, respectively.

  9. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  10. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  11. A novel procedure to obtain nanocrystalline diamond/porous silicon composite by chemical vapor deposition/infiltration processes.

    PubMed

    Miranda, C R B; Azevedo, A F; Baldan, M R; Beloto, A F; Ferreira, N G

    2009-06-01

    Nanocrystalline diamond (NCD) films were formed on porous silicon (PS) substrate by Chemical Vapor Deposition/Infiltration (CVD/CVI) process using a hot filament reactor. This innovative procedure is determinant to grow a controlled three-dimensional diamond structure with diamond grains formation in the pores, covering uniformly the different growth planes. In this CVI process, a piece of reticulated vitreous carbon (RVC) was used, under de PS substrate, as an additional solid source of hydrocarbon that ensures the production of pertinent carbon growth species directly on PS and into its pores. PS substrates were obtained by anodization etching process of n-type silicon wafer in a hydrofluoric acid (HF) solution containing acetonitrile (CH3CN) which result in an uniform and well controlled porous distribution and size when compared with the usual ethanol solution. Depositions were performed using Ar-H2-CH4 where the methane concentration varied from 0 up to 1.0 vol%, to analyze the influence of RVC use as an additional carbon source on growth mechanism. Scanning Electron Microscopy (SEM) and Field Emission Gun (FEG) were used to investigate PS and NCD film morphology. SEM images of NCD showed faceted nanograins with average size from 5 to 16 nm and uniform surface texture covering all the supports among the pores resulting in an apparent micro honeycomb structure. Raman spectra confirmed the existence of sp2-bonded carbon at the grain boundaries. The spectra showed a peak that may be deconvoluted in two components at 1332 cm(-1) (diamond) and 1345 cm(-1) (D band). Two shoulders at 1150 and 1490 cm(-1) also appear and are assigned to transpolyacetylene (TPA) segments at the grain boundaries of NCD surfaces. In addition, X-ray diffraction analyses of all films presented characteristic diamond diffraction peaks corresponding to (111), (220) and (311).

  12. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  13. Electron emission from chemical vapor deposited diamond and amorphous carbon films observed with a simple field emission device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Z.; Brown, I.G.; Ager, J.W. III

    Electron emission from chemical vapor deposited (CVD) diamond and amorphous carbon (a-C) films was observed with a simple field emission device (FED). Both diamond and a-C films were prepared with microwave plasma-enhanced CVD techniques. Electron emission in the field strength range +10 to {minus}10 MVm{sup {minus}1} was studied, and the field emission source was confirmed by a diode characteristic of the {ital I}-{ital V} curve, a straight line in the Fowler--Nordheim (F-N) plot, and direct observation of light emission from a fluorescent screen. The turn-on field strength was {similar_to}5 MVm{sup {minus}1}, which was similar for both kinds of carbon films.more » The highest current density for diamond films, observed at a field strength of 10 MVm{sup {minus}1}, was {similar_to}15 {mu}A cm{sup {minus}2}. Diamond films yielded a higher emission current than a-C films. The reasons for the observed field emission are discussed.« less

  14. Soft x-ray measurements using photoconductive type-IIa and single-crystal chemical vapor deposited diamond detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moore, A. S.; Bentley, C. D.; Foster, J. M.

    2008-10-15

    Photoconductive detectors (PCDs) are routinely used alongside vacuum x-ray diodes (XRDs) to provide an alternative x-ray flux measurement at laser facilities such as HELEN at AWE Aldermaston, UK, and Omega at the Laboratory for Laser Energetics. To evaluate diamond PCDs as an alternative to XRD arrays, calibration measurements made at the National Synchrotron Light Source (NSLS) at Brookhaven National Laboratory are used to accurately calculate the x-ray flux from a laser-heated target. This is compared to a flux measurement using the Dante XRD diagnostic. Estimates indicate that the photoinduced conductivity from measurements made at Omega are too large, and calculationsmore » using the radiometric calibrations made at the NSLS agree with this hypothesis. High-purity, single-crystal, chemical vapor deposited (CVD) diamond samples are compared to natural type-IIa PCDs and show promising high resistivity effects, the corollary of which preliminary results show is a slower response time.« less

  15. Time dependent 14 MeV neutrons measurement using a polycrystalline chemical vapor deposited diamond detector at the JET tokamak

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angelone, M.; Pillon, M.; Bertalot, L.

    A polycrystalline chemical vapor deposited (CVD) diamond detector was installed on a JET tokamak in order to monitor the time dependent 14 MeV neutron emission produced by D-T plasma pulses during the Trace Tritium Experiment (TTE) performed in October 2003. This was the first tentative ever attempted to use a CVD diamond detector as neutron monitor in a tokamak environment. Despite its small active volume, the detector was able to detect the 14 MeV neutron emission (>1.0x10{sup 15} n/shot) with good reliability and stability during the experimental campaign that lasted five weeks. The comparison with standard silicon detectors presently usedmore » at JET as 14 MeV neutron monitors is reported, showing excellent correlation between the measurements. The results prove that CVD diamond detectors can be reliably used in a tokamak environment and therefore confirm the potential of this technology for next step machines like ITER.« less

  16. A novel approach of deposition for uniform diamond films on circular saw blades

    NASA Astrophysics Data System (ADS)

    Hongxiu, ZHOU; Boya, YUAN; Jilei, LYU; Nan, JIANG

    2017-11-01

    Uniform diamond films are highly desirable for cutting industries, due to their high performance and long lifetime used on cutting tools. Nevertheless, they are difficult to obtain on cutting tools with complicated shapes, greatly limiting the applications of diamond films. In this study, a novel approach of deposition for uniform diamond films is proposed, on circular saw blades made of cemented carbide using reflectors of brass sheets. Diamond films are deposited using hot filament chemical vapor deposition (HFCVD). A novel concave structure of brass sheets is designed and fabricated, improving the distribution of temperature field, and overcoming the disadvantages of the conventional HFCVD systems. This increases the energy efficiency of use without changing the structure and increasing the cost of HFCVD. The grains are refined and the intensities of diamond peaks are strengthened obviously, which is confirmed by scanning electron microscopy and Raman spectra respectively.

  17. Laser patterning of diamond films

    NASA Astrophysics Data System (ADS)

    Narayan, J.; Chen, X.

    1992-04-01

    Selective deposition and fine-scale patterning of hot filament deposited diamond films by the use of pulsed laser irradiation on silicon and copper substrates are reported. The substrates were abraded with diamond and alumina powders before hot-filament chemical vapor deposition. A drastic enhancement in diamond nucleation (using hot-filament chemical vapor deposition) was observed on specimens treated with diamond powder, whereas enhancement on specimens pretreated with alumina powder was relatively insignificant. It is found that the seeding of diamond crystals was substantially reduced by pulsed laser annealing/melting which removes the plastic damage as well as the seed crystals introduced by diamond powder pretreatment. The selective deposition or fine-scale patterning of diamond films was achieved either by a shadow masking or by scanning a focused laser beam to generate desired patterns. The nucleation can also be enhanced by laser deposition of thin films, such as diamond-like carbon and tungsten carbide (WC), and selective deposition and patterning achieved by controlled removal or deposition of the above films.

  18. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  19. Simulations of chemical vapor deposition diamond film growth using a kinetic Monte Carlo model and two-dimensional models of microwave plasma and hot filament chemical vapor deposition reactors

    NASA Astrophysics Data System (ADS)

    May, P. W.; Harvey, J. N.; Allan, N. L.; Richley, J. C.; Mankelevich, Yu. A.

    2010-12-01

    A one-dimensional kinetic Monte Carlo (KMC) model has been developed to simulate the chemical vapor deposition of a diamond (100) surface under conditions used to grow single-crystal diamond (SCD), microcrystalline diamond (MCD), nanocrystalline diamond (NCD), and ultrananocrystalline diamond (UNCD) films. The model considers adsorption, etching/desorption, lattice incorporation and surface migration but not defect formation or renucleation processes. Two methods have been devised for estimation of the gas phase concentrations of species at the growing diamond surface, and are used to determine adsorption rates for C1Hx hydrocarbons for the different conditions. The rate of migration of adsorbed carbon species is governed by the availability of neighboring radical sites, which, in turn, depend upon the rates of H abstraction and of surface-radical migration. The KMC model predicts growth rates and surface roughness for each of diamond types consistent with experiment. In the absence of defect formation and renucleation the average surface diffusion length, ℓ, is a key parameter controlling surface morphology. When ℓ <2, surface migration is limited by the lack of availability of surface radical sites, and the migrating surface species simply hop back and forth between two adjacent sites but do not travel far beyond their initial adsorption site. Thus, Eley-Rideal processes dominate the growth, leading to the rough surfaces seen in NCD and UNCD. The maximum or "intrinsic" surface roughness occurs for nominally zero-migration conditions (ℓ =0) with an rms value of approximately five carbon atoms. Conversely, when migration occurs over greater distances (ℓ >2), Langmuir-Hinshelwood processes dominate the growth producing the smoother surfaces of MCD and SCD. By extrapolation, we predict that atomically smooth surfaces over large areas should occur once migrating species can travel approximately five sites (ℓ ˜5). β-scission processes are found to be

  20. Diamond Synthesis Employing Nanoparticle Seeds

    NASA Technical Reports Server (NTRS)

    Uppireddi, Kishore (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor)

    2014-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  1. Optimization of chemical vapor deposition diamond films growth on steel: correlation between mechanical properties, structure, and composition.

    PubMed

    Laikhtman, A; Rapoport, L; Perfilyev, V; Moshkovich, A; Akhvlediani, R; Hoffman, A

    2011-09-01

    In the present work we perform optimization of mechanical and crystalline properties of CVD microcrystalline diamond films grown on steel substrates. A chromium-nitride (Cr-N) interlayer had been previously proposed to serve as a buffer for carbon and iron inter-diffusion and as a matching layer for the widely differing expansion coefficients of diamond and steel. However, adhesion and wear as well as crystalline perfection of diamond films are strongly affected by conditions of both Cr-N interlayer preparation and CVD diamond deposition. In this work we assess the effects of two parameters. The first one is the temperature of the Cr-N interlayer preparation: temperatures in the range of 500 degrees C-800 degrees C were used. The second one is diamond film thickness in the 0.5 microm-2 microm range monitored through variation of the deposition time from approximately 30 min to 2 hours. The mechanical properties of so deposited diamond films were investigated. For this purpose, scratch tests were performed at different indentation loads. The friction coefficient and wear loss were assessed. The mechanical and tribological properties were related to structure, composition, and crystalline perfection of diamond films which were extensively analyzed using different microscopic and spectroscopic techniques. It was found that relatively thick diamond film deposited on the Cr-N interlayer prepared at the temperature similar to that of the CVD process has the best mechanical and adhesion strength. This film was stable without visible cracks around the wear track during all scratch tests with different indentation loads. In other cases, cracking and delamination of the films took place at low to moderate indentation loads.

  2. n-Type diamond and method for producing same

    DOEpatents

    Anderson, Richard J.

    2002-01-01

    A new n-type semiconducting diamond is disclosed, which is doped with n-type dopant atoms. Such diamond is advantageously formed by chemical vapor deposition from a source gas mixture comprising a carbon source compound for the diamond, and a volatile hot wire filament for the n-type impurity species, so that the n-type impurity atoms are doped in the diamond during its formation. A corresponding chemical vapor deposition method of forming the n-type semiconducting diamond is disclosed. The n-type semiconducting diamond of the invention may be usefully employed in the formation of diamond-based transistor devices comprising pn diamond junctions, and in other microelectronic device applications.

  3. Characterization of N-doped polycrystalline diamond films deposited on microgrinding tools

    NASA Astrophysics Data System (ADS)

    Jackson, M. J.; Ahmed, W.

    2005-10-01

    Chemical vapor deposited diamond films have many industrial applications but are assuming increasing importance in the area of microengineering, most notably in the development of diamond coated microgrinding tools. For these applications the control of structure and morphology is of critical importance. The crystallite size, orientation, surface roughness, and the degree of sp 3 character have a profound effect on the tribological properties of the films deposited. In this article, we present experimental results on the effects of nitrogen doping on the surface morphology, crystallite size, and wear of microgrinding tools. The sp 3 character optimizes at 200 ppm nitrogen, and above this value the surface becomes much smoother and crystal sizes decrease considerably. Fracture-induced wear of the diamond grain is the most important mechanism of material removal from a microgrinding tool during the grinding process. Fracture occurs as a consequence of tensile stresses induced into diamond grains by grinding forces to which they are subjected. The relationship between the wear of diamond coated grinding tools, component grinding forces, and induced stresses in the model diamond grains is described in detail. A significant correlation was found between the maximum value of tensile stress induced in the diamond grain and the appropriate wheel-wear parameter (grinding ratio). It was concluded that the magnitude of tensile stresses induced in the diamond grain by grinding forces at the rake face is the best indicator of tool wear during the grinding process.

  4. In Situ Infrared Spectroscopy of the Gaseous Species Present in a Diamond Chemical Vapor Deposition System

    NASA Technical Reports Server (NTRS)

    Morell, G.; Weiner, B. R.

    1998-01-01

    We interfaced a Hot-Filament Chemical Vapor Deposition (HFCVD) system to the emission port of an FT-IR spectrometer, in order to study the gas phase species present during the deposition of diamond thin films. The implementation of the infrared (IR) emission technique in situ allowed the study of various carbon-containing species believed to be crucial in diamond film growth. The two IR-active vibrational fundamentals of methane, v(3)(f2) and v(4)(f2), were observed at three different filament temperatures: 1000, 1500 and 2000 C. However, the net signal of v(3) was emission, while that of v(4) was absorption. These results indicate that the v(4) fundamental is excited beyond equilibrium, while the v(3) fundamental remains mostly in the ground state. This is due to the small concentration of methane, the low energy of v(4) compared to v(3) or to the Hz vibrational mode, and symmetry considerations that forbid interaction among the four fundamentals of methane. Thus, the excitation of v(3) is more likely than its decay under HFCVD conditions, producing a non-equilibrium population. At a filament temperature of 2000 C, the v(3) (sigma(+)(3)) fundamental of acetylene and a band at 1328 cm-l also ascribed to acetylene (v5 (pi(U)) + v4) appear in net absorption. This correlates well with the onset of molecular hydrogen breaking by the filament, which occurs at temperatures around 2000 C and above. The hydrogen atoms produced in this heterogeneous reaction give rise to a chain of reactions that lead to acetylene, among other carbonaceous species.

  5. Diamond film growth from fullerene precursors

    DOEpatents

    Gruen, Dieter M.; Liu, Shengzhong; Krauss, Alan R.; Pan, Xianzheng

    1997-01-01

    A method and system for manufacturing diamond film. The method involves forming a fullerene vapor, providing a noble gas stream and combining the gas with the fullerene vapor, passing the combined fullerene vapor and noble gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the fullerene and deposition of a diamond film on a substrate.

  6. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    NASA Astrophysics Data System (ADS)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  7. Electrically conductive diamond electrodes

    DOEpatents

    Swain, Greg [East Lansing, MI; Fischer, Anne [Arlington, VA; Bennett, Jason [Lansing, MI; Lowe, Michael [Holt, MI

    2009-05-19

    An electrically conductive diamond electrode and process for preparation thereof is described. The electrode comprises diamond particles coated with electrically conductive doped diamond preferably by chemical vapor deposition which are held together with a binder. The electrodes are useful for oxidation reduction in gas, such as hydrogen generation by electrolysis.

  8. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    NASA Astrophysics Data System (ADS)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  9. Diamond film growth argon-carbon plasmas

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Liu, Shengzhong; Pan, Xianzheng; Zuiker, Christopher D.

    1998-01-01

    A method and system for manufacturing diamond film. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrogen and hydrocarbon and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous and deposition of a diamond film on a substrate.

  10. Diamond film growth from fullerene precursors

    DOEpatents

    Gruen, D.M.; Liu, S.; Krauss, A.R.; Pan, X.

    1997-04-15

    A method and system are disclosed for manufacturing diamond film. The method involves forming a fullerene vapor, providing a noble gas stream and combining the gas with the fullerene vapor, passing the combined fullerene vapor and noble gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the fullerene and deposition of a diamond film on a substrate. 10 figs.

  11. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  12. Note: Novel diamond anvil cell for electrical measurements using boron-doped metallic diamond electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matsumoto, R.; Sasama, Y.; Yamaguchi, T.

    2016-07-15

    A novel diamond anvil cell suitable for electrical transport measurements under high pressure has been developed. A boron-doped metallic diamond film was deposited as an electrode on a nano-polycrystalline diamond anvil using a microwave plasma-assisted chemical vapor deposition technique combined with electron beam lithography. The maximum pressure that can be achieved by this assembly is above 30 GPa. We report electrical transport measurements of Pb up to 8 GPa. The boron-doped metallic diamond electrodes showed no signs of degradation after repeated compression.

  13. Diamond Nucleation Using Polyethene

    NASA Technical Reports Server (NTRS)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  14. Diamond nucleation using polyethene

    DOEpatents

    Morell, Gerardo; Makarov, Vladimir; Varshney, Deepak; Weiner, Brad

    2013-07-23

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  15. Diamond film growth argon-carbon plasmas

    DOEpatents

    Gruen, D.M.; Krauss, A.R.; Liu, S.Z.; Pan, X.Z.; Zuiker, C.D.

    1998-12-15

    A method and system are disclosed for manufacturing diamond film. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrogen and hydrocarbon and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous and deposition of a diamond film on a substrate. 29 figs.

  16. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  17. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    NASA Astrophysics Data System (ADS)

    Kabadi, N. V.; Sio, H.; Glebov, V.; Gatu Johnson, M.; MacPhee, A.; Frenje, J. A.; Li, C. K.; Seguin, F.; Petrasso, R.; Forrest, C.; Knauer, J.; Rinderknecht, H. G.

    2016-11-01

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF's absolute sensitivity to neutrons. At Omega pTOF's sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At the NIF pTOF's sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. Some possible causes of this variability are ruled out.

  18. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility.

    PubMed

    Kabadi, N V; Sio, H; Glebov, V; Gatu Johnson, M; MacPhee, A; Frenje, J A; Li, C K; Seguin, F; Petrasso, R; Forrest, C; Knauer, J; Rinderknecht, H G

    2016-11-01

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF's absolute sensitivity to neutrons. At Omega pTOF's sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At the NIF pTOF's sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. Some possible causes of this variability are ruled out.

  19. Smooth diamond films as low friction, long wear surfaces

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Erdemir, Ali; Bindal, Cuma; Zuiker, Christopher D.

    1999-01-01

    An article and method of manufacture of a nanocrystalline diamond film. The nanocrystalline film is prepared by forming a carbonaceous vapor, providing an inert gas containing gas stream and combining the gas stream with the carbonaceous containing vapor. A plasma of the combined vapor and gas stream is formed in a chamber and fragmented carbon species are deposited onto a substrate to form the nanocrystalline diamond film having a root mean square flatness of about 50 nm deviation from flatness in the as deposited state.

  20. Studies of carbon incorporation on the diamond [100] surface during chemical vapor deposition using density functional theory.

    PubMed

    Cheesman, Andrew; Harvey, Jeremy N; Ashfold, Michael N R

    2008-11-13

    Accurate potential energy surface calculations are presented for many of the key steps involved in diamond chemical vapor deposition on the [100] surface (in its 2 x 1 reconstructed and hydrogenated form). The growing diamond surface was described by using a large (approximately 1500 atoms) cluster model, with the key atoms involved in chemical steps being described by using a quantum mechanical (QM, density functional theory, DFT) method and the bulk of the atoms being described by molecular mechanics (MM). The resulting hybrid QM/MM calculations are more systematic and/or at a higher level of theory than previous work on this growth process. The dominant process for carbon addition, in the form of methyl radicals, is predicted to be addition to a surface radical site, opening of the adjacent C-C dimer bond, insertion, and ultimate ring closure. Other steps such as insertion across the trough between rows of dimer bonds or addition to a neighboring dimer leading to formation of a reconstruction on the next layer may also contribute. Etching of carbon can also occur; the most likely mechanism involves loss of a two-carbon moiety in the form of ethene. The present higher-level calculations confirm that migration of inserted carbon along both dimer rows and chains should be relatively facile, with barriers of approximately 150 kJ mol (-1) when starting from suitable diradical species, and that this step should play an important role in establishing growth of smooth surfaces.

  1. Fabrication of microchannels in polycrystalline diamond using pre-fabricated Si substrates

    NASA Astrophysics Data System (ADS)

    Chandran, Maneesh; Elfimchev, Sergey; Michaelson, Shaul; Akhvlediani, Rozalia; Ternyak, Orna; Hoffman, Alon

    2017-10-01

    In this paper, we report on a simple, feasible method to fabricate microchannels in diamond. Polycrystalline diamond microchannels were produced by fabricating trenches in a Si wafer and subsequently depositing a thin layer of diamond onto this substrate using the hot filament vapor deposition technique. Fabrication of trenches in the Si substrate at different depths was carried out by standard photolithography, and the subsequent deposition of the diamond layer was performed by the hot filament chemical vapor deposition technique. The growth mechanism of diamond that leads to the formation of closed diamond microchannels is discussed in detail based on the Knudsen number and growth chemistry of diamond. Variations in the crystallite size, crystalline quality, and thickness of the diamond layer along the trench depths were systematically analyzed using cross-sectional scanning electron microscopy and Raman spectroscopy. Defect density and formation of non-diamond forms of carbon in the diamond layer were found to increase with the trench depth, which sets a limit of 5-45 μm trench depth (or an aspect ratio of 1-9) for the fabrication of diamond microchannels using this method under the present conditions.

  2. Morphological Transition in Diamond Thin-Films Induced by Boron in a Microwave Plasma Deposition Process.

    PubMed

    Baker, Paul A; Goodloe, David R; Vohra, Yogesh K

    2017-11-14

    The purpose of this study is to understand the basic mechanisms responsible for the synthesis of nanostructured diamond films in a microwave plasma chemical vapor deposition (MPCVD) process and to identify plasma chemistry suitable for controlling the morphology and electrical properties of deposited films. The nanostructured diamond films were synthesized by MPCVD on Ti-6Al-4V alloy substrates using H₂/CH₄/N₂ precursor gases and the plasma chemistry was monitored by the optical emission spectroscopy (OES). The synthesized thin-films were characterized by x -ray diffraction and scanning electron microscopy. The addition of B₂H₆ to the feedgas during MPCVD of diamond thin-films changes the crystal grain size from nanometer to micron scale. Nanostructured diamond films grown with H₂/CH₄/N₂ gases demonstrate a broad (111) Bragg x -ray diffraction peak (Full-Width at Half-Maximum (FWHM) = 0.93° 2θ), indicating a small grain size, whereas scans show a definite sharpening of the diamond (111) peak (FWHM = 0.30° 2θ) with the addition of boron. OES showed a decrease in CN (carbon-nitrogen) radical in the plasma with B₂H₆ addition to the gas mixture. Our study indicates that CN radical plays a critical role in the synthesis of nanostructured diamond films and suppression of CN radical by boron-addition in the plasma causes a morphological transition to microcrystalline diamond.

  3. Friction and Wear Properties of As-Deposited and Carbon Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1996-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 keV ion energy, resulting in a dose of 1.2 x 10(exp 17) carbon ions per cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, X-ray photoelectron spectroscopy, and X-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40% relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and wear properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to lO(exp -8) mm(exp 3) N(exp -1) m(exp -1)) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4) mm(exp 7) N(exp -1) m(exp -1)) in ultrahigh vacuum. The carbon ion implantation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, non-diamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7) mm(exp 3) N(exp -1) m(exp-1)). Even in ultrahigh vacuum, the presence of the non-diamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6

  4. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    DOE PAGES

    Kabadi, N. V.; Sio, H.; Glebov, V.; ...

    2016-08-09

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF’s absolute sensitivity to neutrons. At Omega pTOF’s sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At themore » NIF pTOF’s sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. As a result, some possible causes of this variability are ruled out.« less

  5. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kabadi, N. V.; Sio, H.; Glebov, V.

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF’s absolute sensitivity to neutrons. At Omega pTOF’s sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At themore » NIF pTOF’s sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. As a result, some possible causes of this variability are ruled out.« less

  6. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  7. Lifetime and migration length of B-related admolecules on diamond {1 0 0}-surface: Comparative study of hot-filament and microwave plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ohmagari, Shinya; Ogura, Masahiko; Umezawa, Hitoshi; Mokuno, Yoshiaki

    2017-12-01

    The growth of heavily B-doped low-resistivity diamond films will facilitate the development of novel semiconductor applications. To discuss the key factors that increase B solubility into single-crystal {1 0 0} diamond, the misorientation-angle (θmis) dependences of B incorporation were compared between hot filament (HF) and microwave plasma (MW)-enhanced chemical vapor deposition. Based on the model that considers the step-flow motion, the lifetime of B-related admolecules (τ) on terrace surface was evaluated. We found that τ can be extended more than ∼13 times by utilizing HF growth. As a result, the longer migration length of B-related admolecules (χB) was evidenced. Conversely, shorter τ and χB were revealed for MW growth which limit the B incorporation (probably due to etching). This study will provide an important insight to increase the B solubility.

  8. Friction and Wear Properties of As-deposited and Carbon Ion-implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1994-01-01

    Recent work on the friction and wear properties of as-deposited and carbon ion-implanted diamond films was reviewed. Diamond films were produced by the microwave plasma chemical vapor deposition (CVD) technique. Diamond films with various grain sizes and surface roughnesses were implanted with carbon ions at 60 ke V ion energy, resulting in a dose of 1.2310(exp 17) carbon ions/cm(exp 2). Various analytical techniques, including Raman spectroscopy, proton recoil analysis, Rutherford backscattering, transmission and scanning electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction, were utilized to characterize the diamond films. Sliding friction experiments were conducted with a polished natural diamond pin in contact with diamond films in the three environments: humid air (40 percent relative humidity), dry nitrogen (less than 1 percent relative humidity), and ultrahigh vacuum (10(exp -7) Pa). The CVD diamond films indeed have friction and were properties similar to those of natural diamond in the three environments. The as-deposited, fine-grain diamond films can be effectively used as self-lubricating, wear-resistant coatings that have low coefficients of friction (0.02 to 0.04) and low wear rates (10(exp -7) to 10(exp -8)mm(exp 3)/N-m) in both humid air and dry nitrogen. However, they have high coefficients of friction (1.5 to 1.7) and a high wear rate (10(exp -4)mm(exp 3/N-m) in ultrahigh vacuum. The carbon ion implanation produced a thin surficial layer (less than 0.1 micron thick) of amorphous, nondiamond carbon on the diamond films. In humid air and dry nitrogen, the ion-implanted, fine- and coarse-grain diamond films have a low coefficient of friction (around 0.1) and a low wear rate (10(exp -7)mm(exp 3/N-m). Even in ultrahigh vacuum, the presence of the nondiamond carbon layer reduced the coefficient of friction of fine-grain diamond films to 0.1 or lower and the wear rate to 10(exp -6)mm(exp 3)/N-m. Thus, the carbon ion-implanted, fine

  9. Tracing the Source of Borneo's Cempaka Diamond Deposit

    NASA Astrophysics Data System (ADS)

    White, L. T.; Graham, I.; Armstrong, R. A.; Hall, R.

    2014-12-01

    Several gem quality diamond deposits are found in paleo-alluvial deposits across Borneo. The source of the diamonds and their origin are enigmatic. They could have formed in Borneo and be derived from local sources, or they could be related to diamond deposits in NW Australia, and carried with the Southwest Borneo Block after it rifted from Australia in the Late Jurassic. We collected U-Pb isotopic data from detrital zircons from the Cempaka alluvial diamond deposit in southeast Borneo. Two thirds of the zircons that were dated crystallized between 75 Ma and 110 Ma. The other third are Triassic or older (223 Ma, 314-319 Ma, 353-367 Ma, 402-414 Ma, 474 Ma, 521 Ma, 549 Ma, 1135-1176 Ma, 1535 Ma, 2716 Ma). All of the Cretaceous zircons are angular, euhedral grains with minor evidence of mechanical abrasion. Considering their age and morphology they were likely derived from the nearby Schwaner Granites. The Triassic and older grains are rounded to semi-rounded and were likely derived from Australia before Borneo rifted from Gondwana. Some of the zircons have ages that resemble those of the Merlin and Argyle diamond deposits of Australia. The diamonds themselves have delicate resorption features and overgrowths that would potentially be destroyed with prolonged transport. Geochemical data collected from the diamonds implies they were associated with lamproite intrusions. Deep seismic lines and zircons from igneous rocks suggest SE Borneo, the East Java Sea and East Java are largely underlain by thick lithosphere rifted from NW Australia. Based on several lines of evidence, we propose that diamond-bearing lamproites intruded before rifting of SW Borneo from Australia, or after collision with Sundaland of SW Borneo and the East Java-West Sulawesi Blocks during the Cretaceous. Exposure of the source after the Late Cretaceous led to diamond accumulation in river systems that flowed from the Schwaner Mountains.

  10. Advanced laser diagnostics for diamond deposition research

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruger, C.H.; Owano, T.G.; Wahl, E.H.

    Chemical Vapor Deposition (CVD) using thermal plasmas is attractive for diamond synthesis applications due to the inherently high reactant densities and throughput, but the associated high gas-phase collision rates in the boundary layer above the substrate produce steep thermal and species gradients which can drive the complex plasma chemistry away from optimal conditions. To understand and control these environments, accurate measurements of temperature and species concentrations within the reacting boundary layer are needed. This is challenging in atmospheric pressure reactors due to the highly luminous environment, steep thermal and species gradients, and small spatial scales. The applicability of degenerate four-wavemore » mixing (DFWM) as a spectroscopic probe of atmospheric pressure reacting plasmas has been investigated. This powerful, nonlinear technique has been applied to the measurement of temperature and radical species concentrations in the boundary layer of a diamond growth substrate immersed in a flowing atmospheric pressure plasma. In-situ measurements of CH and C{sub 2} radicals have been performed to determine spatially resolved profiles of vibrational temperature, rotational temperature, and species concentration. Results of these measurements are compared with the predictions of a detailed numerical simulation.« less

  11. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  12. Method for the preparation of nanocrystalline diamond thin films

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.

    1998-01-01

    A method and system for manufacturing nanocrystalline diamond film on a substrate such as field emission tips. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrocarbon and possibly hydrogen, and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous vapor and deposition of a diamond film on the field emission tip.

  13. Direct Coating of Nanocrystalline Diamond on Steel

    NASA Astrophysics Data System (ADS)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  14. Synthesizing Diamond from Liquid Feedstock

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua

    2005-01-01

    A relatively economical method of chemical vapor deposition (CVD) has been developed for synthesizing diamond crystals and films. Unlike prior CVD methods for synthesizing diamond, this method does not require precisely proportioned flows of compressed gas feedstocks or the use of electrical discharges to decompose the feedstocks to obtain free radicals needed for deposition chemical reactions. Instead, the feedstocks used in this method are mixtures of common organic liquids that can be prepared in advance, and decomposition of feedstock vapors is effected simply by heating. The feedstock used in this method is a solution comprising between 90 and 99 weight percent of methanol and the balance of one or more other oxyhydrocarbons that could include ethanol, isopropanol, and/or acetone. This mixture of compounds is chosen so that dissociation of molecules results in the desired proportions of carbon-containing radicals (principally, CH3) and of OH, H, and O radicals. Undesirably, the CVD temperature and pressure conditions thermodynamically favor the growth of graphite over the growth of diamond. The H radicals are desirable because they help to stabilize the growing surface of diamond by shifting the thermodynamic balance toward favoring the growth of diamond. The OH and O radicals are desirable because they preferentially etch graphite and other non-diamond carbon, thereby helping to ensure the net deposition of pure diamond. The non-methanol compounds are included in the solution because (1) methanol contains equal numbers of C and O atoms; (2) an excess of C over O is needed to obtain net deposition of diamond; and (3) the non-methanol molecules contain multiple carbon atoms for each oxygen atom and thus supply the needed excess carbon A typical apparatus used in this method includes a reservoir containing the feedstock liquid and a partially evacuated stainless-steel reaction chamber. The reservoir is connected to the chamber via tubing and a needle valve or

  15. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yater, J. E., E-mail: joan.yater@nrl.navy.mil; Shaw, J. L.; Pate, B. B.

    2016-02-07

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distributionmore » as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum

  16. Tracing the depositional history of Kalimantan diamonds by zircon provenance and diamond morphology studies

    NASA Astrophysics Data System (ADS)

    Kueter, Nico; Soesilo, Joko; Fedortchouk, Yana; Nestola, Fabrizio; Belluco, Lorenzo; Troch, Juliana; Wälle, Markus; Guillong, Marcel; Von Quadt, Albrecht; Driesner, Thomas

    2016-11-01

    Diamonds in alluvial deposits in Southeast Asia are not accompanied by indicator minerals suggesting primary kimberlite or lamproite sources. The Meratus Mountains in Southeast Borneo (Province Kalimantan Selatan, Indonesia) provide the largest known deposit of these so-called "headless" diamond deposits. Proposals for the origin of Kalimantan diamonds include the adjacent Meratus ophiolite complex, ultra-high pressure (UHP) metamorphic terranes, obducted subcontinental lithospheric mantle and undiscovered kimberlite-type sources. Here we report results from detailed sediment provenance analysis of diamond-bearing Quaternary river channel material and from representative outcrops of the oldest known formations within the Alino Group, including the diamond-bearing Campanian-Maastrichtian Manunggul Formation. Optical examination of surfaces of diamonds collected from artisanal miners in the Meratus area (247 stones) and in West Borneo (Sanggau Area, Province Kalimantan Barat; 85 stones) points toward a classical kimberlite-type source for the majority of these diamonds. Some of the diamonds host mineral inclusions suitable for deep single-crystal X-ray diffraction investigation. We determined the depth of formation of two olivines, one coesite and one peridotitic garnet inclusion. Pressure of formation estimates for the peridotitic garnet at independently derived temperatures of 930-1250 °C are between 4.8 and 6.0 GPa. Sediment provenance analysis includes petrography coupled to analyses of detrital garnet and glaucophane. The compositions of these key minerals do not indicate kimberlite-derived material. By analyzing almost 1400 zircons for trace element concentrations with laser ablation ICP-MS (LA-ICP-MS) we tested the mineral's potential as an alternative kimberlite indicator. The screening ultimately resulted in a small subset of ten zircons with a kimberlitic affinity. Subsequent U-Pb dating resulting in Cretaceous ages plus a detailed chemical reflection make

  17. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  18. Method for the preparation of nanocrystalline diamond thin films

    DOEpatents

    Gruen, D.M.; Krauss, A.R.

    1998-06-30

    A method and system are disclosed for manufacturing nanocrystalline diamond film on a substrate such as field emission tips. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrocarbon and possibly hydrogen, and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous vapor and deposition of a diamond film on the field emission tip. 40 figs.

  19. Iron Oxide Nanoparticles Employed as Seeds for the Induction of Microcrystalline Diamond Synthesis

    PubMed Central

    2008-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. X-ray diffraction, visible, and ultraviolet Raman Spectroscopy, energy-filtered transmission electron microscopy , electron energy-loss spectroscopy, and X-ray photoelectron spectroscopy (XPS) were employed to study the carbon bonding nature of the films and to analyze the carbon clustering around the seed nanoparticles leading to diamond synthesis. The results indicate that iron oxide nanoparticles lose the O atoms, becoming thus active C traps that induce the formation of a dense region of trigonally and tetrahedrally bonded carbon around them with the ensuing precipitation of diamond-type bonds that develop into microcrystalline diamond films under chemical vapor deposition conditions. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  20. Experimental investigation and computational modeling of hot filament diamond chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zumbach, Volker; Schäfer, Jörg; Tobai, Jens; Ridder, Michael; Dreier, Thomas; Schaich, Thomas; Wolfrum, Jürgen; Ruf, Bernhard; Behrendt, Frank; Deutschman, Olaf; Warnatz, Jürgen

    1997-10-01

    A joint investigation has been undertaken of the gas-phase chemistry taking place in a hot-filament chemical vapor-deposition (HFCVD) process for diamond synthesis on silica surfaces by a detailed comparison of numerical modeling and experimental results. Molecular beam sampling using quadrupole mass spectroscopy and resonance-enhanced multiphoton ionization time of flight mass spectroscopy (REMPI-TOF-MS) has been used to determine absolute concentrations of stable hydrocarbons and radicals. Resulting species of a CH4/H2, a CH4/D2 (both 0.5%/99.5%) and a C2H2/H2 (0.25%/99.75%) feedgas mixture were investigated for varying filament and substrate temperatures. Spatially resolved temperature profiles at various substrate temperatures, obtained from coherent anti-Stokes Raman spectroscopy (CARS) of hydrogen, are used as input parameters for the numerical code to reproduce hydrogen atom, methyl radical, methane, acetylene, and ethylene concentration profiles in the boundary layer of the substrate. In addition, the concentration of vibrationally excited hydrogen is determined by CARS. Results reveal only qualitative agreement between measured data and simulations, concerning concentrations of stable species and radicals probed near the surface, on filament and substrate temperature dependence, respectively. Hydrogen and deuterium experiments show similar behaviour for all species. In the case of CH4 as feedgas the model describes measured concentration profiles of CH3, CH4, and C2H2 qualitatively well. Large differences between model and experiment occur for hydrogen atoms (factor of 2) and C2H4 (factor of 3). For acetylene as feedgas the model is not able to give any predictions because no conversion of C2H2 is seen in the model in contrast to the experiment.

  1. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  2. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  3. Fabrication of diamond based sensors for use in extreme environments

    DOE PAGES

    Samudrala, Gopi K.; Moore, Samuel L.; Vohra, Yogesh K.

    2015-04-23

    Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This methodmore » can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. Here, we demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.« less

  4. Fabrication of diamond based sensors for use in extreme environments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samudrala, Gopi K.; Moore, Samuel L.; Vohra, Yogesh K.

    Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This methodmore » can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. Here, we demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.« less

  5. Ultra-Smooth Nanostructured Diamond Films Deposited from He/H2/CH4/N2 Microwave Plasmas

    PubMed Central

    Konovalov, Valery V.; Melo, Andrew; Catledge, Shane A.; Chowdhury, Shafiul

    2008-01-01

    Addition of He to a high CH4 content (10.7 vol%) H2/CH4/N2 feedgas mixture for microwave plasma chemical vapor deposition produced hard (56–72 GPa), ultra-smooth nanostructured diamond films on Ti-6Al-4V alloy substrates. Upon increase in He content up to 71 vol%, root mean squared (RMS) surface roughness of the film decreased to 9–10 nm and average diamond grain size to 5–6 nm. Our studies show that increased nanocrystallinity with He addition in plasma is related to plasma dilution, enhanced fragmentation of carbon containing species, and enhanced formation of CN radical. PMID:16573106

  6. Deposition of hard and adherent diamond-like carbon films inside steel tubes using a pulsed-DC discharge.

    PubMed

    Trava-Airoldi, Vladimir Jesus; Capote, Gil; Bonetti, Luís Francisco; Fernandes, Jesum; Blando, Eduardo; Hübler, Roberto; Radi, Polyana Alves; Santos, Lúcia Vieira; Corat, Evaldo José

    2009-06-01

    A new, low cost, pulsed-DC plasma-enhanced chemical vapor deposition system that uses a bipolar, pulsed power supply was designed and tested to evaluate its capacity to produce quality diamond-like carbon films on the inner surface of steel tubes. The main focus of the study was to attain films with low friction coefficients, low total stress, a high degree of hardness, and very good adherence to the inner surface of long metallic tubes at a reasonable growth rate. In order to enhance the diamond-like carbon coating adhesion to metallic surfaces, four steps were used: (1) argon ion sputtering; (2) plasma nitriding; (3) a thin amorphous silicon interlayer deposition, using silane as the precursor gas; and (4) diamond-like carbon film deposition using methane atmosphere. This paper presents various test results as functions of the methane gas pressure and of the coaxial metal anode diameter, where the pulsed-DC voltage constant is kept constant. The influence of the coaxial metal anode diameter and of the methane gas pressure is also demonstrated. The results obtained showed the possibilities of using these DLC coatings for reduced friction and to harden inner surface of the steel tubes.

  7. UV-photodetector based on NiO/diamond film

    NASA Astrophysics Data System (ADS)

    Chang, Xiaohui; Wang, Yan-Feng; Zhang, Xiaofan; Liu, Zhangcheng; Fu, Jiao; Fan, Shuwei; Bu, Renan; Zhang, Jingwen; Wang, Wei; Wang, Hong-Xing; Wang, Jingjing

    2018-01-01

    In this study, a NiO/diamond UV-photodetector has been fabricated and investigated. A single crystal diamond (SCD) layer was grown on a high-pressure-high-temperature Ib-type diamond substrate by using a microwave plasma chemical vapor deposition system. NiO films were deposited directly by the reactive magnetron sputtering technique in a mixture gas of oxygen and argon onto the SCD layer. Gold films were patterned on NiO films as electrodes to form the metal-semiconductor-metal UV-photodetector which shows good repeatability and a 2 orders of magnitude UV/visible rejection ratio. Also, the NiO/diamond photodetector has a higher responsivity and a wider response range in contrast to a diamond photodetector.

  8. Plasma enhanced chemical vapor deposition of metalboride interfacial layers as diffusion barriers for nanostructured diamond growth on cobalt containing alloys CoCrMo and WC-Co

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.

    This work is a compilation of theory, finite element modeling and experimental research related to the use of microwave plasma enhanced chemical vapor deposition (MPECVD) of diborane to create metal-boride surface coatings on CoCrMo and WC-Co, including the subsequent growth of nanostructured diamond (NSD). Motivation for this research stems from the need for wear resistant coatings on industrial materials, which require improved wear resistance and product lifetime to remain competitive and satisfy growing demand. Nanostructured diamond coatings are a promising solution to material wear but cannot be directly applied to cobalt containing substrates due to graphite nucleation. Unfortunately, conventional pre-treatment methods, such as acid etching, render the substrate too brittle. Thus, the use of boron in a MPECVD process is explored to create robust interlayers which inhibit carbon-cobalt interaction. Furthermore, modeling of the MPECVD process, through the COMSOL MultiphysicsRTM platform, is performed to provide insight into plasma-surface interactions using the simulation of a real-world apparatus. Experimental investigation of MPECVD boriding and NSD deposition was conducted at surface temperatures from 700 to 1100 °C. Several well-adhered metal-boride surface layers were formed: consisting of CoB, CrB, WCoB, CoB and/or W2CoB2. Many of the interlayers were shown to be effective diffusion barriers against elemental cobalt for improving nucleation and adhesion of NSD coatings; diamond on W2CoB2 was well adhered. However, predominantly WCoB and CoB phase interlayers suffered from diamond film delamination. Metal-boride and NSD surfaces were evaluated using glancing-angle x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), cross-sectional scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), micro-Raman spectroscopy, nanoindentation, scratch testing and epoxy pull testing. COMSOL MultiphysicsRTM was used to construct a

  9. Microstructural evolution of diamond growth during HFCVD

    NASA Technical Reports Server (NTRS)

    Singh, J.

    1994-01-01

    High resolution transmission electron microscopy (HRTEM) was used to study the nucleation and growth mechanism of diamond by hot filament chemical vapor deposition (HFCVD) process. A novel technique has shown a direct evidence for the formation of the diamond-like carbon layer 8-14 nm thick in which small diamond micro-crystallites were embedded. These diamond micro-crystallites were formed as a result of transformation of diamond-like carbon into diamond. The diamond micro-crystallites present in the amorphous diamond-like carbon layer provided nucleation sites for diamond growth. Large diamond crystallites were observed to grow from these micro-crystallites. The mechanism of diamond growth will be presented based on experimental findings.

  10. Characteristics of Diamond-Like Carbon Films Deposited on Polymer Dental Materials

    NASA Astrophysics Data System (ADS)

    Ohtake, Naoto; Uchi, Tomio; Yasuhara, Toshiyuki; Takashima, Mai

    2012-09-01

    Characterizations of diamond-like carbon (DLC) deposited on a polymer artificial tooth were performed. DLC films were deposited on dental parts made of poly(methyl methacrylate) (PMMA) resin by dc-pulse plasma chemical vapor deposition (CVD) from methane. Wear resistance test results revealed that a DLC-coated resin tooth has a very high wear resistance against tooth brushing, and endures 24 h brushing without a marked weight decrease. Cell cultivation test results show that DLC plays an important role in preventing cell death. Moreover, a biocompatibility test using a rabbit revealed that a connective tissue in the vicinity of DLC-coated PMMA is significantly thinner than that of noncoated PMMA. The numbers of inflammatory cells in the vicinity of DLC-coated and noncoated surfaces are 0 and 508 cells/mm2, respectively. These results led us to conclude that DLC films are an excellent material for use as the coating of a polymer artificial tooth in terms of not only high wear resistance but also biocompatibility.

  11. Conversion of fullerenes to diamonds

    DOEpatents

    Gruen, Dieter M.

    1995-01-01

    A method of forming synthetic diamond or diamond-like films on a substrate surface. The method involves the steps of providing a vapor selected from the group of fullerene molecules or an inert gas/fullerene molecule mixture, providing energy to the fullerene molecules consisting of carbon-carbon bonds, the energized fullerene molecules breaking down to form fragments of fullerene molecules including C.sub.2 molecules and depositing the energized fullerene molecules with C.sub.2 fragments onto the substrate with farther fragmentation occurring and forming a thickness of diamond or diamond-like films on the substrate surface.

  12. Epithelial cell morphology and adhesion on diamond films deposited and chemically modified by plasma processes.

    PubMed

    Rezek, Bohuslav; Ukraintsev, Egor; Krátká, Marie; Taylor, Andrew; Fendrych, Frantisek; Mandys, Vaclav

    2014-09-01

    The authors show that nanocrystalline diamond (NCD) thin films prepared by microwave plasma enhanced chemical vapor deposition apparatus with a linear antenna delivery system are well compatible with epithelial cells (5637 human bladder carcinoma) and significantly improve the cell adhesion compared to reference glass substrates. This is attributed to better adhesion of adsorbed layers to diamond as observed by atomic force microscopy (AFM) beneath the cells. Moreover, the cell morphology can be adjusted by appropriate surface treatment of diamond by using hydrogen and oxygen plasma. Cell bodies, cytoplasmic rims, and filopodia were characterized by Peakforce AFM. Oxidized NCD films perform better than other substrates under all conditions (96% of cells adhered well). A thin adsorbed layer formed from culture medium and supplemented with fetal bovine serum (FBS) covered the diamond surface and played an important role in the cell adhesion. Nevertheless, 50-100 nm large aggregates formed from the RPMI medium without FBS facilitated cell adhesion also on hydrophobic hydrogenated NCD (increase from 23% to 61%). The authors discuss applicability for biomedical uses.

  13. Diamond MEMS: wafer scale processing, devices, and technology insertion

    NASA Astrophysics Data System (ADS)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  14. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    PubMed

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  15. Diamond deposition using a planar radio frequency inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  16. Nanocrystalline diamond micro-anvil grown on single crystal diamond as a generator of ultra-high pressures

    DOE PAGES

    Samudrala, Gopi K.; Moore, Samuel L.; Velisavljevic, Nenad; ...

    2016-09-29

    By combining mask-less lithography and chemical vapor deposition (CVD) techniques, a novel two-stage diamond anvil has been fabricated. A nanocrystalline diamond (NCD) micro-anvil 30 μm in diameter was grown at the center of a [100]-oriented, diamond anvil by utilizing microwave plasma CVD method. The NCD micro-anvil has a diamond grain size of 115 nm and micro-focused Raman and X-ray Photoelectron spectroscopy analysis indicate sp3-bonded diamond content of 72%. Lastly, these CVD grown NCD micro-anvils were tested in an opposed anvil configuration and the transition metals osmium and tungsten were compressed to high pressures of 264 GPa in a diamond anvilmore » cell.« less

  17. The activation energy for nanocrystalline diamond films deposited from an Ar/H2/CH4 hot-filament reactor.

    PubMed

    Barbosa, D C; Melo, L L; Trava-Airoldi, V J; Corat, E J

    2009-06-01

    In this work we have investigated the effect of substrate temperature on the growth rate and properties of nanocrystalline diamond thin films deposited by hot filament chemical vapor deposition (HFCVD). Mixtures of 0.5 vol% CH4 and 25 vol% H2 balanced with Ar at a pressure of 50 Torr and typical deposition time of 12 h. We present the measurement of the activation energy by accurately controlling the substrate temperature independently of other CVD parameters. Growth rates have been measured in the temperature range from 550 to 800 degrees C. Characterization techniques have involved Raman spectroscopy, high resolution X-ray difractometry and scanning electron microscopy. We also present a comparison with most activation energy for micro and nanocrystalline diamond determinations in the literature and propose that there is a common trend in most observations. The result obtained can be an evidence that the growth mechanism of NCD in HFCVD reactors is very similar to MCD growth.

  18. Surface smoothening effects on growth of diamond films

    NASA Astrophysics Data System (ADS)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  19. Lubrication by Diamond and Diamondlike Carbon Coatings

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1997-01-01

    Regardless of environment (ultrahigh vacuum, humid air, dry nitrogen, or water), ion-beam-deposited diamondlike carbon (DLC) and nitrogen-ion-implanted, chemical-vapor-deposited (CVD) diamond films had low steady-state coefficients of friction (less than 0.1) and low wear rates (less than or equal to 10(exp -6)cu mm/N(dot)m). These films can be used as effective wear-resistant, self-lubricating coatings regardless of environment. On the other hand, as-deposited, fine-grain CVD diamond films; polished, coarse-grain CVD diamond films; and polished and then fluorinated, coarse-grain CVD diamond films can be used as effective wear-resistant, self-lubricating coatings in humid air, in dry nitrogen, and in water, but they had a high coefficient of friction and a high wear rate in ultrahigh vacuum. The polished, coarse-grain CVD diamond film revealed an extremely low wear rate, far less than 10(exp 10) cu mm/N(dot)m, in water.

  20. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  1. Fabrication of diamond shells

    DOEpatents

    Hamza, Alex V.; Biener, Juergen; Wild, Christoph; Woerner, Eckhard

    2016-11-01

    A novel method for fabricating diamond shells is introduced. The fabrication of such shells is a multi-step process, which involves diamond chemical vapor deposition on predetermined mandrels followed by polishing, microfabrication of holes, and removal of the mandrel by an etch process. The resultant shells of the present invention can be configured with a surface roughness at the nanometer level (e.g., on the order of down to about 10 nm RMS) on a mm length scale, and exhibit excellent hardness/strength, and good transparency in the both the infra-red and visible. Specifically, a novel process is disclosed herein, which allows coating of spherical substrates with optical-quality diamond films or nanocrystalline diamond films.

  2. Growth and tribological properties of diamond films on silicon and tungsten carbide substrates

    NASA Astrophysics Data System (ADS)

    Radhika, R.; Ramachandra Rao, M. S.

    2016-11-01

    Hot filament chemical vapor deposition technique was used to deposit microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films on silicon (Si) and tungsten carbide (WC-6Co) substrates. Friction coefficient of larger diamond grains deposited on WC-6Co substrate shows less value approximately 0.2 while this differs marginally on films grown on Si substrate. The study claims that for a less friction coefficient, the grain size is not necessarily smaller. However, the less friction coefficient (less than 0.1 saturated value) in MCD and NCD deposited on Si is explained by the formation of graphitized tribolayer. This layer easily forms when diamond phase is thermodynamically unstable.

  3. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  4. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Miyake, Shojiro; Wu, Richard L. C.

    1998-01-01

    The main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) cubic MM /(N*m), respectively. Carbon- and nitrogen-ion-implanted, fine-grain, chemical-vapor-deposited (CVD) diamond and diamondlike carbon (DLC) ion beam deposited on fine-grain CVD diamond met the criteria regardless of environment (vacuum, nitrogen, and air).

  5. Status and applications of diamond and diamond-like materials: An emerging technology

    NASA Technical Reports Server (NTRS)

    1990-01-01

    Recent discoveries that make possible the growth of crystalline diamond by chemical vapor deposition offer the potential for a wide variety of new applications. This report takes a broad look at the state of the technology following from these discoveries in relation to other allied materials, such as high-pressure diamond and cubic boron nitride. Most of the potential defense, space, and commercial applications are related to diamond's hardness, but some utilize other aspects such as optical or electronic properties. The growth processes are reviewed, and techniques for characterizing the resulting materials' properties are discussed. Crystalline diamond is emphasized, but other diamond-like materials (silicon carbide, amorphous carbon containing hydrogen) are also examined. Scientific, technical, and economic problem areas that could impede the rapid exploitation of these materials are identified. Recommendations are presented covering broad areas of research and development.

  6. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  7. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  8. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  9. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference inmore » the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.« less

  10. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    NASA Astrophysics Data System (ADS)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  11. Physical and Tribological Characteristics of Ion-Implanted Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Heidger, S.; Korenyi-Both, A. L.; Jayne, D. T.; Herrera-Fierro, P.; Shogrin, B.; Wilbur, P. J.; Wu, R. L. C.; Garscadden, A.; Barnes, P. N.

    1994-01-01

    Unidirectional sliding friction experiments were conducted with a natural, polished diamond pin in contact with both as-deposited and carbon-ion-implanted diamond films in ultrahigh vacuum. Diamond films were deposited on silicon, silicon carbide, and silicon nitride by microwave-plasma-assisted chemical vapor deposition. The as-deposited diamond films were impacted with carbon ions at an accelerating energy of 60 keV and a current density of 50 micron A/cm(exp 2) for approximately 6 min, resulting in a dose of 1.2 x 10(exp 17) carbon ions/cm(exp 2). The results indicate that the carbon ion implantation produced a thin surface layer of amorphous, nondiamond carbon. The nondiamond carbon greatly decreased both friction and wear of the diamond films. The coefficients of friction for the carbon-ion-implanted, fine-grain diamond films were less than 0.1, factors of 20 to 30 lower than those for the as-deposited, fine-grain diamond films. The coefficients of friction for the carbon-ion-implanted, coarse-grain diamond films were approximately 0.35, a factor of five lower than those for the as-deposited, coarse-grain diamond films. The wear rates for the carbon-ion-implanted, diamond films were on the order of 10(exp -6) mm(exp 3)/Nm, factors of 30 to 80 lower than that for the as-deposited diamond films, regardless of grain size. The friction of the carbon-ion-implanted diamond films was greatly reduced because the amorphous, nondiamond carbon, which had a low shear strength, was restricted to the surface layers (less than 0.1 micron thick) and because the underlying diamond materials retained their high hardness. In conclusion, the carbon-ion-implanted, fine-grain diamond films can be used effectively as wear resistant, self-lubricating coatings for ceramics, such as silicon nitride and silicon carbide, in ultrahigh vacuum.

  12. Improvement of diamond-like carbon electrochemical corrosion resistance by addition of nanocrystalline diamond.

    PubMed

    Marciano, F R; Almeida, E C; Bonetti, L F; Corat, E J; Trava-Airoldi, V J

    2010-02-15

    Nanocrystalline diamond (NCD) particles were incorporated into diamond-like carbon (DLC) films in order to investigate NCD-DLC electrochemical corrosion resistance. The films were grown over 304 stainless steel using plasma-enhanced chemical vapor deposition. NCD particles were incorporated into DLC during the deposition process. The investigation of NCD-DLC electrochemical corrosion behavior was performed using potentiodynamic polarization against NaCl. NCD-DLC films presented more negative corrosion potential and lower anodic and cathodic current densities. The electrochemical analysis indicated that NCD-DLC films present superior impedance and polarization resistance compared to the pure DLC, which indicate that they are promising corrosion protective coatings in aggressive solutions. Copyright 2009 Elsevier Inc. All rights reserved.

  13. Development of a templated approach to fabricate diamond patterns on various substrates.

    PubMed

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  14. Ultratough CVD single crystal diamond and three dimensional growth thereof

    DOEpatents

    Hemley, Russell J [Washington, DC; Mao, Ho-kwang [Washington, DC; Yan, Chih-shiue [Washington, DC

    2009-09-29

    The invention relates to a single-crystal diamond grown by microwave plasma chemical vapor deposition that has a toughness of at least about 30 MPa m.sup.1/2. The invention also relates to a method of producing a single-crystal diamond with a toughness of at least about 30 MPa m.sup.1/2. The invention further relates to a process for producing a single crystal CVD diamond in three dimensions on a single crystal diamond substrate.

  15. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    NASA Astrophysics Data System (ADS)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  16. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  17. Low-Energy, Hydrogen-Free Method of Diamond Synthesis

    NASA Technical Reports Server (NTRS)

    Varshney, Deepak (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor); Makarov, Vladimir (Inventor)

    2013-01-01

    Diamond thin films were deposited on copper substrate by the Vapor Solid (VS) deposition method using a mixture of fullerene C(sub 60) and graphite as the source material. The deposition took place only when the substrate was kept in a narrow temperature range of approximately 550-650 C. Temperatures below and above this range results in the deposition of fullerenes and other carbon compounds, respectively.

  18. Micro and nanocrystalline diamond formation on reticulated vitreous carbon substrate

    NASA Astrophysics Data System (ADS)

    Diniz, A. V.; Trava-Airoldi, V. J.; Corat, E. J.; Ferreira, N. G.

    2005-10-01

    High diamond nucleation and a three-dimensional growth on reticulated vitreous carbon substrate are obtained by chemical vapor deposition. Scanning electron microscopy images show continuous films covering the whole substrate including the center of 3.5 mm thick porous samples. It is evident the nanocrystalline diamond (NCD) film formation on deeper substrate regions. The grain size can vary from nano to micro scale for deposition time of 20 h. Raman spectra of sample regions closer to filaments exhibit well-defined diamond line. For central regions of sample (depth between 1.0 and 2.0 mm) Raman spectra also confirm NCD film.

  19. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  20. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  1. The development of diamond tracking detectors for the LHC

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-11-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  2. Industrial diamond

    USGS Publications Warehouse

    Olson, D.W.

    2013-01-01

    Estimated 2012 world production of natural and synthetic industrial diamond was about 4.45 billion carats. During 2012, natural industrial diamonds were produced in at least 20 countries, and synthetic industrial diamond was produced in at least 12 countries. About 99 percent of the combined natural and synthetic global output was produced in Belarus, China, Ireland, Japan, Russia, South Africa and the United States. During 2012, China was the world’s leading producer of synthetic industrial diamond followed by the United States and Russia. In 2012, the two U.S. synthetic producers, one in Pennsylvania and the other in Ohio, had an estimated output of 103 million carats, valued at about $70.6 million. This was an estimated 43.7 million carats of synthetic diamond bort, grit, and dust and powder with a value of $14.5 million combined with an estimated 59.7 million carats of synthetic diamond stone with a value of $56.1 million. Also in 2012, nine U.S. firms manufactured polycrystalline diamond (PCD) from synthetic diamond grit and powder. The United States government does not collect or maintain data for either domestic PCD producers or domestic chemical vapor deposition (CVD) diamond producers for quantity or value of annual production. Current trade and consumption quantity data are not available for PCD or for CVD diamond. For these reasons, PCD and CVD diamond are not included in the industrial diamond quantitative data reported here.

  3. Design and investigation of properties of nanocrystalline diamond optical planar waveguides.

    PubMed

    Prajzler, Vaclav; Varga, Marian; Nekvindova, Pavla; Remes, Zdenek; Kromka, Alexander

    2013-04-08

    Diamond thin films have remarkable properties comparable with natural diamond. Because of these properties it is a very promising material for many various applications (sensors, heat sink, optical mirrors, chemical and radiation wear, cold cathodes, tissue engineering, etc.) In this paper we report about design, deposition and measurement of properties of optical planar waveguides fabricated from nanocrystalline diamond thin films. The nanocrystalline diamond planar waveguide was deposited by microwave plasma enhanced chemical vapor deposition and the structure of the deposited film was studied by scanning electron microscopy and Raman spectroscopy. The design of the presented planar waveguides was realized on the bases of modified dispersion equation and was schemed for 632.8 nm, 964 nm, 1 310 nm and 1 550 nm wavelengths. Waveguiding properties were examined by prism coupling technique and it was found that the diamond based planar optical element guided one fundamental mode for all measured wavelengths. Values of the refractive indices of our NCD thin film measured at various wavelengths were almost the same as those of natural diamond.

  4. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  5. Status of the R&D activity on diamond particle detectors

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  6. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  7. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  8. Biological evaluation of ultrananocrystalline and nanocrystalline diamond coatings.

    PubMed

    Skoog, Shelby A; Kumar, Girish; Zheng, Jiwen; Sumant, Anirudha V; Goering, Peter L; Narayan, Roger J

    2016-12-01

    Nanostructured biomaterials have been investigated for achieving desirable tissue-material interactions in medical implants. Ultrananocrystalline diamond (UNCD) and nanocrystalline diamond (NCD) coatings are the two most studied classes of synthetic diamond coatings; these materials are grown using chemical vapor deposition and are classified based on their nanostructure, grain size, and sp 3 content. UNCD and NCD are mechanically robust, chemically inert, biocompatible, and wear resistant, making them ideal implant coatings. UNCD and NCD have been recently investigated for ophthalmic, cardiovascular, dental, and orthopaedic device applications. The aim of this study was (a) to evaluate the in vitro biocompatibility of UNCD and NCD coatings and (b) to determine if variations in surface topography and sp 3 content affect cellular response. Diamond coatings with various nanoscale topographies (grain sizes 5-400 nm) were deposited on silicon substrates using microwave plasma chemical vapor deposition. Scanning electron microscopy and atomic force microscopy revealed uniform coatings with different scales of surface topography; Raman spectroscopy confirmed the presence of carbon bonding typical of diamond coatings. Cell viability, proliferation, and morphology responses of human bone marrow-derived mesenchymal stem cells (hBMSCs) to UNCD and NCD surfaces were evaluated. The hBMSCs on UNCD and NCD coatings exhibited similar cell viability, proliferation, and morphology as those on the control material, tissue culture polystyrene. No significant differences in cellular response were observed on UNCD and NCD coatings with different nanoscale topographies. Our data shows that both UNCD and NCD coatings demonstrate in vitro biocompatibility irrespective of surface topography.

  9. Nitrogen doping, optical characterization, and electron emission study of diamond

    NASA Astrophysics Data System (ADS)

    Park, Minseo

    Nitrogen-doped chemical vapor deposited (CVD) diamond films were synthesized with N2 (nitrogen) and C3H6N6 (melamine) as doping sources. More effective substitutional nitrogen doping was achieved with C3H6N6 than with N 2. Since a melamine molecule has an existing cyclic C-N bonded ring, it is expected that the incorporation of nitrogen on substitution diamond lattice should be facilitated. The diamond film doped with N2 contained a significant amount of non-diamond carbon phases. The samples were analyzed by scanning electron microscopy, Raman scattering, photoluminescence spectroscopy, and field emission measurements. The sample produced using N 2 exhibited a lower field emission turn-on field than the sample produced using C3H6N6. It is believed that the presence of the graphitic phases (or amorphous sp2 carbon) at the grain boundaries of the diamond and/or the nanocrystallinity (or microcrystallinity) of the diamond play a significant role in lowering the turn-on field of the film produced using N2. The nature of the nitrogen-related 1190 cm-1 Raman peak was investigated. Nitrogen is incorporated predominantly to the crystalline or amorphous sp2 phases when nitrogen is added to the growing diamond. Field emission characteristics from metallic field emitter coated with type Ia and Ib diamond powders were also investigated. No significant difference in electron emission characteristics were found in these samples. Voltage-dependent field emission energy distribution (V-FEED) measurement was performed to analyze the energy distribution of the emitted electrons. It is believed that substitutional nitrogen doping plays only a minor role in changing field emission characteristics in diamond. Discontinuous diamond films were deposited on silicon using a microwave plasma chemical vapor deposition (MPCVD) system. The diamond deposits were sharpened by argon ion beam etching. Raman spectroscopy was carried out to study the structural change of the diamond after ion beam

  10. Dynamic compression of synthetic diamond windows (final report for LDRD project 93531).

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dolan, Daniel H.,

    2008-09-01

    Diamond is an attractive dynamic compression window for many reasons: high elastic limit,large mechanical impedance, and broad transparency range. Natural diamonds, however, aretoo expensive to be used in destructive experiments. Chemical vapor deposition techniquesare now able to produce large single-crystal windows, opening up many potential dynamiccompression applications. This project studied the behavior of synthetic diamond undershock wave compression. The results suggest that synthetic diamond could be a usefulwindow in this field, though complete characterization proved elusive.3

  11. Diamond and diamondlike carbon as wear-resistant, self-lubricating coatings for silicon nitride

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1995-01-01

    Recent work on the friction and wear properties of as-deposited fine-grain diamond, polished coarse-grain diamond, and as-deposited diamondlike carbon (DLC) films in humid air at a relative humidity of approximately 40 percent and in dry nitrogen is reviewed. Two types of chemical vapor deposition (CVD) processes are used to deposit diamond films on silicon nitride (Si3N4) substrates: microwave-plasma and hot-filament. Ion beams are used to deposit DLC films of Si3N4 substrates. The diamond and DLC films in sliding contact with hemispherical bare Si3N4 pins have low steady-state coefficients of friction (less than 0.2) and low wear rates (less than 10(exp -7) mm(exp 2)/N-m), and thus, can be used effectively as wear-resistant, self-lubricating coatings for Si3N4 in the aforementioned two environments.

  12. New developments in CVD diamond for detector applications

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  13. The impact of diamond nanocrystallinity on osteoblast functions.

    PubMed

    Yang, Lei; Sheldon, Brian W; Webster, Thomas J

    2009-07-01

    Nanocrystalline diamond has been proposed as an anti-abrasive film on orthopedic implants. In this study, osteoblast (bone forming cells) functions including adhesion (up to 4h), proliferation (up to 5 days) and differentiation (up to 21 days) on different diamond film topographies were systematically investigated. In order to exclude interferences from changes in surface chemistry and wettability (energy), diamond films with nanometer and micron scale topographies were fabricated through microwave plasma enhanced chemical-vapor-deposition and hydrogen plasma treatment. Scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy and water contact angle measurements verified the similar surface chemistry and wettability but varied topographies for all of the diamond films prepared on silicon in this study. Cytocompatibility assays demonstrated enhanced osteoblast functions (including adhesion, proliferation, intracellular protein synthesis, alkaline phosphatase activity and extracellular calcium deposition) on nanocrystalline diamond compared to submicron diamond grain size films for all time periods tested up to 21 days. An SEM study of osteoblast attachment helped to explain the topographical impact diamond had on osteoblast functions by showing altered filopodia extensions on the different diamond topographies. In summary, these results provided insights into understanding the role diamond nanotopography had on osteoblast interactions and more importantly, the application of diamond films to improve orthopedic implant lifetimes.

  14. Measurements and Diagnostics of Diamond Films and Coatings

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.

    1999-01-01

    The commercial potential of chemical-vapor-deposited (CVD) diamond films has been established and a number of applications have been identified through university, industry, and government research studies. This paper discusses the methodologies used for property measurement and diagnostic of CVD diamond films and coatings. Measurement and diagnostic techniques studied include scanning electron microscopy, transmission electron microscopy, atomic force microscopy, stylus profilometry, x-ray diffraction, electron diffraction, Raman spectroscopy, Rutherford backscattering, elastic recoil spectroscopy, and friction examination. Each measurement and diagnostic technique provides unique information. A combination of techniques can provide the technical information required to understand the quality and properties of CVD diamond films, which are important to their application in specific component systems and environments. In this study the combination of measurement and diagnostic techniques was successfully applied to correlate deposition parameters and resultant diamond film composition, crystallinity, grain size, surface roughness, and coefficient of friction.

  15. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  16. A Novel Method of Fabricating a Well-Faceted Large-Crystal Diamond Through MPCVD

    NASA Astrophysics Data System (ADS)

    Man, Weidong; Weng, Jun; Wu, Yuqiong; Chen, Peng; Yu, Xuechao; Wang, Jianhua

    2009-12-01

    A novel method was developed to deposit a large crystal diamond with good facets up to 1000 μm on a tungsten substrate using a microwave plasma enhanced chemical vapor deposition (MPCVD). This method consists of two steps, namely single-crystal nucleation and growth. Prior to the fabrication of the well-faceted, large crystal diamond, an investigation was made into the nucleation and growth of the diamond which were affected by the O2 concentration and substrate temperature. Deposited diamond crystals were characterized by scanning electron microscopy and micro-Raman spectroscopy. The results showed that the conditions of single-crystal nucleation were appropriate when the ratio of H2/CH4/O2 was about 200/7.0/2.0, while the substrate temperature Ts of 1000°C to 1050°C was the appropriate range for single-crystal diamond growth. Under the optimum parameters, a well-faceted large crystal diamond was obtained.

  17. Near-ultraviolet micro-Raman study of diamond grown on GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nazari, M., E-mail: m-n79@txstate.edu; Hancock, B. L.; Anderson, J.

    2016-01-18

    Ultraviolet (UV) micro-Raman measurements are reported of diamond grown on GaN using chemical vapor deposition. UV excitation permits simultaneous investigation of the diamond (D) and disordered carbon (DC) comprising the polycrystalline layer. From line scans of a cross-section along the diamond growth direction, the DC component of the diamond layer is found to be highest near the GaN-on-diamond interface and diminish with characteristic length scale of ∼3.5 μm. Transmission electron microscopy (TEM) of the diamond near the interface confirms the presence of DC. Combined micro-Raman and TEM are used to develop an optical method for estimating the DC volume fraction.

  18. Dosimetry with diamond detectors

    NASA Astrophysics Data System (ADS)

    Gervino, G.; Marino, C.; Silvestri, F.; Lavagno, A.; Truc, F.

    2010-05-01

    In this paper we present the dosimetry analysis in terms of stability and repeatability of the signal and dose rate dependence of a synthetic single crystal diamond grown by Chemical Vapor Deposition (CVD) technique. The measurements carried out by 5 MeV X-ray photons beam show very promising results, even if the dose rate detector response points out that the charge trapping centers distribution is not uniform inside the crystal volume. This handicap that affects the detectors performances, must be ascribed to the growing process. Synthetic single crystal diamonds could be a valuable alternative to air ionization chambers for quality beam control and for intensity modulated radiation therapy beams dosimetry.

  19. Synthesis of ultrasmooth nanostructured diamond films by microwave plasma chemical vapor deposition using a He/H(2)/CH(4)/N(2) gas mixture.

    PubMed

    Chowdhury, S; Hillman, Damon A; Catledge, Shane A; Konovalov, Valery V; Vohra, Yogesh K

    2006-10-01

    Ultrasmooth nanostructured diamond (USND) films were synthesized on Ti-6Al-4V medical grade substrates by adding helium in H(2)/CH(4)/N(2) plasma and changing the N(2)/CH(4) gas flow from 0 to 0.6. We were able to deposit diamond films as smooth as 6 nm (root-mean-square), as measured by an atomic force microscopy (AFM) scan area of 2 μm(2). Grain size was 4-5 nm at 71% He in (H(2) + He) and N(2)/CH(4) gas flow ratio of 0.4 without deteriorating the hardness (~50-60 GPa). The characterization of the films was performed with AFM, scanning electron microscopy, x-ray diffraction (XRD), Raman spectroscopy, and nanoindentation techniques. XRD and Raman results showed the nanocrystalline nature of the diamond films. The plasma species during deposition were monitored by optical emission spectroscopy. With increasing N(2)/CH(4) feedgas ratio (CH(4) was fixed) in He/H(2)/CH(4)/N(2) plasma, a substantial increase of CN radical (normalized by Balmer H(α) line) was observed along with a drop in surface roughness up to a critical N(2)/CH(4) ratio of 0.4. The CN radical concentration in the plasma was thus correlated to the formation of ultrasmooth nanostructured diamond films.

  20. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  1. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  2. A simple, space constrained NIRIM type reactor for chemical vapour deposition of diamond

    NASA Astrophysics Data System (ADS)

    Thomas, Evan L. H.; Ginés, Laia; Mandal, Soumen; Klemencic, Georgina M.; Williams, Oliver A.

    2018-03-01

    In this paper the design of a simple, space constrained chemical vapour deposition reactor for diamond growth is detailed. Based on the design by NIRIM, the reactor is composed of a quartz discharge tube placed within a 2.45 GHz waveguide to create the conditions required for metastable growth of diamond. Utilising largely off-the-shelf components and a modular design, the reactor allows for easy modification, repair, and cleaning between growth runs. The elements of the reactor design are laid out with the CAD files, parts list, and control files made easily available to enable replication. Finally, the quality of nanocrystalline diamond films produced are studied with SEM and Raman spectroscopy, with the observation of clear faceting and a large diamond fraction suggesting the design offers deposition of diamond with minimal complexity.

  3. Micro-Raman Analysis of Irradiated Diamond Films

    NASA Technical Reports Server (NTRS)

    Newton, R. L.; Munafo, Paul M. (Technical Monitor)

    2002-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies such as Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (1015 - 1017 H+/cm2 doses) irradiated chemical vapor deposited (CVD) diamond reveals that the microstructure is retained even after high radiation exposure.

  4. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  5. Diamond bio electronics.

    PubMed

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  6. Diamond deposition in a hot-filament reactor using different hydrocarbon precursor gases

    NASA Astrophysics Data System (ADS)

    May, P. W.; Everitt, N. M.; Trevor, C. G.; Ashfold, M. N. R.; Rosser, K. N.

    1993-07-01

    A hot-filament reactor was used to deposit polycrystalline diamond films upon single-crystal Si substrates using hydrocarbon/H 2 gas mixtures. We studied the effect upon the deposition process and resulting film properties by varying the hydrocarbon gas from C 1H x to C 4H x alkanes. This was done maintaining a constant carbon-to-hydrogen ratio, but using a substantially lower-than- normal filament temperature (1500°C) in order to highlight differences in activation barriers and in the chemistry of the diamond-forming step. It was found that with increasing hydrocarbon chain length the deposition rate decreased, from a value of about 0.4 μm h -1 for methane/H 2 mixtures to less than 0.07 μm h -1 for butane/H 2. This was accompanied by an increase in the relative proportion of amorphous carbon to diamond present in the films. After one hour deposition the diamond grain size remained constant at about 20 nm, irrespective of the precursor gas. The measured Knoop hardness of the films also decreased when using process gases other than methane. We also studied the effect of changing the bond order in C 2H x precursor gases (ethane, ethene, ethyne) but found that this had no effect on either the deposition rate or the film quality.

  7. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    PubMed

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  8. Provenance history of detrital diamond deposits, West Coast of Namaqualand, South Africa

    NASA Astrophysics Data System (ADS)

    Phillips, David; Harris, Jeffrey W.; de Wit, Michiel C. J.; Matchan, Erin L.

    2018-05-01

    The West Coast of Namaqualand in South Africa hosts extensive detrital diamond deposits, but considerable debate exists as to the provenance of these diamonds. Some researchers have suggested derivation of the diamonds from Cretaceous-Jurassic kimberlites (also termed Group I kimberlites) and orangeites (also termed Group II kimberlites) located on the Kaapvaal Craton. However, others favour erosion of diamonds from the ca.300 Ma Dwyka Group sediments, with older, pre-Karoo kimberlites being the original source(s). Previous work has demonstrated that 40Ar/39Ar analyses of clinopyroxene inclusions, extracted from diamonds, yield ages approaching the time(s) of source kimberlite emplacement, which can be used to constrain the provenance of placer diamond deposits. In the current study, 40Ar/39Ar analyses were conducted on clinopyroxene inclusions from two similar batches of Namaqualand detrital diamonds, yielding (maximum) ages ranging from 117.5 ± 43.6 Ma to 3684 ± 191 Ma (2σ) and 120.6 ± 15.4 Ma to 688.8 ± 4.9 Ma (2σ), respectively. The vast majority of inclusions (88%) produced ages younger than 500 Ma, indicating that most Namaqualand diamonds originated from Cretaceous-Jurassic kimberlites/orangeites, with few, if any, derived from the Dwyka tillites. The provenance of the Namaqualand diamonds from ca.115-200 Ma orangeites is consistent with Late Cretaceous paleo-drainage reconstructions, as these localities could have been sampled by the `paleo-Karoo' River and transported to the West Coast via an outlet close to the current Olifants River mouth. At ca.90 Ma, this drainage system appears to have been captured by the `paleo-Kalahari' River, a precursor to the modern Orange River system. This latter drainage is considered to have transported diamonds eroded from both ca.80-90 Ma kimberlites and ca.115-200 Ma orangeites to the West Coast, which were subsequently reworked along the Namibian coast, forming additional placer deposits.

  9. Laser-induced multi-energy processing in diamond growth

    NASA Astrophysics Data System (ADS)

    Xie, Zhiqiang

    Laser-induced multi-energy processing (MEP) introduces resonant vibrational excitations of precursor molecules to conventional chemical vapor deposition methods for material synthesis. In this study, efforts were extended to explore the capability of resonant vibrational excitations for promotion of energy efficiency in chemical reactions, for enhancement of diamond deposition, and for control of chemical reactions. The research project mainly focused on resonant vibrational excitations of precursor molecules using lasers in combustion flame deposition of diamond, which led to: 1) promotion of chemical reactions; 2) enhancement of diamond growth with higher growth rate and better crystallizations; 3) steering of chemical reactions which lead to preferential growth of {100}-oriented diamond films and crystals; and 4) mode-selective excitations of precursor molecules toward bond-selective control of chemical reactions. Diamond films and crystals were deposited in open air by combustion flame deposition through resonant vibrational excitations of precursor molecules, including ethylene (C2H4) and propylene (C3H 6). A kilowatt wavelength-tunable CO2 laser with spectral range from 9.2 to 10.9 microm was tuned to match vibrational modes of the precursor molecules. Resonant vibrational excitations of these molecules were achieved with high energy efficiency as compared with excitations using a common CO2 laser (fixed wavelength at 10.591microm). With resonant vibrational excitations, the diamond growth rate was increased; diamond quality was promoted; diamond crystals with lengths up to 5 mm were deposited in open air; preferential growth of {100}-oriented diamond films and single crystals was achieved; mode-selective excitations of precursor molecules were investigated toward control of chemical reactions. Optical emission spectroscopy (OES), mass spectrometry (MS), and molecular dynamic simulations were conducted to obtain an in-depth understanding of the resonant

  10. Quantifying selective alignment of ensemble nitrogen-vacancy centers in (111) diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tahara, Kosuke; Ozawa, Hayato; Iwasaki, Takayuki

    2015-11-09

    Selective alignment of nitrogen-vacancy (NV) centers in diamond is an important technique towards its applications. Quantification of the alignment ratio is necessary to design the optimized diamond samples. However, this is not a straightforward problem for dense ensemble of the NV centers. We estimate the alignment ratio of ensemble NV centers along the [111] direction in (111) diamond by optically detected magnetic resonance measurements. Diamond films deposited by N{sub 2} doped chemical vapor deposition have NV center densities over 1 × 10{sup 15 }cm{sup −3} and alignment ratios over 75%. Although spin coherence time (T{sub 2}) is limited to a few μs bymore » electron spins of nitrogen impurities, the combination of the selective alignment and the high density can be a possible way to optimize NV-containing diamond samples for the sensing applications.« less

  11. On the Fabrication and Behavior of Diamond Microelectromechanical Sensors (DMEMS)

    NASA Technical Reports Server (NTRS)

    Holmes, K.; Davidson, J. L.; Kang, W. P.; Howell, M.

    2001-01-01

    CVD (chemically vapor deposited) diamond films can be processed similar to "conventional" semiconductor device fabrication and as such can be used to achieve microelectromechanical structures (MEMS) also similar to, for example, silicon technology. Very small cantilever beams, membranes, stripes, tips, etc. can be constructed in doped and undoped diamond films and offer an array of choices in diamond with its known superior properties such as elastic modulus, high temperature semiconduction, high thermal conductivity, very low coefficient of expansion and numerous other diamond parameters. This paper will review the construction and behavior of the second generation DMEMS devices comprised as an accelerometer with a diamond diaphragm for use in very high G applications and a diamond pressure sensor for very high temperature and frequency response.

  12. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Caplan, M.; Olstad, R.; Jory, H.

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this projectmore » uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)« less

  13. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  14. Nanocrystalline diamond thin films on titanium-6 aluminum-4 vanadium alloy temporomandibular joint prosthesis simulants by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fries, Marc Douglas

    A course of research has been performed to assess the suitability of nanocrystal-line diamond (NCD) films on Ti-6Al-4V alloy as wear-resistant coatings in biomedical implant use. A series of temporomandibular (TMJ) joint condyle simulants were polished and acid-passivated as per ASTM F86 standard for surface preparation of implants. A 3-mum-thick coating of NCD film was deposited by microwave plasma chemical vapor deposition (MPCVD) over the hemispherical articulation surfaces of the simulants. Plasma chemistry conditions were measured and monitored by optical emission spectroscopy (OES), using hydrogen as a relative standard. The films consist of diamond grains around 20 nm in diameter embedded in an amorphous carbon matrix, free of any detectable film stress gradient. Hardness averages 65 GPa and modulus measures 600 GPa at a depth of 250 nm into the film surface. A diffuse film/substrate boundary produces a minimal film adhesion toughness (GammaC) of 158 J/m2. The mean RMS roughness is 14.6 +/- 4.2 nm, with an average peak roughness of 82.6 +/- 65.9 nm. Examination of the surface morphology reveals a porous, dendritic surface. Wear testing resulted in two failed condylar coatings out of three tests. No macroscopic delamination was found on any sample, but micron-scale film pieces broke away, exposing the substrate. Electrochemical corrosion testing shows a seven-fold reduction in corrosion rate with the application of an NCD coating as opposed to polished, passivated Ti-6Al-4V, producing a corrosion rate comparable to wrought Co-Cr-Mo. In vivo biocompatibility testing indicates that implanted NCD films did not elicit an immune response in the rabbit model, and osteointegration was apparent for both compact and trabecular bone on both NCD film and bare Ti-6Al-4V. Overall, NCD thin film material is reasonably smooth, biocompatible, and very well adhered. Wear testing indicates that this material is unacceptable for use in demanding TMJ applications without

  15. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    PubMed

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  16. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    NASA Astrophysics Data System (ADS)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  17. Synthesis of Diamond Nanoplatelets/Carbon Nanowalls on Graphite Substrate by MPCVD

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Lyu, Jilei; Lin, Xiaoqi; Zhu, Jinfeng; Man, Weidong; Jiang, Nan

    2015-07-01

    The films composed of carbon nanowalls and diamond nanoplatelets, respectively, can be simultaneously formed on graphite substrate by controlling the hydrogen etching rate during microwave plasma chemical vapor deposition. To modulate the etching rate, two kinds of substrate design were used: a bare graphite plate and a graphite groove covered with a single crystal diamond sheet. After deposition at 1200°C for 3 hours, we find that dense diamond nanoplatelets were grown on the bare graphite, whereas carbon nanowalls were formed on the grooved surface, indicating that not only reaction temperature but also etching behavior is a key factor for nanostructure formation. supported by the Public Welfare Technology Application Projects of Zhejiang Province, China (No. 2013C33G3220012)

  18. Low substrate temperature deposition of diamond coatings derived from glassy carbon

    DOEpatents

    Holcombe, Jr., Cressie E.; Seals, Roland D.

    1995-01-01

    A process for depositing a diamond coating on a substrate at temperatures less than about 550.degree. C. A powder mixture of glassy carbon and diamond particles is passed through a high velocity oxy-flame apparatus whereupon the powders are heated prior to impingement at high velocity against the substrate. The powder mixture contains between 5 and 50 powder volume percent of the diamond particles, and preferably between 5 and 15 powder volume percent. The particles have a size from about 5 to about 100 micrometers, with the diamond particles being about 5 to about 30 micrometers. The flame of the apparatus provides a velocity of about 350 to about 1000 meters per second, with the result that upon impingement upon the substrate, the glassy carbon is phase transformed to diamond as coaxed by the diamond content of the powder mixture.

  19. Synthesis of diamond films at low temperature and study of nonlinear dynamic synthesis process

    NASA Astrophysics Data System (ADS)

    Zhao, Qingxun; Shang, Yong; Dong, Lifang; Fu, Guangsheng; Yan, Zheng; Yang, Jingfa

    2002-09-01

    In this paper, the experimental synthesis of diamond films and optical emission spectroscopy (OES) of the gaseous phase species are studied in the range of substrate temperature from Ts = 300°C to 850°C. The high quality sub-microcrystalline diamond films are successfully deposited at substrate temperature (330 ≍ 340)°C by adopting glow plasma assisted hot filament chemical vapor deposition (GPCVD). For the first time, in situ OES is applied to diagnose weak signal of GPCVD system when CH4 and H2 are used as the input gas, and the reactive species are identified in diamond growth processes. A primary model of diamond films growing at low temperature is presented by studying dynamic behavior for nonequilibrium plasma reactions.

  20. Magnetically Orchestrated Formation of Diamond at Lower Temperatures and Pressures

    NASA Astrophysics Data System (ADS)

    Little, Reginald B.; Lochner, Eric; Goddard, Robert

    2005-01-01

    Man's curiosity and fascination with diamonds date back to ancient times. The knowledge of the many properties of diamond is recorded during Biblical times. Antoine Lavoisier determined the composition of diamond by burning in O2 to form CO2. With the then existing awareness of graphite as carbon, the race began to convert graphite to diamond. The selective chemical synthesis of diamond has been pursued by Cagniard, Hannay, Moisson and Parson. On the basis of the thermodynamically predicted equilibrium line of diamond and graphite, P W Bridgman attempted extraordinary conditions of high temperature (>2200°C) and pressure (>100,000 atm) for the allotropic conversion of graphite to diamond. H T Hall was the first to successfully form bulk diamond by realizing the kinetic restrictions to Bridgman's (thermodynamic) high pressure high temperature direct allotropic conversion. Moreover, Hall identified catalysts for the faster kinetics of diamond formation. H M Strong determined the import of the liquid catalyst during Hall's catalytic synthesis. W G Eversole discovered the slow metastable low pressure diamond formation by pyrolytic chemical vapor deposition with the molecular hydrogen etching of the rapidly forming stable graphitic carbon. J C Angus determined the import of atomic hydrogen for faster etching for faster diamond growth at low pressure. S Matsumoto has developed plasma and hot filament technology for faster hydrogen and carbon radical generations at low pressure for faster diamond formation. However the metastable low pressure chemical vapor depositions by plasma and hot filament are prone to polycrystalline films. From Bridgman to Hall to Eversole, Angus and Matsumoto, much knowledge has developed of the importance of pressure, temperature, transition metal catalyst, liquid state of metal (metal radicals atoms) and the carbon radical intermediates for diamond synthesis. Here we advance this understanding of diamond formation by demonstrating the external

  1. High-Density Near-Field Readout Using Diamond Solid Immersion Lens

    NASA Astrophysics Data System (ADS)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Nakaoki, Ariyoshi; Furuki, Motohiro; Takeda, Minoru; Yamamoto, Masanobu; Schaich, Thomas J.; van Oerle, Bart M.; Godfried, Herman P.; Kriele, Paul A. C.; Houwman, Evert P.; Nelissen, Wim H. M.; Pels, Gert J.; Spaaij, Paul G. M.

    2006-02-01

    We investigated high-density near-field readout using a diamond solid immersion lens (SIL). A synthetic single-crystal chemical vapor deposition diamond provides a high refractive index and a high transmission for a wide wavelength range. Since the refractive index at a wavelength of 405 nm is 2.458, we could design a solid immersion lens with an effective numerical aperture of 2.34. Using the diamond SIL, we observed the eye pattern of a 150-GB-capacity (104.3 Gbit/in.2) disk with a track pitch of 130 nm and a bit length of 47.6 nm.

  2. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  3. Low substrate temperature deposition of diamond coatings derived from glassy carbon

    DOEpatents

    Holcombe, C.E. Jr.; Seals, R.D.

    1995-09-26

    A process is disclosed for depositing a diamond coating on a substrate at temperatures less than about 550 C. A powder mixture of glassy carbon and diamond particles is passed through a high velocity oxy-flame apparatus whereupon the powders are heated prior to impingement at high velocity against the substrate. The powder mixture contains between 5 and 50 powder volume percent of the diamond particles, and preferably between 5 and 15 powder volume percent. The particles have a size from about 5 to about 100 micrometers, with the diamond particles being about 5 to about 30 micrometers. The flame of the apparatus provides a velocity of about 350 to about 1000 meters per second, with the result that upon impingement upon the substrate, the glassy carbon is phase transformed to diamond as coaxed by the diamond content of the powder mixture. 2 figs.

  4. Diamond-Reinforced Matrix Composites

    DTIC Science & Technology

    1993-05-10

    by chemical vapor deposition ( CVD ). 14 While preferable, scratching and oil- coating of substrate filaments 15 may not be absolutely necessary. For...composites. 25 13 Docket No.: N.C. 72,578 PATENT APPLICATION Inventor’s Name: Natishan et al. 1 4) Anti -oxidation coatings such as refractory oxides or 2...the mismatch in the 5 coefficients of thermal expansion (CTE). By coating the 6 reinforcement with diamond prior to the final 7 anti -oxidant coating

  5. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  6. Recent Results with CVD Diamond Trackers

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm 2 diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 μs shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm 2 diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  7. The low coherence Fabry-Pérot interferometer with diamond and ZnO layers

    NASA Astrophysics Data System (ADS)

    Majchrowicz, D.; Den, W.; Hirsch, M.

    2016-09-01

    The authors present a fiber-optic Fabry-Pérot interferometer built with the application of diamond and zinc oxide (ZnO) thin layers. Thin ZnO films were deposited on the tip of a standard telecommunication single-mode optical fiber (SMF- 28) while the diamond layer was grown on the plate of silicon substrate. Investigated ZnO layers were fabricated by atomic layer deposition (ALD) and the diamond films were deposited using Microwave Plasma Enhanced Chemical Vapor Deposition (μPE CVD) system. Different thickness of layers was examined. The measurements were performed for the fiber-optic Fabry-Pérot interferometer working in the reflective mode. Spectra were registered for various thicknesses of ZnO layer and various length of the air cavity. As a light source, two superluminescent diodes (SLD) with central wavelength of 1300 nm and 1550 nm were used in measurement set-up.

  8. Diamond-Like Carbon Nanorods and Fabrication Thereof

    NASA Technical Reports Server (NTRS)

    Varshney, Deepak (Inventor); Makarov, Vladimir (Inventor); Morell, Gerardo (Inventor); Saxena, Puja (Inventor); Weiner, Brad (Inventor)

    2017-01-01

    Novel sp. (sup 3) rich diamond-like carbon (DLC) nanorod films were fabricated by hot filament chemical vapor deposition technique. The results are indicative of a bottom-up self-assembly synthesis process, which results in a hierarchical structure that consists of microscale papillae comprising numerous nanorods. The papillae have diameters ranging from 2 to 4 microns and the nanorods have diameters in the 35-45 nanometer range. A growth mechanism based on the vapor liquid-solid mechanism is proposed that accounts for the morphological aspects in the micro- and nano-scales.

  9. Rapid Growth of Nanocrystalline Diamond on Single Crystal Diamond for Studies on Materials under Extreme Conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moore, Samuel L.; Samudrala, Gopi K.; Catledge, Shane A.

    Early stage nucleation morphologies of spatially localized nanocrystalline diamond (NCD) micro-anvils grown on (100)-oriented single crystal diamond (SCD) anvil surfaces were analyzed and investigated for applications in high pressure studies on materials. NCD was grown on SCD using Microwave Plasma Chemical Vapor Deposition (MPCVD) for brief time intervals ranging from 1-15 minutes. Early stage film morphologies were characterized using scanning electron microscopy (SEM) and Raman spectroscopy and were compared to films grown for several hours. Rapid nucleation and growth of NCD on SCD is demonstrated without any pre-growth seeding of the substrate surface. As grown NCD diamond micro-anvils on SCDmore » were used to generate static pressure of 0.5 Terapascal (TPa) on a tungsten sample as measured by synchrotron x-ray diffraction in a diamond anvil cell. Atomic force microscopy (AFM) analysis after decompression from ultrahigh pressures showed that the detachment of the NCD stage occurred in the bulk of the SCD and not at the interface, suggesting significant adhesive bond strength between nanocrystalline and single crystal diamond.« less

  10. Rapid Growth of Nanocrystalline Diamond on Single Crystal Diamond for Studies on Materials under Extreme Conditions

    DOE PAGES

    Moore, Samuel L.; Samudrala, Gopi K.; Catledge, Shane A.; ...

    2018-01-23

    Early stage nucleation morphologies of spatially localized nanocrystalline diamond (NCD) micro-anvils grown on (100)-oriented single crystal diamond (SCD) anvil surfaces were analyzed and investigated for applications in high pressure studies on materials. NCD was grown on SCD using Microwave Plasma Chemical Vapor Deposition (MPCVD) for brief time intervals ranging from 1-15 minutes. Early stage film morphologies were characterized using scanning electron microscopy (SEM) and Raman spectroscopy and were compared to films grown for several hours. Rapid nucleation and growth of NCD on SCD is demonstrated without any pre-growth seeding of the substrate surface. As grown NCD diamond micro-anvils on SCDmore » were used to generate static pressure of 0.5 Terapascal (TPa) on a tungsten sample as measured by synchrotron x-ray diffraction in a diamond anvil cell. Atomic force microscopy (AFM) analysis after decompression from ultrahigh pressures showed that the detachment of the NCD stage occurred in the bulk of the SCD and not at the interface, suggesting significant adhesive bond strength between nanocrystalline and single crystal diamond.« less

  11. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  12. Investigation of nucleation and growth processes of diamond films by atomic force microscopy

    NASA Technical Reports Server (NTRS)

    George, M. A.; Burger, A.; Collins, W. E.; Davidson, J. L.; Barnes, A. V.; Tolk, N. H.

    1994-01-01

    The nucleation and growth of plasma-enhanced chemical-vapor deposited polycrystalline diamond films were studied using atomic force microscopy (AFM). AFM images were obtained for (1) nucleated diamond films produced from depositions that were terminated during the initial stages of growth, (2) the silicon substrate-diamond film interface side of diamond films (1-4 micrometers thick) removed from the original surface of the substrate, and (3) the cross-sectional fracture surface of the film, including the Si/diamond interface. Pronounced tip effects were observed for early-stage diamond nucleation attributed to tip convolution in the AFM images. AFM images of the film's cross section and interface, however, were not highly affected by tip convolution, and the images indicate that the surface of the silicon substrate is initially covered by a small grained polycrystalline-like film and the formation of this precursor film is followed by nucleation of the diamond film on top of this layer. X-ray photoelectron spectroscopy spectra indicate that some silicon carbide is present in the precursor layer.

  13. Large-area low-temperature ultrananocrystaline diamond (UNCD) films and integration with CMOS devices for monolithically integrated diamond MEMD/NEMS-CMOS systems.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sumant, A.V.; Auciello, O.; Yuan, H.-C

    2009-05-01

    Because of exceptional mechanical, chemical, and tribological properties, diamond has a great potential to be used as a material for the development of high-performance MEMS and NEMS such as resonators and switches compatible with harsh environments, which involve mechanical motion and intermittent contact. Integration of such MEMS/NEMS devices with complementary metal oxide semiconductor (CMOS) microelectronics will provide a unique platform for CMOS-driven commercial MEMS/NEMS. The main hurdle to achieve diamond-CMOS integration is the relatively high substrate temperatures (600-800 C) required for depositing conventional diamond thin films, which are well above the CMOS operating thermal budget (400 C). Additionally, a materialsmore » integration strategy has to be developed to enable diamond-CMOS integration. Ultrananocrystalline diamond (UNCD), a novel material developed in thin film form at Argonne, is currently the only microwave plasma chemical vapor deposition (MPCVD) grown diamond film that can be grown at 400 C, and still retain exceptional mechanical, chemical, and tribological properties comparable to that of single crystal diamond. We have developed a process based on MPCVD to synthesize UNCD films on up to 200 mm in diameter CMOS wafers, which will open new avenues for the fabrication of monolithically integrated CMOS-driven MEMS/NEMS based on UNCD. UNCD films were grown successfully on individual Si-based CMOS chips and on 200 mm CMOS wafers at 400 C in a MPCVD system, using Ar-rich/CH4 gas mixture. The CMOS devices on the wafers were characterized before and after UNCD deposition. All devices were performing to specifications with very small degradation after UNCD deposition and processing. A threshold voltage degradation in the range of 0.08-0.44V and transconductance degradation in the range of 1.5-9% were observed.« less

  14. Multi-Length Scale Tribology of Electrophoretically Deposited Nickel-Diamond Coatings

    NASA Astrophysics Data System (ADS)

    Awasthi, Shikha; Goel, Sneha; Pandey, Chandra Prabha; Balani, Kantesh

    2017-02-01

    Electrophoretically deposited (EPD) nickel and its composite coatings are widely used to enhance the life span of continuous ingot casting molds in the steel, aerospace and automotive industries. This article reports the effect of different concentrations of diamond particles (2.5-10 g/L) on the wear mechanism of EPD Ni. The distribution of diamond particles in the Ni matrix was observed using Voronoi tessellation. Variation in COF was observed by a fretting wear test to be 0.51 ± 0.07 for Ni, which decreases to 0.35 ± 0.03 for the Ni-diamond coatings. The wear volume of the coatings with 7.5 g/L concentration of diamond was observed to be a minimum (0.051 ± 0.02 × 10-3 mm3) compared with other composite coatings. Further, the micro-scratch testing of the coatings also exhibited a reduced COF (0.03-0.12) for 7.5 g/L diamond concentration compared with Ni (0.08-0.13). Higher wear resistance of the diamond-added coatings (optimum 7.5 g/L concentration) is due to the balance between the dispersion strengthening mechanism and the enhancement of the load-bearing capacity due to the incorporation of diamond particles. Thus, these composites can be used for applications in automotive and aerospace industries.

  15. Surface Design and Engineering Toward Wear-Resistant, Self-Lubricant Diamond Films and Coatings. Chapter 10

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes three studies on the surface design, surface engineering, and tribology of chemical-vapor-deposited (CVD) diamond films and coatings toward wear-resistant, self-lubricating diamond films and coatings. Friction mechanisms and solid lubrication mechanisms of CVD diamond are stated. Effects of an amorphous hydrogenated carbon on CVD diamond, an amorphous, nondiamond carbon surface layer formed on CVD diamond by carbon and nitrogen ion implantation, and a materials combination of cubic boron nitride and CVD diamond on the adhesion, friction, and wear behaviors of CVD diamond in ultrahigh vacuum are described. How surface modification and the selected materials couple improved the tribological functionality of coatings, giving low coefficient of friction and good wear resistance, is explained.

  16. Pulsed Electrodeposition of Ni with Uniform Co-Deposition of Micron Sized Diamond Particles on Copper Substrate

    NASA Astrophysics Data System (ADS)

    Kumar, Prashant; Mahato, Neelima

    Nanocrystalline nickel was deposited on annealed copper substrate of unit surface area (1 cm2) via pulsed electrodeposition technique using potentiostat (model 263A, Princeton Applied Research, USA) from Watts bath containing nickel sulfate, nickel chloride ,boric acid and sodium citrate. Diamond particles of three different dimensions, viz., 1, 3, and 6 micron were added separately (5 g/L) to the watts bath and co-deposited along with nanocrystalline nickel. The temperature was kept constant at 55 °C. The solution was ultrasonicated for 45-60 minutes prior to deposition to disperse the diamond particles uniformly in the bath. Depositions were carried out at different current densities, viz., 50, 100,150 and 200 mA/ cm2 for different durations, i.e.7, 14 and 21 minutes and best results are optimized for 200mA/cm2 so it is used for all process here .Scanning electron micrographs (SEM) show uniform deposition of microstructure of micron diamond on the surface of copper embedded in the nickel matrix. Elemental mapping confirmed uniform deposition of nickel and diamond with almost no cracks or pits. Mechanical properties of the sample such as, Vicker's hardness increased abruptly after the electrodeposition. Improved microstructural and mechanical properties were found in the case of electrodeposited surfaces containing followed by 3 and 6 micron diamond. The properties were also found better than those processed via stirring the solution during deposition.

  17. ZnS/diamond composite coatings for infrared transmission applications formed by the aerosol deposition method

    NASA Astrophysics Data System (ADS)

    Johnson, Scooter D.; Kub, Fritz J.; Eddy, Charles R.

    2013-06-01

    The deposition of nano-crystalline ZnS/diamond composite protective coatings on silicon, sapphire, and ZnS substrates, as a preliminary step to coating infrared transparent ZnS substrates from powder mixtures by the aerosol deposition method is presented. Advantages of the aerosol deposition method include the ability to form dense, nanocrystalline lms up to hundreds of microns thick at room temperature and at a high deposition rate on a variety of substrates. Deposition is achieved by creating a pressure gradient that accelerates micrometer- scale particles in an aerosol to high velocity. Upon impact with the target substrate the particles fracture and embed. Continued deposition forms the thick compacted lm. Deposition from an aerosolized mixture of ZnS and diamond powders onto all targets results in linear trend from apparent sputter erosion of the substrate at 100% diamond to formation of a lm with increasing fractions of ZnS. The crossover from abrasion to lm formation on sapphire occurs above about 50% ZnS and a mixture of 90% ZnS and 10% diamond forms a well-adhered lm of about 0.7 μm thickness at a rate of 0.14 μm/min. Resulting lms are characterized by scanning electron microscopy, pro lometry, infrared transmission spectroscopy, and x-ray photoemission spectroscopy. These initial lms mark progress toward the future goal of coating ZnS substrates for abrasion resistance.

  18. Ion-Implanted Diamond Films and Their Tribological Properties

    NASA Technical Reports Server (NTRS)

    Wu, Richard L. C.; Miyoshi, Kazuhisa; Korenyi-Both, Andras L.; Garscadden, Alan; Barnes, Paul N.

    1993-01-01

    This paper reports the physical characterization and tribological evaluation of ion-implanted diamond films. Diamond films were produced by microwave plasma, chemical vapor deposition technique. Diamond films with various grain sizes (0.3 and 3 microns) and roughness (9.1 and 92.1 nm r.m.s. respectively) were implanted with C(+) (m/e = 12) at an ion energy of 160 eV and a fluence of 6.72 x 10(exp 17) ions/sq cm. Unidirectional sliding friction experiments were conducted in ultrahigh vacuum (6.6 x 10(exp -7)Pa), dry nitrogen and humid air (40% RH) environments. The effects of C(+) ion bombardment on fine and coarse-grained diamond films are as follows: the surface morphology of the diamond films did not change; the surface roughness increased (16.3 and 135.3 nm r.m.s.); the diamond structures were damaged and formed a thin layer of amorphous non-diamond carbon; the friction coefficients dramatically decreased in the ultrahigh vacuum (0.1 and 0.4); the friction coefficients decreased slightly in the dry nitrogen and humid air environments.

  19. CVD diamond substrate for microelectronics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Burden, J.; Gat, R.

    1996-11-01

    Chemical Vapor Deposition (CVD) of diamond films has evolved dramatically in recent years, and commercial opportunities for diamond substrates in thermal management applications are promising. The objective of this technology transfer initiative (TTI) is for Applied Science and Technology, Inc. (ASTEX) and AlliedSignal Federal Manufacturing and Technologies (FM&T) to jointly develop and document the manufacturing processes and procedures required for the fabrication of multichip module circuits using CVD diamond substrates, with the major emphasis of the project concentrating on lapping/polishing prior to metallization. ASTEX would provide diamond films for the study, and FM&T would use its experience in lapping, polishing,more » and substrate metallization to perform secondary processing on the parts. The primary goal of the project was to establish manufacturing processes that lower the manufacturing cost sufficiently to enable broad commercialization of the technology.« less

  20. Method to grow pure nanocrystalline diamond films at low temperatures and high deposition rates

    DOEpatents

    Carlisle, John A [Plainfield, IL; Gruen, Dieter M [Downers Grove, IL; Auciello, Orlando [Bolingbrook, IL; Xiao, Xingcheng [Woodridge, IL

    2009-07-07

    A method of depositing nanocrystalline diamond film on a substrate at a rate of not less than about 0.2 microns/hour at a substrate temperature less than about 500.degree. C. The method includes seeding the substrate surface with nanocrystalline diamond powder to an areal density of not less than about 10.sup.10sites/cm.sup.2, and contacting the seeded substrate surface with a gas of about 99% by volume of an inert gas other than helium and about 1% by volume of methane or hydrogen and one or more of acetylene, fullerene and anthracene in the presence of a microwave induced plasma while maintaining the substrate temperature less than about 500.degree. C. to deposit nanocrystalline diamond on the seeded substrate surface at a rate not less than about 0.2 microns/hour. Coatings of nanocrystalline diamond with average particle diameters of less than about 20 nanometers can be deposited with thermal budgets of 500.degree. C.-4 hours or less onto a variety of substrates such as MEMS devices.

  1. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  2. Thick Nano-Crystalline Diamond films for fusion applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawedeit, Christoph

    This Diplomarbeit deals with the characterization of 9 differently grown diamond samples. Several techniques were used to determine the quality of these specimens for inertial confinement fusion targets. The quality of chemical vapor deposition diamond is usually considered in terms of the proportion of sp3-bonded carbon to sp2-bonded carbon in the sample. For fusion targets smoothness, Hydrogen content and density of the diamonds are further important characteristics. These characteristics are analyzed in this thesis. The research for thesis was done at Lawrence Livermore National Laboratory in collaboration with the Fraunhofer Institut für angewandte Festkörperphysik Freiburg, Germany. Additionally the Lehrstuhl fuermore » Nukleartechnik at Technical University of Germany supported the work.« less

  3. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  4. 2-Dimensional micro-network of boron-doped diamond film: fabrication and electrochemical sensing application.

    PubMed

    Luo, Daibing; Wu, Liangzhuan; Zhi, Jinfang

    2010-09-21

    By means of delicate and conventional methods based on photolithography and hot filament chemical vapor deposition (HFCVD) technology, a novel boron-doped diamond micro-network (BDDMN) film was fabricated, and this micro-structure showed excellent electrochemical sensing properties.

  5. A new tubular hot-wire CVD for diamond coating

    NASA Astrophysics Data System (ADS)

    Motahari, Hamid; Bellah, Samad Moemen; Malekfar, Rasoul

    2017-06-01

    A new tubular hot-wire chemical vapor deposition (HWCVD) system using a tubular quartz vacuum chamber has been fabricated. The filaments in this system can heat the substrate and act as a gas activator and thermally activator for gas species at the same time. The nano- and microcrystalline diamond coatings on the surface of steel AISI 316 substrates have been grown. To assess the results, SEM and FESEM images and Raman spectroscopy investigations have been applied. The results reveal that micro- and nanocrystalline diamond structures have been formed in the coatings, but the disordered diamond and some non-diamond phases, such as graphitic carbons, are also present in the coating layers. The analytical measurements show the growth of diamond films with well-faceted crystals in (111) direction. However, intrinsic stress, secondary nucleation, and poor adhesion are the main issues of future research for this new designed HWCVD.

  6. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  7. Microcrystalline diamond cylindrical resonators with quality-factor up to 0.5 million

    NASA Astrophysics Data System (ADS)

    Saito, Daisuke; Yang, Chen; Heidari, Amir; Najar, Hadi; Lin, Liwei; Horsley, David A.

    2016-02-01

    We demonstrate high quality-factor 1.5 mm diameter batch-fabricated microcrystalline diamond cylindrical resonators (CR) with quality-factors limited by thermoelastic damping (TED) and surface loss. Resonators were fabricated 2.6 and 5.3 μm thick in-situ boron-doped microcrystalline diamond films deposited using hot filament chemical vapor deposition. The quality-factor (Q) of as-fabricated CR's was found to increase with the resonator diameter and diamond thickness. Annealing the CRs at 700 °C in a nitrogen atmosphere led to a three-fold increase in Q, a result we attribute to thinning of the diamond layer via reaction with residual O2 in the annealing furnace. Post-anneal Q exceeding 0.5 million (528 000) was measured at the 19 kHz elliptical wineglass modes, producing a ring-down time of 8.9 s. A model for Q versus diamond thickness and resonance frequency is developed including the effects of TED and surface loss. Measured quality factors are shown to agree with the predictions of this model.

  8. Synthesis and tribological properties of diamond-like carbon films by electrochemical anode deposition

    NASA Astrophysics Data System (ADS)

    Li, Yang; Zhang, GuiFeng; Hou, XiaoDuo; Deng, DeWei

    2012-06-01

    Diamond-like carbon films (DLC) are deposited on Ti substrate by electrochemical anodic deposition at room temperature in pure methanol solution using a pulsed DC voltage at a range from 200 V to 2000 V. Raman spectroscopy analysis of the films reveals two broaden characteristic absorption peaks centred at ˜1350 cm-1 and 1580 cm-1, relating to D- and G-band of typical DLC films, respectively. A broad peak centred at 1325-1330 cm-1 is observed when an applied potential is 1200 V, which can confirm that the deposited films contained diamond structure phase. Tribological properties of the coated Ti substrates have been measured by means of a ball-on-plate wear test machine. A related growth mechanism of DLC films by the anodic deposition mode has also been discussed.

  9. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    NASA Astrophysics Data System (ADS)

    Miksovsky, J.; Voss, A.; Kozarova, R.; Kocourek, T.; Pisarik, P.; Ceccone, G.; Kulisch, W.; Jelinek, M.; Apostolova, M. D.; Reithmaier, J. P.; Popov, C.

    2014-04-01

    Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O2 or NH3/N2 plasmas and UV/O3 treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  10. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  11. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  12. A sub-national scale geospatial analysis of diamond deposit lootability: the case of the Central African Republic

    USGS Publications Warehouse

    Malpeli, Katherine C.; Chirico, Peter G.

    2014-01-01

    The Central African Republic (CAR), a country with rich diamond deposits and a tumultuous political history, experienced a government takeover by the Seleka rebel coalition in 2013. It is within this context that we developed and implemented a geospatial approach for assessing the lootability of high value-to-weight resource deposits, using the case of diamonds in CAR as an example. According to current definitions of lootability, or the vulnerability of deposits to exploitation, CAR's two major diamond deposits are similarly lootable. However, using this geospatial approach, we demonstrate that the deposits experience differing political geographic, spatial location, and cultural geographic contexts, rendering the eastern deposits more lootable than the western deposits. The patterns identified through this detailed analysis highlight the geographic complexities surrounding the issue of conflict resources and lootability, and speak to the importance of examining these topics at the sub-national scale, rather than relying on national-scale statistics.

  13. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  14. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  15. Influence of Cobalt on the Adhesion Strength of Polycrystalline Diamond Coatings on WC-Co Hard Alloys

    NASA Astrophysics Data System (ADS)

    Linnik, S. A.; Gaidaichuk, A. V.; Okhotnikov, V. V.

    2018-02-01

    The influence of cobalt on the phase composition and adhesion strength of polycrystalline diamond coatings has been studied using scanning electron microscopy, Raman spectroscopy, and X-ray microanalysis. The coatings have been deposited on WC-Co hard alloy substrates in glow discharge plasma. It has been found that the catalytic amorphization of carbon only takes place during the direct synthesis of the diamond coating, when the cobalt vapor pressure over the substrate is high and the cobalt-related degradation of the synthesized diamond is absent.

  16. Zero bias thermally stimulated currents in synthetic diamond

    NASA Astrophysics Data System (ADS)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  17. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  18. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  19. All-Diamond Microelectrodes as Solid State Probes for Localized Electrochemical Sensing.

    PubMed

    Silva, Eduardo L; Gouvêa, Cristol P; Quevedo, Marcela C; Neto, Miguel A; Archanjo, Braulio S; Fernandes, António J S; Achete, Carlos A; Silva, Rui F; Zheludkevich, Mikhail L; Oliveira, Filipe J

    2015-07-07

    The fabrication of an all-diamond microprobe is demonstrated for the first time. This ME (microelectrode) assembly consists of an inner boron doped diamond (BDD) layer and an outer undoped diamond layer. Both layers were grown on a sharp tungsten tip by chemical vapor deposition (CVD) in a stepwise manner within a single deposition run. BDD is a material with proven potential as an electrochemical sensor. Undoped CVD diamond is an insulating material with superior chemical stability in comparison to conventional insulators. Focused ion beam (FIB) cutting of the apex of the ME was used to expose an electroactive BDD disk. By cyclic voltammetry, the redox reaction of ferrocenemethanol was shown to take place at the BDD microdisk surface. In order to ensure that the outer layer was nonelectrically conductive, a diffusion barrier for boron atoms was established seeking the formation of boron-hydrogen complexes at the interface between the doped and the undoped diamond layers. The applicability of the microelectrodes in localized corrosion was demonstrated by scanning amperometric measurements of oxygen distribution above an Al-Cu-CFRP (Carbon Fiber Reinforced Polymer) galvanic corrosion cell.

  20. Adaption of a microwave plasma source for low temperature diamond deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulczynski, M.; Reinhard, D.K.; Asmussen, J.

    1996-12-31

    This report describes the adaption of a microwave plasma reactor for low temperature diamond deposition. The reactor is of a resonant cavity design. Three approaches have been taken to establish plasma conditions for diamond deposition on substrates which are in the range of 450 C to 550 C. In the first, the substrate is heated only by the plasma and the source is operated at pressures on the order of 10 torr, such that the volumetric power density is sufficiently low to achieve these temperatures. In the second, the plasma pressure and microwave input power were reduced and a substratemore » heater was used to maintain the desired deposition temperatures. In the third approach, the plasma pressure and microwave power were increased and a substrate cooler was used to keep the substrate temperature in the desired range. Reactor performance and deposition results will be described for the three configurations. For the plasma heated substrate assembly, substrate dimensions were up to 10 cm diameter. For the heated and cooled substrate assemblies, substrate dimensions were up to 7.5 cm diameter. Deposition results on a variety of substrates will be reported including low-temperature substrates such as borosilicate glass.« less

  1. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  2. The influence of boron doping level on quality and stability of diamond film on Ti substrate

    NASA Astrophysics Data System (ADS)

    Wei, J. J.; Li, Ch. M.; Gao, X. H.; Hei, L. F.; Lvun, F. X.

    2012-07-01

    In this study, we investigate the influence of boron doping level on film quality and stability of boron doped diamond (BDD) film deposited on titanium substrate (Ti/BDD) using microwave plasma chemical vapor deposition system. The results demonstrate that high boron concentration will improve the film conductivity, whereas the diamond film quality and adhesion are deteriorated obviously. The increase of total internal stress in the film and the variation of components within the interlayer will weaken the coating adhesion. According to the analysis of electrode inactivation mechanism, high boron doping level will be harmful to the electrode stability in the view of diamond quality and adhesion deterioration. In this study, 5000 ppm B/C ratio in the reaction gas is optimized for Ti/BDD electrode preparation.

  3. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  4. Single Crystal Diamond Needle as Point Electron Source.

    PubMed

    Kleshch, Victor I; Purcell, Stephen T; Obraztsov, Alexander N

    2016-10-12

    Diamond has been considered to be one of the most attractive materials for cold-cathode applications during past two decades. However, its real application is hampered by the necessity to provide appropriate amount and transport of electrons to emitter surface which is usually achieved by using nanometer size or highly defective crystallites having much lower physical characteristics than the ideal diamond. Here, for the first time the use of single crystal diamond emitter with high aspect ratio as a point electron source is reported. Single crystal diamond needles were obtained by selective oxidation of polycrystalline diamond films produced by plasma enhanced chemical vapor deposition. Field emission currents and total electron energy distributions were measured for individual diamond needles as functions of extraction voltage and temperature. The needles demonstrate current saturation phenomenon and sensitivity of emission to temperature. The analysis of the voltage drops measured via electron energy analyzer shows that the conduction is provided by the surface of the diamond needles and is governed by Poole-Frenkel transport mechanism with characteristic trap energy of 0.2-0.3 eV. The temperature-sensitive FE characteristics of the diamond needles are of great interest for production of the point electron beam sources and sensors for vacuum electronics.

  5. Single Crystal Diamond Needle as Point Electron Source

    PubMed Central

    Kleshch, Victor I.; Purcell, Stephen T.; Obraztsov, Alexander N.

    2016-01-01

    Diamond has been considered to be one of the most attractive materials for cold-cathode applications during past two decades. However, its real application is hampered by the necessity to provide appropriate amount and transport of electrons to emitter surface which is usually achieved by using nanometer size or highly defective crystallites having much lower physical characteristics than the ideal diamond. Here, for the first time the use of single crystal diamond emitter with high aspect ratio as a point electron source is reported. Single crystal diamond needles were obtained by selective oxidation of polycrystalline diamond films produced by plasma enhanced chemical vapor deposition. Field emission currents and total electron energy distributions were measured for individual diamond needles as functions of extraction voltage and temperature. The needles demonstrate current saturation phenomenon and sensitivity of emission to temperature. The analysis of the voltage drops measured via electron energy analyzer shows that the conduction is provided by the surface of the diamond needles and is governed by Poole-Frenkel transport mechanism with characteristic trap energy of 0.2–0.3 eV. The temperature-sensitive FE characteristics of the diamond needles are of great interest for production of the point electron beam sources and sensors for vacuum electronics. PMID:27731379

  6. Single Crystal Diamond Needle as Point Electron Source

    NASA Astrophysics Data System (ADS)

    Kleshch, Victor I.; Purcell, Stephen T.; Obraztsov, Alexander N.

    2016-10-01

    Diamond has been considered to be one of the most attractive materials for cold-cathode applications during past two decades. However, its real application is hampered by the necessity to provide appropriate amount and transport of electrons to emitter surface which is usually achieved by using nanometer size or highly defective crystallites having much lower physical characteristics than the ideal diamond. Here, for the first time the use of single crystal diamond emitter with high aspect ratio as a point electron source is reported. Single crystal diamond needles were obtained by selective oxidation of polycrystalline diamond films produced by plasma enhanced chemical vapor deposition. Field emission currents and total electron energy distributions were measured for individual diamond needles as functions of extraction voltage and temperature. The needles demonstrate current saturation phenomenon and sensitivity of emission to temperature. The analysis of the voltage drops measured via electron energy analyzer shows that the conduction is provided by the surface of the diamond needles and is governed by Poole-Frenkel transport mechanism with characteristic trap energy of 0.2-0.3 eV. The temperature-sensitive FE characteristics of the diamond needles are of great interest for production of the point electron beam sources and sensors for vacuum electronics.

  7. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  8. Conversion of fullerenes to diamond

    DOEpatents

    Gruen, Dieter M.

    1994-01-01

    A method of forming synthetic hydrogen defect free diamond or diamond like films on a substrate. The method involves providing vapor containing fullerene molecules with or without an inert gas, providing a device to impart energy to the fullerene molecules, fragmenting at least in part some of the fullerene molecules in the vapor or energizing the molecules to incipient fragmentation, ionizing the fullerene molecules, impinging ionized fullerene molecules on the substrate to assist in causing fullerene fragmentation to obtain a thickness of diamond on the substrate.

  9. Robust superhydrophobic diamond microspheres for no-loss transport of corrosive liquid microdroplets.

    PubMed

    Wang, Qiang; Bai, Jie; Dai, Bing; Yang, Zhenhuai; Guo, Shuai; Yang, Lei; He, Yurong; Han, Jiecai; Zhu, Jiaqi

    2017-02-16

    Superhydrophobic surfaces usually lose their characteristics when exposed to a corrosive environment. To solve this issue, we synthesized superhydrophobic diamond microspheres by microwave-plasma-assisted chemical vapor deposition. Commercial epoxy glue was used to bond the microspheres to various substrates. The thus-synthesized composite films exhibited robust superhydrophobicity and an ultrahigh adhesive force.

  10. Spray-loading: A cryogenic deposition method for diamond anvil cell

    NASA Astrophysics Data System (ADS)

    Scelta, Demetrio; Ceppatelli, Matteo; Ballerini, Riccardo; Hajeb, Ahmed; Peruzzini, Maurizio; Bini, Roberto

    2018-05-01

    An efficient loading technique has been developed for flammable, toxic, or explosive gases which can be condensed at liquid nitrogen temperature and ambient pressure in membrane diamond anvil cells (DACs). This cryogenic technique consists in a deposition of small quantities of the desired gas directly into the sample chamber. The deposition is performed using a capillary that reaches the space between the diamond anvils. The DAC is kept under inert gas overpressure during the whole process, in order to avoid contamination from atmospheric O2, CO2, and H2O. This technique provides significant advantages over standard cryo-loading and gas-loading when the condensation of dangerous samples at liquid nitrogen temperature raises safety concerns because it allows dealing with minimum quantities of condensed gases. The whole procedure is particularly fast and efficient. The "spray-loading" has been successfully used in our laboratory to load several samples including acetylene, ammonia, ethylene, and carbon dioxide/water or red phosphorus/NH3 mixtures.

  11. Microcrystalline diamond cylindrical resonators with quality-factor up to 0.5 million

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saito, Daisuke; Yang, Chen; Lin, Liwei

    2016-02-01

    We demonstrate high quality-factor 1.5 mm diameter batch-fabricated microcrystalline diamond cylindrical resonators (CR) with quality-factors limited by thermoelastic damping (TED) and surface loss. Resonators were fabricated 2.6 and 5.3 μm thick in-situ boron-doped microcrystalline diamond films deposited using hot filament chemical vapor deposition. The quality-factor (Q) of as-fabricated CR's was found to increase with the resonator diameter and diamond thickness. Annealing the CRs at 700 °C in a nitrogen atmosphere led to a three-fold increase in Q, a result we attribute to thinning of the diamond layer via reaction with residual O{sub 2} in the annealing furnace. Post-anneal Q exceeding 0.5 million (528 000)more » was measured at the 19 kHz elliptical wineglass modes, producing a ring-down time of 8.9 s. A model for Q versus diamond thickness and resonance frequency is developed including the effects of TED and surface loss. Measured quality factors are shown to agree with the predictions of this model.« less

  12. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  13. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  14. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    NASA Astrophysics Data System (ADS)

    Remes, Z.; Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A.; Girard, H. A.; Arnault, J.-C.; Bergonzo, P.

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000-1500 cm-1 spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  15. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    NASA Astrophysics Data System (ADS)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  16. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    PubMed

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  17. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes

    PubMed Central

    Halpern, Jeffrey M.; Martin, Heidi B.

    2014-01-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes. PMID:25404788

  18. Energy response of diamond sensor to beta radiation.

    PubMed

    Tchouaso, Modeste Tchakoua; Kasiwattanawut, Haruetai; Prelas, Mark A

    2018-04-26

    This paper demonstrates the ability of diamond sensors to respond to beta radiation. A Chemical Vapor Deposition (CVD) single crystal diamond was used in this work. The diamond crystal has a dimension of 4.5×4.5 by 0.5 mm thick. Metal contacts were fabricated on both sides of the diamond using titanium and palladium metals with thicknesses of 50 nm and 150 nm, respectively. The energy response of the diamond sensor was experimentally measured using three beta isotopes that cover the entire range of beta energy: 147 Pm, a weak beta radiation with a maximum energy of 0.225 MeV, 2 ° 4 Tl, a medium energy beta radiation with a maximum energy of 0.763 MeV, and 9 °Sr/ 9 °Y, with both a medium energy beta radiation with a maximum energy of 0.546 MeV, and a high energy beta radiation with a maximum energy of 2.274 MeV. The beta measurements indicate that diamond sensors are sensitive to beta radiation and are suitable for beta spectroscopy. This is important in estimating dose since diamond is tissue equivalent, and the absorbed dose is easily determined from the energy and the mass of the active volume. The high energy betas from 2 ° 4 Tl and 90 Sr/ 90 Y penetrates the sensor without depositing sufficient energy in the active area because their range is larger than the thickness of sensor. The sensitivity of the detector is limited because of its small volume and can be improved by combining smaller area sensors since growing large size diamond is currently a challenge. Copyright © 2018 Elsevier Ltd. All rights reserved.

  19. Modelling of low-temperature/large-area distributed antenna array microwave-plasma reactor used for nanocrystalline diamond deposition

    NASA Astrophysics Data System (ADS)

    Bénédic, Fabien; Baudrillart, Benoit; Achard, Jocelyn

    2018-02-01

    In this paper we investigate a distributed antenna array Plasma Enhanced Chemical Vapor Deposition system, composed of 16 microwave plasma sources arranged in a 2D matrix, which enables the growth of 4-in. diamond films at low pressure and low substrate temperature by using H2/CH4/CO2 gas chemistry. A self-consistent two-dimensional plasma model developed for hydrogen discharges is used to study the discharge behavior. Especially, the gas temperature is estimated close to 350 K at a position corresponding to the substrate location during the growth, which is suitable for low temperature deposition. Multi-source discharge modeling evidences that the uniformity of the plasma sheet formed by the individual plasmas ignited around each elementary microwave source strongly depends on the distance to the antennas. The radial profile of the film thickness homogeneity may be thus linked to the local variations of species density. Contribution to the topical issue "Plasma Sources and Plasma Processes (PSPP)", edited by Luis Lemos Alves, Thierry Belmonte and Tibeinea Minea.

  20. Isotopically Enriched C-13 Diamond Anvil as a Stress Sensor in High Pressure Experiments

    NASA Astrophysics Data System (ADS)

    Vohra, Yogesh; Qiu, Wei; Kondratyev, Andreiy; Velisavljevic, Nenad; Baker, Paul

    2004-03-01

    The conventional high pressure diamond anvils were modified by growing an isotopically pure C-13 diamond layer by microwave plasma chemical vapor deposition using methane/hydrogen/oxygen chemistry. The isotopically pure C-13 nature of the culet of the diamond anvil was confirmed by the Raman spectroscopy measurements. This isotopically engineered diamond anvil was used against a natural abundance diamond anvil for high pressure experiments in a diamond anvil cell. Spatial resolved Raman spectroscopy was used to measure the stress induced shift in the C-13 layer as well as the undelying C-12 layer to ultra high pressures. The observed shift and splitiing of the diamond first order Raman spectrum was correlated with the stress distribution in the diamond anvil cell. The experimental results will be compared with the finite element modeling results using NIKE-2D software in order to create a mathematical relationship between sets of the following parameters: vertical (z axis) distance; horizontal (r axis) distance; max shear stress, and pressure. The isotopically enriched diamond anvils offer unique opportunities to measure stress distribution in the diamond anvil cell devices.

  1. Dual-ion-beam deposition of carbon films with diamond-like properties

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Swec, D. M.; Angus, J. C.

    1985-01-01

    A single and dual ion beam system was used to generate amorphous carbon films with diamond like properties. A methane/argon mixture at a molar ratio of 0.28 was ionized in the low pressure discharge chamber of a 30-cm-diameter ion source. A second ion source, 8 cm in diameter was used to direct a beam of 600 eV Argon ions on the substrates (fused silica or silicon) while the deposition from the 30-cm ion source was taking place. Nuclear reaction and combustion analysis indicate H/C ratios for the films to be 1.00. This high value of H/C, it is felt, allowed the films to have good transmittance. The films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Although the measured density of the films was approximately 1.8 gm/cu cm, a value lower than diamond, the films exhibited other properties that were relatively close to diamond. These films were compared with diamond like films generated by sputtering a graphite target.

  2. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  3. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  4. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  5. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  6. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    NASA Astrophysics Data System (ADS)

    Catledge, Shane Aaron

    The primary focus of this dissertation is the investigation of the processing-structure-property relationships of diamond films deposited on Ti-6Al-4V alloy by microwave plasma chemical vapor deposition (MPCVD). By depositing a well-adhered protective layer of diamond on an alloy component, its hardness, wear-resistance, performance, and overall lifetime could be significantly increased. However, due to the large thermal expansion mismatch between the diamond film and metal (and the corresponding residual stress induced in the film), film adhesion is typically unsatisfactory and often results in immediate delamination after processing. Therefore, it is a major goal of this research to improve adhesion of the diamond film to the alloy substrate. Through the use of innovative processing techniques involving MPCVD deposition conditions and methane (CH4), nitrogen (N2), and hydrogen (H2) chemistry, we have achieved diamond films which consistently adhere to the alloy substrate. In addition, we have discovered that, with the appropriate choice of deposition conditions, the film structure can be tailored to range from highly crystalline, well-faceted diamond to nanocrystalline diamond with extremely low surface roughness (as low as 27 nm). The relationship between processing and structure was studied using in-situ optical emission spectroscopy, micro-Raman spectroscopy, surface profilometry, glancing-angle x-ray diffraction, and scanning electron microscopy. We observe that when nitrogen is added to the H2/CH4 feedgas mixture, a carbon-nitrogen (CN) emission band arises and its relative abundance to the carbon dimer (C2) gas species is shown to have a pronounced influence on the diamond film structure. By appropriate choice of deposition chemistry and conditions, we can tailor the diamond film structure and its corresponding properties. The mechanical properties of interest in this thesis are those relating to the integrity of the film/substrate interface, as well as the

  7. Study of diamond film growth and properties

    NASA Technical Reports Server (NTRS)

    Albin, Sacharial

    1990-01-01

    The objective was to study diamond film growth and its properties in order to enhance the laser damage threshold of substrate materials. Calculations were performed to evaluate laser induced thermal stress parameter, R(sub T) of diamond. It is found that diamond has several orders of magnitude higher in value for R(sub T) compared to other materials. Thus, the laser induced damage threshold (LIDT) of diamond is much higher. Diamond films were grown using a microwave plasma enhanced chemical vapor deposition (MPECVD) system at various conditions of gas composition, pressure, temperature, and substrate materials. A 0.5 percent CH4 in H2 at 20 torr were ideal conditions for growing of high quality diamond films on substrates maintained at 900 C. The diamond films were polycrystalline which were characterized by scanning electron microscopy (SEM) and Raman scattering spectroscopy. The top surface of the growing film is always rough due to the facets of polycrystalline film while the back surface of the film replicates the substrate surface. An analytical model based on two dimensional periodic heat flow was developed to calculate the effective in-plane (face parallel) diffusivity of a two layer system. The effective diffusivity of diamond/silicon samples was measured using a laser pulse technique. The thermal conductivity of the films was measured to be 13.5 W/cm K, which is better than that of a type Ia natural diamond. Laser induced damage experiments were performed on bare Si substrates, diamond film coated Si, and diamond film windows. Significant improvements in the LIDT were obtained for diamond film coated Si compared to the bare Si.

  8. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    PubMed

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes <100 nm) coated titanium dramatically increased surface hardness, and the introduction of O2 and NH3 during the MPCVD process promoted osteoblast adhesion on diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  9. Industrial diamond

    USGS Publications Warehouse

    Olson, D.W.

    2011-01-01

    Estimated world production of natural and synthetic industrial diamond was about 4.44 billion carats in 2010. Natural industrial diamond deposits have been found in more than 35 countries, and synthetic industrial diamond is produced in at least 15 countries.

  10. Electrostatic Self-Assembly of Diamond Nanoparticles onto Al- and N-Polar Sputtered Aluminum Nitride Surfaces.

    PubMed

    Yoshikawa, Taro; Reusch, Markus; Zuerbig, Verena; Cimalla, Volker; Lee, Kee-Han; Kurzyp, Magdalena; Arnault, Jean-Charles; Nebel, Christoph E; Ambacher, Oliver; Lebedev, Vadim

    2016-11-17

    Electrostatic self-assembly of diamond nanoparticles (DNPs) onto substrate surfaces (so-called nanodiamond seeding) is a notable technique, enabling chemical vapor deposition (CVD) of nanocrystalline diamond thin films on non-diamond substrates. In this study, we examine this technique onto differently polarized (either Al- or N-polar) c -axis oriented sputtered aluminum nitride (AlN) film surfaces. This investigation shows that Al-polar films, as compared to N-polar ones, obtain DNPs with higher density and more homogeneously on their surfaces. The origin of these differences in density and homogeneity is discussed based on the hydrolysis behavior of AlN surfaces in aqueous suspensions.

  11. Electrostatic Self-Assembly of Diamond Nanoparticles onto Al- and N-Polar Sputtered Aluminum Nitride Surfaces

    PubMed Central

    Yoshikawa, Taro; Reusch, Markus; Zuerbig, Verena; Cimalla, Volker; Lee, Kee-Han; Kurzyp, Magdalena; Arnault, Jean-Charles; Nebel, Christoph E.; Ambacher, Oliver; Lebedev, Vadim

    2016-01-01

    Electrostatic self-assembly of diamond nanoparticles (DNPs) onto substrate surfaces (so-called nanodiamond seeding) is a notable technique, enabling chemical vapor deposition (CVD) of nanocrystalline diamond thin films on non-diamond substrates. In this study, we examine this technique onto differently polarized (either Al- or N-polar) c-axis oriented sputtered aluminum nitride (AlN) film surfaces. This investigation shows that Al-polar films, as compared to N-polar ones, obtain DNPs with higher density and more homogeneously on their surfaces. The origin of these differences in density and homogeneity is discussed based on the hydrolysis behavior of AlN surfaces in aqueous suspensions. PMID:28335345

  12. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru; Voloshin, A. E.; Ralchenko, V. G.

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  13. Field emission from bias-grown diamond thin films in a microwave plasma

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Ding, Ming Q.; Auciello, Orlando

    2002-01-01

    A method of producing diamond or diamond like films in which a negative bias is established on a substrate with an electrically conductive surface in a microwave plasma chemical vapor deposition system. The atmosphere that is subjected to microwave energy includes a source of carbon, nitrogen and hydrogen. The negative bias is maintained on the substrate through both the nucleation and growth phase of the film until the film is continuous. Biases between -100V and -200 are preferred. Carbon sources may be one or more of CH.sub.4, C.sub.2 H.sub.2 other hydrocarbons and fullerenes.

  14. Effective thermal and mechanical properties of polycrystalline diamond films

    NASA Astrophysics Data System (ADS)

    Cheng, Hao-Yu; Yang, Chi-Yuan; Yang, Li-Chueh; Peng, Kun-Cheng; Chia, Chih-Ta; Liu, Shiu-Jen; Lin, I.-Nan; Lin, Kung-Hsuan

    2018-04-01

    Polycrystalline diamond films were demonstrated as good candidates for electron field emitters, and their mechanical/thermal properties should thus be considered for real devices. We utilized ultrafast optical techniques to investigate the phonon dynamics of several polycrystalline diamond films, prepared by microwave plasma enhanced chemical vapor deposition. The mechanical properties (longitudinal acoustic velocity) and thermal conductivities of diamond films were evaluated from the coherent and incoherent phonon dynamics, respectively. Ultrananocrystalline diamond films were grown using a CH4 (2%)/Ar plasma, while microcrystalline diamond films were grown using a CH4 (2%)/H2 plasma. The ultrananocrystalline diamond film (with a grain size of several nanometers) possesses low acoustic velocity (14.5 nm/ps) and low thermal conductivity (3.17 W/m K) compared with other kinds of diamond films. The acoustic velocity of diamond films increased abruptly to nearly the same as that of natural diamond and remained there when the rod-shaped diamond grains were induced due to the incorporation of H2 in the growth plasma (CH4/Ar). The thermal conductivities of the materials increased monotonously with increasing incorporation of H2 in the growth plasma (CH4/Ar). The thermal conductivity of 25.6 W/m K was attained for nanocrystalline diamond films containing spherical diamond grains (with a size of several tens of nanometers). Compared with single crystalline diamond, the low thermal conductivity of polycrystalline films results from phonon scattering at the interfaces of grains and amorphous carbon in the boundary phases.

  15. Stress engineering of high-quality single crystal diamond by heteroepitaxial lateral overgrowth

    DOE PAGES

    Tang, Y. -H.; Golding, B.

    2016-02-02

    Here, we describe a method for lateral overgrowth of low-stress single crystal diamond by chemical vapor deposition (CVD). The process is initiated by deposition of a thin (550 nm) (001) diamond layer on Ir-buffered a-plane sapphire. The diamond is partially masked by periodic thermally evaporated Au stripes using photolithography. Lateral overgrowth of the Au occurs with extremely effective filtering of threading dislocations. Thermal stress resulting from mismatch of the low thermal expansion diamond and the sapphire substrate is largely accommodated by the ductile Au layer. The stress state of the diamond is investigated by Raman spectroscopy for two thicknesses: atmore » 10 μm where the film has just overgrown the Au mask and at 180 μm where the film thickness greatly exceeds the scale of the masking. For the 10-μm film, the Raman linewidth shows spatial oscillations with the period of the Au stripes with a factor of 2 to 3 reduction relative to the unmasked region. In a 180-μm thick diamond film, the overall surface stress was extremely low, 0.00 ± 0.16 GPa, obtained from the Raman shift averaged over the 7.5mm diameter of the crystal at its surface. We conclude that the metal mask protects the overgrown diamond layer from substrate-induced thermal stress and cracking. Lastly, it is also responsible for low internal stress by reducing dislocation density by several orders of magnitude.« less

  16. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  17. Fabrication of a nanometer thick nitrogen delta doped layer at the sub-surface region of (100) diamond

    NASA Astrophysics Data System (ADS)

    Chandran, Maneesh; Michaelson, Shaul; Saguy, Cecile; Hoffman, Alon

    2016-11-01

    In this letter, we report on the proof of a concept of an innovative delta doping technique to fabricate an ensemble of nitrogen vacancy centers at shallow depths in (100) diamond. A nitrogen delta doped layer with a concentration of ˜1.8 × 1020 cm-3 and a thickness of a few nanometers was produced using this method. Nitrogen delta doping was realized by producing a stable nitrogen terminated (N-terminated) diamond surface using the RF nitridation process and subsequently depositing a thin layer of diamond on the N-terminated diamond surface. The concentration of nitrogen on the N-terminated diamond surface and its stability upon exposure to chemical vapor deposition conditions are determined by x-ray photoelectron spectroscopy analysis. The SIMS profile exhibits a positive concentration gradient of 1.9 nm/decade and a negative gradient of 4.2 nm/decade. The proposed method offers a finer control on the thickness of the delta doped layer than the currently used ion implantation and delta doping techniques.

  18. Designer Diamonds: Applications in Iron-based Superconductors and Lanthanides

    NASA Astrophysics Data System (ADS)

    Vohra, Yogesh

    2013-06-01

    This talk will focus on the recent progress in the fabrication of designer diamond anvils as well as scientific applications of these diamonds in static high pressure research. The two critical parameters that have emerged in the microwave plasma chemical vapor deposition of designer diamond anvils are (1) the precise [100] alignment of the starting diamond substrate and (2) balancing the competing roles of parts per million levels of nitrogen and oxygen in the diamond growth plasma. The control of these parameters results in the fabrication of high quality designer diamonds with culet size in excess of 300 microns in diameter. The three different applications of designer diamond anvils will be discussed (1) simultaneous electrical resistance and crystal structure measurements using a synchrotron source on Iron-based superconductors with data on both electron and hole doped BaFe2As2 materials and other novel superconducting materials (2) high-pressure high-temperature melting studies on metals using eight-probe Ohmic heating designer diamonds and (3) high pressure low temperature studies on magnetic behavior of 4f-lanthanide metals using four-probe electrical resistance measurements and complementary neutron diffraction studies on a spallation neutron source. Future opportunities in boron-doped conducting designer diamond anvils as well as fabrication of two-stage designer diamonds for ultra high pressure experiments will also be presented. This work was supported by the Department of Energy (DOE) - National Nuclear Security Administration (NNSA) under Grant No. DE-FG52-10NA29660.

  19. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  20. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  1. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiaoping, E-mail: wxpchina64@aliyun.com, E-mail: wxpchina@sohu.com; Shanghai Key Laboratory of Modern Optical System, Shanghai 200093; Wang, Jinye

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibitsmore » the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm{sup 2} at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.« less

  2. Nitrogen-doped diamond thin films: potential application in Fabry-Pérot interferometer

    NASA Astrophysics Data System (ADS)

    Kosowska, M.; Majchrowicz, D.; Sankaran, K. J.; Ficek, M.; Jedrzejewska-Szczerska, M.; Haenen, M. K.

    2018-04-01

    In this paper we present results of preliminary research of using nitrogen-doped diamond (NDD) films as reflective layer in Fabry-Pérot interferometer. NDD films were deposited on Si substrates by Microwave Plasma Enhanced Chemical Vapor Deposition (MPECVD) with the use of CH4, H2 and N2 gas mixtures. During deposition process methane flow rate varied while nitrogen flow was constant. We performed series of measurements which showed that NDD can be used as a mirror in Fabry-Pérot interferometer. The best signal visibility and repeatability of measurements were obtained for sample made with 3 sccm methane flow rate.

  3. Low temperature growth of diamond films on optical fibers using Linear Antenna CVD system

    NASA Astrophysics Data System (ADS)

    Ficek, M.; Drijkoningen, S.; Karczewski, J.; Bogdanowicz, R.; Haenen, K.

    2016-01-01

    It is not trivial to achieve a good quality diamond-coated fibre interface due to a large difference in the properties and composition of the diamond films (or use coating even) and the optical fibre material, i.e. fused silica. One of the biggest problems is the high temperature during the deposition which influences the optical fibre or optical fibre sensor structure (e.g. long-period gratings (LPG)). The greatest advantage of a linear antenna microwave plasma enhanced chemical vapor deposition system (LA MW CVD) is the fact that it allows to grow the diamond layers at low temperature (below 300°C) [1]. High quality nanocrystalline diamond (NCD) thin films with thicknesses ranging from 70 nm to 150 nm, were deposited on silicon, glass and optical fibre substrates [2]. Substrates pretreatment by dip-coating and spin coating process with a dispersion consisting of detonation nanodiamond (DND) in dimethyl sulfoxide (DMSO) with polyvinyl alcohol (PVA) has been applied. During the deposition process the continuous mode of operation of the LA MW CVD system was used, which produces a continuous wave at a maximum power of 1.9 kW (in each antenna). Diamond films on optical fibres were obtained at temperatures below 350°C, providing a clear improvement of results compared to our earlier work [3]. The samples were characterized by scanning electron microscopy (SEM) imaging to investigate the morphology of the nanocrystalline diamond films. The film growth rate, film thickness, and optical properties in the VIS-NIR range, i.e. refractive index and extinction coefficient will be discussed based on measurements on reference quartz plates by using spectroscopic ellipsometry (SE).

  4. A methodological toolkit for field assessments of artisanally mined alluvial diamond deposits

    USGS Publications Warehouse

    Chirico, Peter G.; Malpeli, Katherine C.

    2014-01-01

    This toolkit provides a standardized checklist of critical issues relevant to artisanal mining-related field research. An integrated sociophysical geographic approach to collecting data at artisanal mine sites is outlined. The implementation and results of a multistakeholder approach to data collection, carried out in the assessment of Guinea’s artisanally mined diamond deposits, also are summarized. This toolkit, based on recent and successful field campaigns in West Africa, has been developed as a reference document to assist other government agencies or organizations in collecting the data necessary for artisanal diamond mining or similar natural resource assessments.

  5. Growth and field emission properties of globe-like diamond microcrystalline-aggregate

    NASA Astrophysics Data System (ADS)

    Gao, Jin-hai; Zhang, Lan; Zhao, Limin; Hao, Haoshan

    2009-02-01

    The globe-like diamond microcrystalline-aggregates were fabricated by microwave plasma chemical vapor deposition (MPCVD) method. The ceramic with a Ti mental layer was used as substrate. The fabricated diamond was evaluated by Raman scattering spectroscopy, X-ray diffraction spectrum (XRD), and scanning electron microscope (SEM). The field emission properties were tested by using a diode structure in a vacuum. A phosphor-coated indium tin oxide (ITO) anode was used for observing and characterizing the field emission. It was found that the globe-like diamond microcrystalline-aggregates exhibited good electron emission properties. The turn-on field was only 0.55 V/μm, and emission current density as high as 11 mA/cm 2 was obtained under an applied field of 2.9 V/μm for the first operation. The growth mechanism and field emission properties of the globe-like diamond microcrystalline-aggregates are discussed relating to microstructure and electrical conductivity.

  6. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  7. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  8. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with themore » thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.« less

  9. The use of CVD diamond burs for ultraconservative cavity preparations: a report of two cases.

    PubMed

    Carvalho, Carlos Augusto R; Fagundes, Ticiane C; Barata, Terezinha J E; Trava-Airoldi, Vladimir Jesus; Navarro, Maria Fidela L

    2007-01-01

    During the past decades, scientific developments in cutting instruments have changed the conventional techniques used to remove caries lesions. Ultrasound emerged as an alternative for caries removal since the 1950s. However, the conventional technology for diamond powder aggregation with nickel metallic binders could not withstand ultrasonic power. Around 5 years ago, an alternative approach using chemical vapor deposition (CVD) resulted in synthetic diamond technology. CVD diamond burs are obtained with high adherence of the diamond as a unique stone on the metallic surface with excellent abrading performance. This technology allows for diamond deposition with coalescent granulation in different formats of substrates. When connected to an ultrasonic handpiece, CVD diamond burs become an option for cavity preparation, maximizing preservation of tooth structure. Potential advantages such as reduced noise, minimal damage to the gingival tissue, extended bur durability, improved proximal cavity access, reduced risk of hitting the adjacent tooth resulting from the high inclination angles, and minimal patient's risk of metal contamination. These innovative instruments also potentially eliminate some problems regarding decreased cutting efficiency of conventional diamond burs. This clinical report presents the benefits of using CVD diamond burs coupled with an ultrasonic handpiece in the treatment of incipient caries. CVD diamond burs coupled with an ultrasonic device offer a promising alternative for removal of carious lesions when ultraconservative cavity preparations are required. Additionally, this system provides a less-painful technique for caries removal, with minimal noise.

  10. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  11. Diamonds from the Machado River alluvial deposit, Rondônia, Brazil, derived from both lithospheric and sublithospheric mantle

    NASA Astrophysics Data System (ADS)

    Burnham, A. D.; Bulanova, G. P.; Smith, C. B.; Whitehead, S. C.; Kohn, S. C.; Gobbo, L.; Walter, M. J.

    2016-11-01

    Diamonds from the Machado River alluvial deposit have been characterised on the basis of external morphology, internal textures, carbon isotopic composition, nitrogen concentration and aggregation state and mineral inclusion chemistry. Variations in morphology and features of abrasion suggest some diamonds have been derived directly from local kimberlites, whereas others have been through extensive sedimentary recycling. On the basis of mineral inclusion compositions, both lithospheric and sublithospheric diamonds are present at the deposit. The lithospheric diamonds have clear layer-by-layer octahedral and/or cuboid internal growth zonation, contain measurable nitrogen and indicate a heterogeneous lithospheric mantle beneath the region. The sublithospheric diamonds show a lack of regular sharp zonation, do not contain detectable nitrogen, are isotopically heavy (δ13CPDB predominantly - 0.7 to - 5.5) and contain inclusions of ferropericlase, former bridgmanite, majoritic garnet and former CaSiO3-perovskite. This suggests source lithologies that are Mg- and Ca-rich, probably including carbonates and serpentinites, subducted to lower mantle depths. The studied suite of sublithospheric diamonds has many similarities to the alluvial diamonds from Kankan, Guinea, but has more extreme variations in mineral inclusion chemistry. Of all superdeep diamond suites yet discovered, Machado River represents an end-member in terms of either the compositional range of materials being subducted to Transition Zone and lower mantle or the process by which materials are transferred from the subducted slab to the diamond-forming region.

  12. Monocrystalline CVD-diamond optics for high-power laser applications

    NASA Astrophysics Data System (ADS)

    Holly, C.; Traub, M.; Hoffmann, D.; Widmann, C.; Brink, D.; Nebel, C.; Gotthardt, T.; Sözbir, M. C.; Wenzel, C.

    2016-03-01

    The potential of diamond as an optical material for high-power laser applications in the wavelength regime from the visible spectrum (VIS) to the near infrared (NIR) is investigated. Single-crystal diamonds with lateral dimensions up to 7×7mm2 are grown with microwave plasma assisted chemical vapor deposition (MPACVD) in parallel with up to 60 substrates and are further processed to spherical optics for beam guidance and shaping. The synthetic diamonds offer superior thermal, mechanical and optical properties, including low birefringence, scattering and absorption, also around 1 μm wavelength. We present dielectric (AR and HR) coated single-crystal diamond optics which are tested under high laser power in the multi-kW regime. The thermally induced focal shift of the diamond substrates is compared to the focal shift of a standard collimating and focusing unit for laser cutting made of fused silica optics. Due to the high thermal conductivity and low absorption of the diamond substrates compared to the fused silica optics no additional focal shift caused by a thermally induced refractive index change in the diamond is observed in our experiments. We present experimental results regarding the performance of the diamond substrates with and without dielectric coatings under high power and the influences of growth induced birefringence on the optical quality. Finally, we discuss the potential of the presented diamond lenses for high-power applications in the field of laser materials processing.

  13. Development of Designer Diamond Anvils for High Pressure-High-Temperature Experiments in Support of the Stockpile Stewardship Program

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yogesh K. Vohra

    The focus of this program at the University of Alabama at Birmingham (UAB) is to develop the next generation of designer diamond anvils that can perform simultaneous joule heating and temperature profile measurements in a diamond anvil cell. A series of tungsten-rhenium thermocouples will be fabricated onto to the anvil and encapsulated by a chemical vapor deposited diamond layer to allow for a complete temperature profile measurement across the anvil. The tip of the diamond anvil will be engineered to reduce the thermal conductivity so that the tungsten-heating coils can be deposited on top of this layer. Several different approachesmore » will be investigated to engineer the tip of the diamond anvil for reduction in thermal conductivity (a) isotopic mixture of 12C and 13C in the diamond layer, (b) doping of diamond with impurities (nitrogen and/or boron), and (c) growing diamond in a higher concentration of methane in hydrogen plasma. Under this academic alliance with Lawrence Livermore National Laboratory (LLNL), PI and his graduate students will use the lithographic and diamond polishing facility at LLNL. This proposed next generation of designer diamond anvils will allow multi-tasking capability with the ability to measure electrical, magnetic, structural and thermal data on actinide materials with unparallel sensitivity in support of the stockpile stewardship program.« less

  14. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  15. Nitrogen and silicon defect incorporation during homoepitaxial CVD diamond growth on (111) surfaces

    DOE PAGES

    Moore, Samuel L.; Vohra, Yogesh K.

    2015-01-01

    Chemical Vapor Deposited (CVD) diamond growth on (111)-diamond surfaces has received increased attention lately because of the use of N-V related centers in quantum computing as well as application of these defect centers in sensing nano-Tesla strength magnetic fields. We have carried out a detailed study of homoepitaxial diamond deposition on (111)-single crystal diamond (SCD) surfaces using a 1.2 kW microwave plasma CVD (MPCVD) system employing methane/hydrogen/nitrogen/oxygen gas phase chemistry. We have utilized Type Ib (111)-oriented single crystal diamonds as seed crystals in our study. The homoepitaxially grown diamond films were analyzed by Raman spectroscopy, Photoluminescence Spectroscopy (PL), X-ray Photoelectronmore » Spectroscopy (XPS), Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). The nitrogen concentration in the plasma was carefully varied between 0 and 1500 ppm while a ppm level of silicon impurity is present in the plasma from the quartz bell jar. The concentration of N-V defect centers with PL zero phonon lines (ZPL) at 575nm and 637nm and the Si-defect center with a ZPL at 737nm were experimentally detected from a variation in CVD growth conditions and were quantitatively studied. As a result, altering nitrogen and oxygen concentration in the plasma was observed to directly affect N-V and Si-defect incorporation into the (111)-oriented diamond lattice and these findings are presented.« less

  16. Micro-Raman Analysis of Irradiated Diamond Films

    NASA Technical Reports Server (NTRS)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  17. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  18. Diamond film deposition on WC-Co and steel substrates with a CrN interlayer for tribological applications

    NASA Astrophysics Data System (ADS)

    Chandran, Maneesh; Hoffman, Alon

    2016-06-01

    The most renowned property of diamond is its exceptional hardness. By depositing diamond films on tungsten carbide (WC-Co) and steel substrates, the hardness of diamond can be combined with the toughness of these materials, resulting in an excellent wear resistance material for tribological applications. However, poor adhesion of diamond coating on these substrates leads to a lesser lifetime for the diamond coated tools than expected. The prime reasons for the lack of proper adhesion are the preferential formation of graphitic layer at the interface due to the catalytic activities of cobalt/iron and the interfacial residual stresses due to the mismatch in thermal expansion coefficients of diamond (1.5  ×  10-6 K-1) and WC-Co (5.2  ×  10-6 K-1) or steel (12  ×  10-6 K-1). In this review, we discuss the possibility of using a Cr-N interlayer as a diffusion barrier to prevent the catalytic activities of cobalt/iron and also to relax the interfacial residual stresses to some extent to enhance the adhesion of diamond coatings on these substrates. An overview of the most pertinent results of the last two decades, including the recent progress is introduced. We describe in detail how the Cr-N interlayer with the desired properties is fabricated. We give a concise overview of diamond deposition process, including the methods to vary the grain size from microcrystalline to nanocrystalline, which are suitable for some tribological applications. We describe in detail on surface and interface analysis, residual stress measurements, assessment adhesion strength and tribological performance of diamond coated WC-Co and steel substrates using various characterization techniques. We conclude by highlighting the current progress and future perspectives of diamond coatings on these substrates for tribological applications.

  19. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  20. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  1. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    PubMed

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  2. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  3. Ultraviolet photosensitivity of sulfur-doped micro- and nano-crystalline diamond

    DOE PAGES

    Mendoza, Frank; Makarov, Vladimir; Hidalgo, Arturo; ...

    2011-06-06

    The room-temperature photosensitivity of sulfur-doped micro- (MCD), submicro- (SMCD) and nano- (NCD) crystalline diamond films synthesized by hot-filament chemical vapor deposition was studied. The structure and composition of these diamond materials were characterized by Raman spectroscopy, scanning electron microscopy and X-ray diffraction. The UV sensitivity and response time were studied for the three types of diamond materials using a steady state broad UV excitation source and two pulsed UV laser radiations. It was found that they have high sensitivity in the UV region, as high as 10 9 sec -1mV -1 range, linear response in a broad spectral range belowmore » 320 nm, photocurrents around ~10 -5 A, and short response time better than 100 ns, which is independent of fluency intensity. A phenomenological model was applied to help understand the role of defects and dopant concentration on the materials’ photosensitivity.« less

  4. Diamond surface functionalization with biomimicry - Amine surface tether and thiol moiety for electrochemical sensors

    NASA Astrophysics Data System (ADS)

    Sund, James B.; Causey, Corey P.; Wolter, Scott D.; Parker, Charles B.; Stoner, Brian R.; Toone, Eric J.; Glass, Jeffrey T.

    2014-05-01

    The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen-oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis.

  5. Waferscale nanophotonic circuits made from diamond-on-insulator substrates.

    PubMed

    Rath, P; Gruhler, N; Khasminskaya, S; Nebel, C; Wild, C; Pernice, W H P

    2013-05-06

    Wide bandgap dielectrics are attractive materials for the fabrication of photonic devices because they allow broadband optical operation and do not suffer from free-carrier absorption. Here we show that polycrystalline diamond thin films deposited by chemical vapor deposition provide a promising platform for the realization of large scale integrated photonic circuits. We present a full suite of photonic components required for the investigation of on-chip devices, including input grating couplers, millimeter long nanophotonic waveguides and microcavities. In microring resonators we measure loaded optical quality factors up to 11,000. Corresponding propagation loss of 5 dB/mm is also confirmed by measuring transmission through long waveguides.

  6. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  7. Diamond-Coated Carbon Nanotubes for Efficient Field Emission

    NASA Technical Reports Server (NTRS)

    Dimitrijevic, Stevan; Withers, James C.

    2005-01-01

    Field-emission cathodes containing arrays of carbon nanotubes coated with diamond or diamondlike carbon (DLC) are undergoing development. Multiwalled carbon nanotubes have been shown to perform well as electron field emitters. The idea underlying the present development is that by coating carbon nanotubes with wideband- gap materials like diamond or DLC, one could reduce effective work functions, thereby reducing threshold electric-field levels for field emission of electrons and, hence, improving cathode performance. To demonstrate feasibility, experimental cathodes were fabricated by (1) covering metal bases with carbon nanotubes bound to the bases by an electrically conductive binder and (2) coating the nanotubes, variously, with diamond or DLC by plasma-assisted chemical vapor deposition. In tests, the threshold electric-field levels for emission of electrons were reduced by as much as 40 percent, relative to those of uncoated- nanotube cathodes. Coating with diamond or DLC could also make field emission-cathodes operate more stably by helping to prevent evaporation of carbon from nanotubes in the event of overheating of the cathodes. Cathodes of this type are expected to be useful principally as electron sources for cathode-ray tubes and flat-panel displays.

  8. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  9. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  10. Secondary Electron Emission Spectroscopy of Diamond Surfaces

    NASA Technical Reports Server (NTRS)

    Krainsky, Isay L.; Asnin, Vladimir M.; Petukhov, Andre G.

    1999-01-01

    This report presents the results of the secondary electron emission spectroscopy study of hydrogenated diamond surfaces for single crystals and chemical vapor-deposited polycrystalline films. One-electron calculations of Auger spectra of diamond surfaces having various hydrogen coverages are presented, the major features of the experimental spectra are explained, and a theoretical model for Auger spectra of hydrogenated diamond surfaces is proposed. An energy shift and a change in the line shape of the carbon core-valence-valence (KVV) Auger spectra were observed for diamond surfaces after exposure to an electron beam or by annealing at temperatures higher than 950 C. This change is related to the redistribution of the valence-band local density of states caused by hydrogen desorption from the surface. A strong negative electron affinity (NEA) effect, which appeared as a large, narrow peak in the low-energy portion of the spectrum of the secondary electron energy distribution, was also observed on the diamond surfaces. A fine structure in this peak, which was found for the first time, reflected the energy structure of the bottom of the conduction band. Further, the breakup of the bulk excitons at the surface during secondary electron emission was attributed to one of the features of this structure. The study demonstrated that the NEA type depends on the extent of hydrogen coverage of the diamond surface, changing from the true type for the completely hydrogenated surface to the effective type for the partially hydrogenated surface.

  11. Analysis of synthetic diamond single crystals by X-ray topography and double-crystal diffractometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru; Ralchenko, V. G.; Bolshakov, A. P.

    2013-12-15

    Structural features of diamond single crystals synthesized under high pressure and homoepitaxial films grown by chemical vapor deposition (CVD) have been analyzed by double-crystal X-ray diffractometry and topography. The conditions of a diffraction analysis of diamond crystals using Ge monochromators have been optimized. The main structural defects (dislocations, stacking faults, growth striations, second-phase inclusions, etc.) formed during crystal growth have been revealed. The nitrogen concentration in high-pressure/high-temperature (HPHT) diamond substrates is estimated based on X-ray diffraction data. The formation of dislocation bundles at the film-substrate interface in the epitaxial structures has been revealed by plane-wave topography; these dislocations are likelymore » due to the relaxation of elastic macroscopic stresses caused by the lattice mismatch between the substrate and film. The critical thicknesses of plastic relaxation onset in CVD diamond films are calculated. The experimental techniques for studying the real diamond structure in optimizing crystal-growth technology are proven to be highly efficient.« less

  12. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  13. Selected Topics in CVD Diamond Research

    NASA Astrophysics Data System (ADS)

    Koizumi, Satoshi; Nebel, Christoph E.; Nesladek, Milos

    2006-10-01

    Since the discovery of Chemical Vapor Deposition (CVD) diamond growth in 1976, the steady scientific progress often resulted in surprising new discoveries and breakthroughs. This brought us to the idea to publish the special issue Selected Topics in CVD Diamond Research in physica status solidi (a), reflecting such advancements and interesting results at the leading edge of diamond research.The present issue summarizes this progress in the CVD diamond field by selecting contributions from several areas such as superconductivity, super-excitonic radiation, quantum computing, bio-functionalization, surface electronic properties, the nature of phosphorus doping, transport properties in high energy detectors, CVD growth and properties of nanocrystalline diamond. In all these directions CVD diamond appears to be very competitive in comparison with other semiconducting materials.As Editors of this special issue, we must admit that the selection is biased by our opinion. Nonetheless, we are sure that each contribution introduces new ideas and results which will improve the understanding of the current level of physics and chemistry of this attractive wide-bandgap semiconductor and which will help to bring it closer to applications.All submissions were invited based on the contributions of the authors to their specific research field. The Feature Articles have the format of topical reviews to give the reader a comprehensive summary. Partially, however, they are written in research paper style to report new results of ongoing research.We hope that this issue will attract the attention of a broad community of scientists and engineers, and that it will facilitate the utilization of diamond in electronic applications and technologies of the future.

  14. Scanning Tunneling Microscopy Studies of Diamond Films and Optoelectronic Materials

    NASA Technical Reports Server (NTRS)

    Perez, Jose M.

    1996-01-01

    We present a summary of the research, citations of publications resulting from the research and abstracts of such publications. We have made no inventions in the performance of the work in this project. The main goals of the project were to set up a Chemical Vapor Deposition (CVD) diamond growth system attached to an UltraHigh Vacuum (UHV) atomic resolution Scanning Tunneling Microscopy (STM) system and carry out experiments aimed at studying the properties and growth of diamond films using atomic resolution UHV STM. We successfully achieved these goals. We observed, for the first time, the atomic structure of the surface of CVD grown epitaxial diamond (100) films using UHV STM. We studied the effects of atomic hydrogen on the CVD diamond growth process. We studied the electronic properties of the diamond (100) (2x1) surface, and the effect of alkali metal adsorbates such as Cs on the work function of this surface using UHV STM spectroscopy techniques. We also studied, using STM, new electronic materials such as carbon nanotubes and gold nanostructures. This work resulted in four publications in refereed scientific journals and five publications in refereed conference proceedings.

  15. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  16. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  17. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  18. Industrial diamond

    USGS Publications Warehouse

    Olson, D.W.

    2006-01-01

    In 2005, estimated world production of natural and synthetic industrial diamond was 630 million carats. Natural industrial diamond deposits were found in more than 35 countries. Synthetic industrial diamond is produced in at least 15 countries. More than 81% of the combined natural and synthetic global output was produced in Ireland, Japan, Russia, South Africa and the United States.

  19. Evaluation of a 3D diamond detector for medical radiation dosimetry

    NASA Astrophysics Data System (ADS)

    Kanxheri, K.; Servoli, L.; Oh, A.; Munoz Sanchez, F.; Forcolin, G. T.; Murphy, S. A.; Aitkenhead, A.; Moore, C. J.; Morozzi, A.; Passeri, D.; Bellini, M.; Corsi, C.; Lagomarsino, S.; Sciortino, S.

    2017-01-01

    Synthetic diamond has several properties that are particularly suited to applications in medical radiation dosimetry. It is tissue equivalent, not toxic and shows a high resistance to radiation damage, low leakage current and stability of response. It is an electrical insulator, robust and realizable in small size; due to these features there are several examples of diamond devices, mainly planar single-crystalline chemical vapor depositation (sCVD) diamond, used for relative dose measurement in photon beams. Thanks to a new emerging technology, diamond devices with 3-dimensional structures are produced by using laser pulses to create graphitic paths in the diamond bulk. The necessary bias voltage to operate such detector decreases considerably while the signal response and radiation resistance increase. In order to evaluate the suitability of this new technology for measuring the dose delivered by radiotherapy beams in oncology a 3D polycrystalline (pCVD) diamond detector designed for single charged particle detection has been tested and the photon beam profile has been studied. The good linearity and high sensitivity to the dose observed in the 3D diamond, opens the way to the possibility of realizing a finely segmented device with the potential for dose distribution measurement in a single exposure for small field dosimetry that nowadays is still extremely challenging.

  20. Plasma spraying method for forming diamond and diamond-like coatings

    DOEpatents

    Holcombe, C.E.; Seals, R.D.; Price, R.E.

    1997-06-03

    A method and composition is disclosed for the deposition of a thick layer of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate. The softened or molten composition crystallizes on the substrate to form a thick deposition layer comprising at least a diamond or diamond-like material. The selected composition includes at least glassy carbon as a primary constituent and may include at least one secondary constituent. Preferably, the secondary constituents are selected from the group consisting of at least diamond powder, boron carbide (B{sub 4}C) powder and mixtures thereof. 9 figs.

  1. Plasma spraying method for forming diamond and diamond-like coatings

    DOEpatents

    Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene

    1997-01-01

    A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.

  2. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  3. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  4. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  5. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  6. The ATLAS Diamond Beam Monitor: Luminosity detector at the LHC

    NASA Astrophysics Data System (ADS)

    Schaefer, D. M.; ATLAS Collaboration

    2016-07-01

    After the first three years of the LHC running, the ATLAS experiment extracted its pixel detector system to refurbish and re-position the optical readout drivers and install a new barrel layer of pixels. The experiment has also taken advantage of this access to install a set of beam monitoring telescopes with pixel sensors, four each in the forward and backward regions. These telescopes are based on chemical vapor deposited (CVD) diamond sensors to survive in this high radiation environment without needing extensive cooling. This paper describes the lessons learned in construction and commissioning of the ATLAS Diamond Beam Monitor (DBM). We show results from the construction quality assurance tests and commissioning performance, including results from cosmic ray running in early 2015.

  7. Plasma boriding of a cobalt-chromium alloy as an interlayer for nanostructured diamond growth

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A.

    2015-02-01

    Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt-chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B2H6) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal-boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  8. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    NASA Astrophysics Data System (ADS)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  9. Quantum Computing in Diamond

    DTIC Science & Technology

    2007-05-28

    104 N2 103 N2 (a) (b) (c) Fig. 1: Confocal microscope images of NV centers created in bulk diamond through ion implantation of (a) gallium ions...nitrogen defects in diamond by chemical vapour deposition, J. R. Rabeau, S. Prawer, Y.L. Chin, F. Jelezko, T. Gaebel, and J. Wrachtrup, Applied...Physics Letters, 86, 31926, (2005) 2. Diamond Chemical Vapour Deposition on Opitcal Fibres for Fluorescence Waveguiding, J.R. Rabeau, S.T

  10. Reproducibility of CVD diamond detectors for radiotherapy dosimetry

    NASA Astrophysics Data System (ADS)

    Betzel, G. T.; Lansley, S. P.; McKay, D.; Meyer, J.

    2012-11-01

    Three in-house X-ray detectors based on diamond chemical vapor deposition (CVD) from the same manufactured batch of single crystal films were investigated for their reproducibility. Leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate and angular dependence were used to evaluate differences between detectors. Slight differences were seen in leakage currents before (<1.5 pA) and after (<12 pA) irradiation. A priming dose of ˜7 Gy and rise and fall times of 2 s were found for all three detectors. Sensitivities differed by up to 10%. Dependence on dose rate were similar (∆=0.92-0.94). Angular dependence was minimal (97-102% avg.). Differences in detector performance appeared to be primarily due to film thickness, which can significantly change sensitivities (nC Gy-1) and applied fields (V μm-1) for detectors with small sensitive volumes. Results suggest that preselection of CVD diamond films according to thickness in addition to material quality would be required to avoid individual calibration, which is performed for commercially available natural diamond detectors.

  11. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  12. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  13. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  14. A unifying picture of gas-phase formation and growth of PAH (Polycyclic Aromatic Hydrocarbons), soot, diamond and graphite

    NASA Technical Reports Server (NTRS)

    Frenklach, Michael

    1990-01-01

    A variety of seemingly different carbon formation processes -- polycyclic aromatic hydrocarbons and diamond in the interstellar medium, soot in hydrocarbon flames, graphite and diamond in plasma-assisted-chemical vapor deposition reactors -- may all have closely related underlying chemical reaction mechanisms. Two distinct mechanisms for gas-phase carbon growth are discussed. At high temperatures it proceeds via the formation of carbon clusters. At lower temperatures it follows a polymerization-type kinetic sequence of chemical reactions of acetylene addition to a radical, and reactivation of the resultant species through H-abstraction by a hydrogen atom.

  15. Conversion of fullerenes to diamond

    DOEpatents

    Gruen, Dieter M.

    1994-01-01

    A method of forming synthetic diamond on a substrate. The method involves providing a substrate surface covered with a fullerene or diamond coating, positioning a fullerene in an ionization source, creating a fullerene vapor, ionizing fullerene molecules, accelerating the fullerene ions to energies above 250 eV to form a fullerene ion beam, impinging the fullerene ion beam on the substrate surface and continuing these steps to obtain a diamond film thickness on the substrate.

  16. Synthesis and Characterization of Quenched and Crystalline Phases: Q-Carbon, Q-BN, Diamond and Phase-Pure c-BN

    NASA Astrophysics Data System (ADS)

    Bhaumik, Anagh; Narayan, Jagdish

    2018-04-01

    We report the synthesis and characterization of quenched (Q-carbon and Q-BN) and crystalline (diamond and c-BN) phases using a non-equilibrium technique. These phases are formed as a result of the melting and subsequent quenching of amorphous carbon and nanocrystalline h-BN in a super undercooled state by using high-power nanosecond laser pulses. Pulsed laser annealing also leads to the formation of nanoneedles, microneedles and single-crystal thin films of diamond and c-BN. This formation is dependent on the nucleation and growth times, which are controlled by laser energy density and thermal conductivities of substrate and as-deposited thin film. The diamond nuclei present in the Q-carbon structure ( 80% sp 3) can also be grown to larger sizes using the equilibrium hot filament chemical vapor deposition process. The texture of diamond and c-BN crystals is <111> under epitaxial growth and <110> under rapid unseeded crystallization. Our nanosecond laser processing opens up a roadmap to the fabrication of novel phases on heat-sensitive substrates.

  17. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  18. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  19. High efficiency diamond solar cells

    DOEpatents

    Gruen, Dieter M [Downers Grove, IL

    2008-05-06

    A photovoltaic device and method of making same. A layer of p-doped microcrystalline diamond is deposited on a layer of n-doped ultrananocrystalline diamond such as by providing a substrate in a chamber, providing a first atmosphere containing about 1% by volume CH.sub.4 and about 99% by volume H.sub.2 with dopant quantities of a boron compound, subjecting the atmosphere to microwave energy to deposit a p-doped microcrystalline diamond layer on the substrate, providing a second atmosphere of about 1% by volume CH.sub.4 and about 89% by volume Ar and about 10% by volume N.sub.2, subjecting the second atmosphere to microwave energy to deposit a n-doped ultrananocrystalline diamond layer on the p-doped microcrystalline diamond layer. Electrodes and leads are added to conduct electrical energy when the layers are irradiated.

  20. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  1. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  2. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  3. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  4. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Conversion of fullerenes to diamond

    DOEpatents

    Gruen, Dieter M.

    1993-01-01

    A method of forming synthetic diamond on a substrate is disclosed. The method involves providing a substrate surface covered with a fullerene or diamond coating, positioning a fullerene in an ionization source, creating a fullerene vapor, ionizing fullerene molecules, accelerating the fullerene ions to energies above 250 eV to form a fullerene ion beam, impinging the fullerene ion beam on the substrate surface and continuing these steps to obtain a diamond thickness on the substrate.

  6. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  7. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  8. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  9. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  10. Thermal conductivity of high purity synthetic single crystal diamonds

    NASA Astrophysics Data System (ADS)

    Inyushkin, A. V.; Taldenkov, A. N.; Ralchenko, V. G.; Bolshakov, A. P.; Koliadin, A. V.; Katrusha, A. N.

    2018-04-01

    Thermal conductivity of three high purity synthetic single crystalline diamonds has been measured with high accuracy at temperatures from 6 to 410 K. The crystals grown by chemical vapor deposition and by high-pressure high-temperature technique demonstrate almost identical temperature dependencies κ (T ) and high values of thermal conductivity, up to 24 W cm-1K-1 at room temperature. At conductivity maximum near 63 K, the magnitude of thermal conductivity reaches 285 W cm-1K-1 , the highest value ever measured for diamonds with the natural carbon isotope composition. Experimental data were fitted with the classical Callaway model for the lattice thermal conductivity. A set of expressions for the anharmonic phonon scattering processes (normal and umklapp) has been proposed which gives an excellent fit to the experimental κ (T ) data over almost the whole temperature range explored. The model provides the strong isotope effect, nearly 45%, and the high thermal conductivity (>24 W cm-1K-1 ) for the defect-free diamond with the natural isotopic abundance at room temperature.

  11. Quartz Crystal Micro-Balance Gas Sensor with Ink-Jet Printed Nano-Diamond Sensitive Layer

    NASA Astrophysics Data System (ADS)

    Kulha, Pavel; Kroutil, Jiří; Laposa, Alexandr; Procházka, Václav; Husák, Miroslav

    2016-01-01

    the paper presents fabrication and characterization of a Quartz Crystal Microbalance based gas sensor with a diamond powder sensitive layer deposited using the ink-jet printing technique. The sensor was exposed to a low concentration of ammonia, acetone vapors and different levels of humidity. Impedance characteristics close to the natural resonant frequency of 10 MHz were examined. The sensor exhibits significant shifts in serial resonant frequency under different gas environments.

  12. Plasmon resonance enhanced temperature-dependent photoluminescence of Si-V centers in diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Shaoheng; State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012; Song, Jie

    2015-11-23

    Temperature dependent optical property of diamond has been considered as a very important factor for realizing high performance diamond-based optoelectronic devices. The photoluminescence feature of the zero phonon line of silicon-vacancy (Si-V) centers in Si-doped chemical vapor deposited single crystal diamond (SCD) with localized surface plasmon resonance (LSPR) induced by gold nanoparticles has been studied at temperatures ranging from liquid nitrogen temperature to 473 K, as compared with that of the SCD counterpart in absence of the LSPR. It is found that with LSPR the emission intensities of Si-V centers are significantly enhanced by factors of tens and the magnitudes ofmore » the redshift (width) of the emissions become smaller (narrower), in comparison with those of normal emissions without plasmon resonance. More interestingly, these strong Si-V emissions appear remarkably at temperatures up to 473 K, while the spectral feature was not reported in previous studies on the intrinsic Si-doped diamonds when temperatures are higher than room temperature. These findings would lead to reaching high performance diamond-based devices, such as single photon emitter, quantum cryptography, biomarker, and so forth, working under high temperature conditions.« less

  13. Investigation of 3D diamond detector dosimetric characteristics

    NASA Astrophysics Data System (ADS)

    Kanxheri, K.; Alunni Solestizi, L.; Biasini, M.; Caprai, M.; Dipilato, A. C.; Iacco, M.; Ionica, M.; Lagomarsino, S.; Menichelli, M.; Morozzi, A.; Passeri, D.; Sciortino, S.; Talamonti, C.; Zucchetti, C.; Servoli, L.

    2018-06-01

    Recently, a polycrystalline chemical vapor deposited (pCVD) 3D diamond detector with graphitic in bulk electrodes, fabricated using a pulsed laser technique has been evaluated for photon beam radiation dosimetry during in-air exposure. The same 3D diamond detector, has now been investigated to evaluate its performance under clinically relevant conditions putting the detector inside a Polymethylmethacrylate (PMMA) phantom, to obtain higher precision dosimetric measurements. The detector leakage current was of the order of ± 25 pA or less for bias voltages up to ‑100 V. The 3D detector was tested for time stability and repeatability showing excellent performance with less than 0.6% signal variation. It also showed a linear response for low dose rates with a deviation from linearity of 2%. It was also possible to verify the detector response as a function of the depth in PMMA up to 18 cm.

  14. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  15. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  16. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  17. Computer modeling of a hot filament diamond deposition reactor

    NASA Technical Reports Server (NTRS)

    Kuczmarski, Maria A.; Washlock, Paul A.; Angus, John C.

    1991-01-01

    A commercial fluid mechanics program, FLUENT, has been applied to the modeling of a hot-filament diamond deposition reactor. Streamlines and contours of constant temperature and species concentrations are obtained for practical reactor geometries and conditions. The modeling is presently restricted to two-dimensional simulations and to a chemical mechanism of ten independent homogeneous and surface reactions. Comparisons are made between predicted power consumption, substrate temperature, and concentrations of atomic hydrogen and methyl-radical with values taken from the literature. The results to date indicate that the modeling can aid in the rational design and analysis of practical reactor configurations.

  18. Self-Lubricating, Wear-Resistant Diamond Films Developed for Use in Vacuum Environment

    NASA Technical Reports Server (NTRS)

    1996-01-01

    Diamond's outstanding properties--extreme hardness, chemical and thermal inertness, and high strength and rigidity--make it an ideal material for many tribological applications, such as the bearings, valves, and engine parts in the harsh environment found in internal-combustion engines, jet engines, and space propulsion systems. It has been demonstrated that chemical-vapor-deposited diamond films have low coefficients of friction (on the order of 0.01) and low wear rates (less than 10(sup -7) mm (sup 3/N-m)) both in humid air and dry nitrogen but that they have both high coefficients of friction (greater than 0.4) and high wear rates (on the order of 1(sup -4) mm sup 3/N-m)) in vacuum. It is clear that surface modifications that provide acceptable levels of friction and wear properties will be necessary before diamond films can be used for tribological applications in a space-like, vacuum environment. Previously, it was found that coatings of amorphous, non-diamond carbon can provide low friction in vacuum. Therefore, to reduce the friction and wear of diamond film in vacuum, carbon ions were implanted in an attempt to form a surface layer of amorphous carbon phases on the diamond films.

  19. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    NASA Astrophysics Data System (ADS)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  20. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  1. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  2. Equilibrium, chemical kinetic, and transport limitations to diamond growth

    NASA Astrophysics Data System (ADS)

    Evans, Edward Anthony

    Because of their extreme properties, diamond films have found some industrial applications, i.e., heat sinks and tool coatings. However, to increase their economic attractiveness, the growth rate must be increased, the deposition temperature must be lowered, and single crystal films must be achieved. We have studied two types of chemical vapor deposition systems, hot-filament and microwave assisted, in order to understand the factors limiting diamond growth rate. From simultaneous microbalance growth rate measurements and mass spectrometer measurements, changes in growth rate are correlated with changes in gas phase composition. Measured reaction orders support the proposal that diamond growth occurs through a single-carbon-atom species, e.g., CHsb3. When a two-carbon atom source gas is used, it is likely that the dissociation to two, single-carbon atom species occurs on the substrate surface (dissociative adsorption). Furthermore, a shift to zero-order suggests that the diamond growth is a surface-site limited process at higher hydrocarbon concentrations. The diamond growth rate maximum with pressure is explained by transport limitations of species within the reaction zone. The reported diamond growth rates in the hot-filament reactor are several times higher than those reported by other research groups. These higher growth rates result from surrounding the substrate with the filament. We have used the measured growth rates, filament temperatures, and thermocouple measurements to calculate activation energies for diamond growth. When the filament temperature is used for the calculation, an activation energy of 73 kcal per mole is obtained; however, based on estimated substrate temperatures, an activation energy of 18 kcal per mole is determined. A dimensional analysis approach was developed to select the most important gas phase reactions occurring during diamond CVD. Steady-state analysis of these reactions and the application of mass transport equations lead to

  3. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  4. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  5. Ionization signals from diamond detectors in fast-neutron fields

    NASA Astrophysics Data System (ADS)

    Weiss, C.; Frais-Kölbl, H.; Griesmayer, E.; Kavrigin, P.

    2016-09-01

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes 12 C and 13 C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the 13C(n, α)10Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the 12C(n, α)9Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy.

  6. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    NASA Astrophysics Data System (ADS)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  7. Improving the long-term stability of Ti6Al4V abutment screw by coating micro/nano-crystalline diamond films.

    PubMed

    Xie, Youneng; Zhou, Jing; Wei, Qiuping; Yu, Z M; Luo, Hao; Zhou, Bo; Tang, Z G

    2016-10-01

    Abutment screw loosening is the most common complication of implanting teeth. Aimed at improving the long-term stability of them, well-adherent and homogeneous micro-crystalline diamond (MCD) and nano-crystalline diamond (NCD) were deposited on DIO(®) (Dong Seo, Korea) abutment screws using a hot filament chemical vapor deposition (HFCVD) system. Compared with bare DIO(®) screws, diamond coated ones showed higher post reverse toque values than the bare ones (p<0.05) after cyclic loading one million times under 100N, and no obvious flaking happened after loading test. Diamond coated disks showed lower friction coefficients of 0.15 and 0.18 in artificial saliva when countered with ZrO2 than that of bare Ti6Al4V disks of 0.40. Though higher cell apoptosis rate was observed on film coated disks, but no significant difference between MCD group and NCD group. And the cytotoxicity of diamond films was acceptable for the fact that the cell viability of them was still higher than 70% after cultured for 72h. It can be inferred that coating diamond films might be a promising modification method for Ti6Al4V abutment screws. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  9. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  10. Optical properties of diamond like carbon nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  11. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  12. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  13. Novel diamond cells for neutron diffraction using multi-carat CVD anvils.

    PubMed

    Boehler, R; Molaison, J J; Haberl, B

    2017-08-01

    Traditionally, neutron diffraction at high pressure has been severely limited in pressure because low neutron flux required large sample volumes and therefore large volume presses. At the high-flux Spallation Neutron Source at the Oak Ridge National Laboratory, we have developed new, large-volume diamond anvil cells for neutron diffraction. The main features of these cells are multi-carat, single crystal chemical vapor deposition diamonds, very large diffraction apertures, and gas membranes to accommodate pressure stability, especially upon cooling. A new cell has been tested for diffraction up to 40 GPa with an unprecedented sample volume of ∼0.15 mm 3 . High quality spectra were obtained in 1 h for crystalline Ni and in ∼8 h for disordered glassy carbon. These new techniques will open the way for routine megabar neutron diffraction experiments.

  14. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  15. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  16. Tailoring nanocrystalline diamond film properties

    DOEpatents

    Gruen, Dieter M [Downers Grove, IL; McCauley, Thomas G [Somerville, MA; Zhou, Dan [Orlando, FL; Krauss, Alan R [Naperville, IL

    2003-07-15

    A method for controlling the crystallite size and growth rate of plasma-deposited diamond films. A plasma is established at a pressure in excess of about 55 Torr with controlled concentrations of hydrogen up to about 98% by volume, of unsubstituted hydrocarbons up to about 3% by volume and an inert gas of one or more of the noble gases and nitrogen up to about 98% by volume. The volume ratio of inert gas to hydrogen is preferably maintained at greater than about 4, to deposit a diamond film on a suitable substrate. The diamond film is deposited with a predetermined crystallite size and at a predetermined growth rate.

  17. Coaxial CVD diamond detector for neutron diagnostics at ShenGuang III laser facility.

    PubMed

    Yu, Bo; Liu, Shenye; Chen, Zhongjing; Huang, Tianxuan; Jiang, Wei; Chen, Bolun; Pu, Yudong; Yan, Ji; Zhang, Xing; Song, Zifeng; Tang, Qi; Hou, Lifei; Ding, Yongkun; Zheng, Jian

    2017-06-01

    A coaxial, high performance diamond detector has been developed for neutron diagnostics of inertial confinement fusion at ShenGuangIII laser facility. A Φ10 mm × 1 mm "optical grade" chemical-vapor deposition diamond wafer is assembled in coaxial-designing housing, and the signal is linked to a SubMiniature A connector by the cathode cone. The coaxial diamond detector performs excellently for neutron measurement with the full width at half maximum of response time to be 444 ps for a 50 Ω measurement system. The average sensitivity is 0.677 μV ns/n for 14 MeV (DT fusion) neutrons at an electric field of 1000 V/mm, and the linear dynamic range is beyond three orders of magnitude. The ion temperature results fluctuate widely from the neutron time-of-flight scintillator detector results because of the short flight length. These characteristics of small size, large linear dynamic range, and insensitive to x-ray make the diamond detector suitable to measure the neutron yield, ion temperature, and neutron emission time.

  18. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  19. Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate

    DOEpatents

    Feng, Zhu; Brewer, Marilee; Brown, Ian; Komvopoulos, Kyriakos

    1994-01-01

    A process is disclosed for the pretreatment of a carbon-coated substrate to provide a uniform high density of nucleation sites thereon for the subsequent deposition of a continuous diamond film without the application of a bias voltage to the substrate. The process comprises exposing the carbon-coated substrate, in a microwave plasma enhanced chemical vapor deposition system, to a mixture of hydrogen-methane gases, having a methane gas concentration of at least about 4% (as measured by partial pressure), while maintaining the substrate at a pressure of about 10 to about 30 Torr during the pretreatment.

  20. Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate

    DOEpatents

    Feng, Z.; Brewer, M.; Brown, I.; Komvopoulos, K.

    1994-05-03

    A process is disclosed for the pretreatment of a carbon-coated substrate to provide a uniform high density of nucleation sites thereon for the subsequent deposition of a continuous diamond film without the application of a bias voltage to the substrate. The process comprises exposing the carbon-coated substrate, in a microwave plasma enhanced chemical vapor deposition system, to a mixture of hydrogen-methane gases, having a methane gas concentration of at least about 4% (as measured by partial pressure), while maintaining the substrate at a pressure of about 10 to about 30 Torr during the pretreatment. 6 figures.

  1. Nitrogen-Doped Diamond Film for Optical Investigation of Hemoglobin Concentration

    PubMed Central

    Majchrowicz, Daria; Kosowska, Monika; Struk, Przemysław; Sobaszek, Michał; Jędrzejewska-Szczerska, Małgorzata

    2018-01-01

    In this work we present the fabrication and characterization of a diamond film which can be utilized in the construction of optical sensors for the investigation of biological samples. We produced a nitrogen-doped diamond (NDD) film using a microwave plasma enhanced chemical vapor deposition (MWPECVD) system. The NDD film was investigated with the use of scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy. The NDD film was used in the construction of the fiber optic sensor. This sensor is based on the Fabry–Pérot interferometer working in a reflective mode and the NDD film is utilized as a reflective layer of this interferometer. Application of the NDD film allowed us to obtain the sensor of hemoglobin concentration with linear work characteristics with a correlation coefficient (R2) equal to 0.988. PMID:29324715

  2. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  3. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  4. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  5. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  6. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  7. Scanning tunneling microscopy studies of diamond films and optoelectronic materials

    NASA Technical Reports Server (NTRS)

    Perez, Jose M.

    1993-01-01

    In this report, we report on progress achieved from 12/1/92 to 10/1/93 under the grant entitled 'Scanning Tunneling Microscopy Studies of Diamond Films and Optoelectronic Materials'. We have set-up a chemical vapor deposition (CVD) diamond film growth system and a Raman spectroscopy system to study the nucleation and growth of diamond films with atomic resolution using scanning tunneling microscopy (STM). A unique feature of the diamond film growth system is that diamond films can be transferred directly to the ultrahigh vacuum (UHV) chamber of a scanning tunneling microscope without contaminating the films by exposure to air. The University of North Texas (UNT) provided $20,000 this year as matching funds for the NASA grant to purchase the diamond growth system. In addition, UNT provided a Coherent Innova 90S Argon ion laser, a Spex 1404 double spectrometer, and a Newport optical table costing $90,000 to set-up the Raman spectroscopy system. The CVD diamond growth system and Raman spectroscopy system will be used to grow and characterize diamond films with atomic resolution using STM as described in our proposal. One full-time graduate student and one full-time undergraduate student are supported under this grant. In addition, several graduate and undergraduate students were supported during the summer to assist in setting-up the diamond growth and Raman spectroscopy systems. We have obtained research results concerning STM of the structural and electronic properties of CVD grown diamond films, and STM and scanning tunneling spectroscopy of carbon nanotubes. In collaboration with the transmission electron microscopy (TEM) group at UNT, we have also obtained results concerning the optoelectronic material siloxene. These results were published in refereed scientific journals, submitted for publication, and presented as invited and contributed talks at scientific conferences.

  8. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  9. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  10. Bonding, elastic and vibrational properties in low and high pressure synthesized diamond-like BCx phases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zinin, P.; Liu, X. R.; Jia, R.

    Recent studies demonstrate that low pressure chemical vapor deposition at 950 K leads to the synthesis of diamond-like boron carbides with high concentrations of boron (0.66 < x < 4) in which the sp 2 fraction depends on the boron concentration [1]. This indicates that the graphitic BC3 (g-BC3) phases obtained by chemical vapor deposition materials are mixtures of diamond-like and graphitic BCx phases. This finding allows us to revise the interpretation of the x-ray diffraction (XRD) patterns of the g-BC3 phases discussed previously [2, 3]. To support the new interpretation, we conducted a laser heating experiment of the g-BC3more » phase. We found that after laser heating at 1100 K and 25 GPa in a diamond anvil cell (DAC) almost all graphitic layers of the g-BC3 transform into a cubic structure. The XRD pattern of the cubic BC3 phase (c-BC3) can be indexed with a cubic unit cell a = 3.619 (0.165) Å. Measurements of the equation of state of the g-BC3 phase demonstrated that boron atoms were incorporated into the graphitic B-C network. The linear compressibility along the c axis can be characterized by the value of the linear modulus Bc = 29.2 ± 1.8 GPa. Linear fitting of the experimental data for the a/a o parameter as a function of pressure gives us the value of the linear elastic modulus along the a axes: Ba = 800 ± 75 GPa.« less

  11. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  12. Morphological and structural evolution on the lateral face of the diamond seed by MPCVD homoepitaxial deposition

    NASA Astrophysics Data System (ADS)

    Chen, Jianli; Wang, Guangjian; Qi, Chengjun; Zhang, Ying; Zhang, Song; Xu, Yongkuan; Hao, Jianmin; Lai, Zhanping; Zheng, Lili

    2018-02-01

    This paper presents a recent study on the morphology variation on the lateral faces of a HPHT diamond seed by MPCVD method. Raman spectroscopy and SEM were used to display the morphological and structural evolution of the grown diamond. It has been observed that different types of carbon allotropes were deposited at different heights of the substrate. At the bottom of the substrate, the feature of the lateral face was dominated by vertically aligned graphite nanoplatelets. An increment of sp3 and sp2 hybridized carbons was found to take over at the region of approximately 100 μm above from the bottom followed by the increasing-size diamond grains. The high quality single crystalline diamond was formed at the top of the lateral face. We proposed that the temperature gradient around the substrate is responsible for variable features on the substrate lateral face. By optimizing the growth temperature, we have obtained an enlarged area of the lateral face with high quality single crystalline diamond. This work will provide both sp2 on sp3 carbon materials for the development of electrochemical sensors and electrodes, and a foundation for the diamond lateral face growth with high quality and high purity.

  13. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  14. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  15. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  16. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    NASA Astrophysics Data System (ADS)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  17. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  18. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  19. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  20. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  1. Novel diamond cells for neutron diffraction using multi-carat CVD anvils

    DOE PAGES

    Boehler, R.; Molaison, J. J.; Haberl, B.

    2017-08-17

    Traditionally, neutron diffraction at high pressure has been severely limited in pressure because low neutron flux required large sample volumes and therefore large volume presses. At the high-flux Spallation Neutron Source at the Oak Ridge National Laboratory, we have developed in this paper new, large-volume diamond anvil cells for neutron diffraction. The main features of these cells are multi-carat, single crystal chemical vapor deposition diamonds, very large diffraction apertures, and gas membranes to accommodate pressure stability, especially upon cooling. A new cell has been tested for diffraction up to 40 GPa with an unprecedented sample volume of ~0.15 mm 3.more » High quality spectra were obtained in 1 h for crystalline Ni and in ~8 h for disordered glassy carbon. Finally, these new techniques will open the way for routine megabar neutron diffraction experiments.« less

  2. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  3. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    NASA Astrophysics Data System (ADS)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  4. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  5. Nano- and microcrystalline diamond deposition on pretreated WC-Co substrates: structural properties and adhesion

    NASA Astrophysics Data System (ADS)

    Fraga, M. A.; Contin, A.; Rodríguez, L. A. A.; Vieira, J.; Campos, R. A.; Corat, E. J.; Trava Airoldi, V. J.

    2016-02-01

    Many developments have been made to improve the quality and adherence of CVD diamond films onto WC-Co hard metal tools by the removing the cobalt from the substrate surface through substrate pretreatments. Here we compare the efficiency of three chemical pretreatments of WC-Co substrates for this purpose. First, the work was focused on a detailed study of the composition and structure of as-polished and pretreated substrate surfaces to characterize the effects of the substrate preparation. Considering this objective, a set of WC-9% Co substrates, before and after pretreatment, was analyzed by FEG-SEM, EDS and x-ray diffraction (XRD). The second stage of the work was devoted to the evaluation of the influence of seeding process, using 4 nm diamond nanoparticles, on the morphology and roughness of the pretreated substrates. The last and most important stage was to deposit diamond coatings with different crystallite sizes (nano and micro) by hot-filament CVD to understand fully the mechanism of growth and adhesion of CVD diamond films on pretreated WC-Co substrates. The transition from nano to microcrystalline diamond was achieved by controlling the CH4/H2 gas ratio. The nano and microcrystalline samples were grown under same time at different substrate temperatures 600 °C and 800 °C, respectively. The different substrate temperatures allowed the analysis of the cobalt diffusion from the bulk to the substrate surface during CVD film growth. Furthermore, it was possible to evaluate how the coating adhesion is affected by the diffusion. The diamond coatings were characterized by Raman spectroscopy, XRD, EDS, FEG-SEM, atomic force microscope and 1500 N Rockwell indentation to evaluate the adhesion.

  6. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  7. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    NASA Astrophysics Data System (ADS)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  8. Electrochemical Behavior of Biomedical Titanium Alloys Coated with Diamond Carbon in Hanks' Solution

    NASA Astrophysics Data System (ADS)

    Gnanavel, S.; Ponnusamy, S.; Mohan, L.; Radhika, R.; Muthamizhchelvan, C.; Ramasubramanian, K.

    2018-03-01

    Biomedical implants in the knee and hip are frequent failures because of corrosion and stress on the joints. To solve this important problem, metal implants can be coated with diamond carbon, and this coating plays a critical role in providing an increased resistance to implants toward corrosion. In this study, we have employed diamond carbon coating over Ti-6Al-4V and Ti-13Nb-13Zr alloys using hot filament chemical vapor deposition method which is well-established coating process that significantly improves the resistance toward corrosion, wears and hardness. The diamond carbon-coated Ti-13Nb-13Zr alloy showed an increased microhardness in the range of 850 HV. Electrochemical impedance spectroscopy and polarization studies in SBF solution (simulated body fluid solution) were carried out to understand the in vitro behavior of uncoated as well as coated titanium alloys. The experimental results showed that the corrosion resistance of Ti-13Nb-13Zr alloy is relatively higher when compared with diamond carbon-coated Ti-6Al-4V alloys due to the presence of β phase in the Ti-13Nb-13Zr alloy. Electrochemical impedance results showed that the diamond carbon-coated alloys behave as an ideal capacitor in the body fluid solution. Moreover, the stability in mechanical properties during the corrosion process was maintained for diamond carbon-coated titanium alloys.

  9. Calculation of intrinsic stresses in the diamond-like coatings produced by plasma ion deposition in modes of DC and pulse bias potentials

    NASA Astrophysics Data System (ADS)

    Kalinichenko, A. A.; Perepelkin, S. S.; Strel'nitskij, V. E.

    2015-04-01

    The formula derivation for calculation of intrinsic stress in diamond-like coatings deposited from the ion flux in modes of continuous and pulsed potentials in view of process of defects formation is given. The criterion of applicability of obtained formula allowing to determine critical parameters of the pulsed potential mode is suggested. Results of calculation of stresses in diamond-like coatings at deposition of low-energy ions C+ from filtered vacuum arc plasma are adduced. The influence of the bias potential, repetition frequency and pulse duration, on the value of intrinsic stress is discussed. Qualitative agreement of calculated stress and experimental data is stated. The important role of deposition temperature in control of intrinsic stress in deposited coating is noted.

  10. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  11. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  12. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  13. Development of a diamond waveguide sensor for sensitive protein analysis using IR quantum cascade lasers

    NASA Astrophysics Data System (ADS)

    Piron, P.; Vargas Catalan, E.; Haas, J.; Österlund, L.; Nikolajeff, F.; Andersson, P. O.; Bergström, J.; Mizaikoff, B.; Karlsson, M.

    2018-02-01

    Microfabricated diamond waveguides, between 5 and 20 μm thick, manufactured by chemical vapor deposition of diamond, followed by standard lithographic techniques and inductively coupled plasma etching of diamond, are used as bio-chemical sensors in the mid infrared domain: 5-11 μm. Infrared light, emitted from a broadly tunable quantum cascade laser with a wavelength resolution smaller than 20 nm, is coupled through the diamond waveguides for attenuated total reflection spectroscopy. The expected advantages of these waveguides are a high sensitivity due to the high number of internal reflections along the propagation direction, a high transmittance in the mid-IR domain, the bio-compatibility of diamond and the possibility of functionalizing the surface layer. The sensor will be used for analyzing different forms of proteins such as α-synuclein which is relevant in understanding the mechanism behind Parkinson's disease. The fabrication process of the waveguide, its characteristics and several geometries are introduced. The optical setup of the biosensor is described and our first measurements on two analytes to demonstrate the principle of the sensing method will be presented. Future use of this sensor includes the functionalization of the diamond waveguide sensor surface to be able to fish out alpha-synuclein from cerebrospinal fluid.

  14. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  15. Sandblasting induced stress release and enhanced adhesion strength of diamond films deposited on austenite stainless steel

    NASA Astrophysics Data System (ADS)

    Li, Xiao; Ye, Jiansong; Zhang, Hangcheng; Feng, Tao; Chen, Jianqing; Hu, Xiaojun

    2017-08-01

    We firstly used sandblasting to treat austenite stainless steel and then deposited a Cr/CrN interlayer by close field unbalanced magnetron sputtering on it. After that, diamond films were prepared on the interlayer. It is found that the sandblasting process induces phase transition from austenite to martensite in the surface region of the stainless steel, which decreases thermal stress in diamond films due to lower thermal expansion coefficient of martensite phase compared with that of austenite phase. The sandblasting also makes stainless steel's surface rough and the Cr/CrN interlayer film inherits the rough surface. This decreases the carburization extent of the interlayer, increases nucleation density and modifies the stress distribution. Due to lower residual stress and small extent of the interlayer's carburization, the diamond film on sandblast treated austenite stainless steel shows enhanced adhesion strength.

  16. Stabilizing shallow color centers in diamond created by nitrogen delta-doping using SF{sub 6} plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Osterkamp, Christian; Lang, Johannes; Scharpf, Jochen

    2015-03-16

    Here we report the fabrication of stable, shallow (<5 nm) nitrogen-vacancy (NV) centers in diamond by nitrogen delta doping at the last stage of the chemical vapor deposition growth process. The NVs are stabilized after treating the diamond in SF{sub 6} plasma, otherwise the color centers are not observed, suggesting a strong influence from the surface. X-ray photoelectron spectroscopy measurements show the presence of only fluorine atoms on the surface, in contrast to previous studies, indicating very good surface coverage. We managed to detect hydrogen nuclear magnetic resonance signal from protons in the immersion oil, revealing a depth of the NVsmore » of about 5 nm.« less

  17. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  18. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  19. Grain-size-dependent diamond-nondiamond composite films: characterization and field-emission properties.

    PubMed

    Pradhan, Debabrata; Lin, I Nan

    2009-07-01

    Diamond films with grain sizes in the range of 5-1000 nm and grain boundaries containing nondiamond carbon are deposited on a silicon substrate by varying the deposition parameters. The overall morphologies of the as-deposited diamond-nondiamond composite films are examined by scanning electron microscopy and atomic force microscopy, which show a decrease in the surface roughness with a decrease in the diamond grain size. Although the Raman spectra show predominately nondiamond carbon features in the diamond films with smaller grain sizes, glancing-angle X-ray diffraction spectra show the absence of graphitic carbon features and the presence of very small amorphous carbon diffraction features. The CH4 percentage (%) in Ar and H2 plasma during deposition plays a crucial role in the formation of diamond films with different grain sizes and nondiamond carbon contents, which, in turn, determines the field-emission behavior of the corresponding diamond films. The smaller the grain size of the diamond, the lower is the turn-on field for electron emission. A lower turn-on field is obtained from the diamond films deposited with 2-5% CH4 than from the films deposited with either 1% or 7.5% CH4 in the Ar medium. A current density greater than 1 mA/cm2 (at 50 V/microm) is obtained from diamond films deposited with a higher percentage of CH4. A model is suggested for the field-emission mechanism from the diamond-nondiamond composite films with different diamond grain sizes and nondiamond contents.

  20. Inhibiting the oxidation of diamond during preparing the vitrified dental grinding tools by depositing a ZnO coating using direct urea precipitation method.

    PubMed

    Wang, Yanhui; Yuan, Yungang; Cheng, Xiaozhe; Li, Xiaohu; Zang, Jianbing; Lu, Jing; Yu, Yiqing; Xu, Xipeng

    2015-08-01

    Oxidation of diamond during the manufacturing of vitrified dental grinding tools would reduce the strength and sharpness of tools. Zinc oxide (ZnO) coating was deposited on diamond particles by urea precipitation method to protect diamond in borosilicate glass. The FESEM results showed that the ZnO coating was formed by plate-shaped particles. According to the TG results, the onset oxidation temperature of the ZnO-coated diamond was about 70 °C higher than the pristine diamond. The EDS results showed that ZnO diffused into the borosilicate glass during sintering. As the result, the bending strength of the composites containing ZnO-coated diamond was increased by 24% compared to that of the composites containing pristine diamond. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Diamond growth on copper rods from polymer composite nanofibres

    NASA Astrophysics Data System (ADS)

    Varga, M.; Potocky, S.; Tesarek, P.; Babchenko, O.; Davydova, M.; Kromka, A.

    2014-09-01

    The potential uses of diamond films can be found in a diverse range of industrial applications. However, deposition of diamond films onto some foreign materials is still not a simple task. Here we present the growth of adherent diamond films on copper rods with the focus on substrate pre-treatment by polyvinyl alcohol composite nanofibres. The primary role of the polymer fibres substantially act as a carbon source which enhances the diamond nucleation and accelerates a homogenous CVD growth. Diamond growth was carried out in pulsed linear antenna microwave chemical vapour deposition system, which is characterized by cold plasma due to larger distance of hot plasma region from the substrate, at various gas compositions. The large distance between plasma source and the substrate holder also allows the uniform deposition of diamond on a large number of substrates with complex geometry (3D objects) as well as for the vertically positioned substrates. Moreover, the inhomogeneity in diamond film thickness deposited on vertically positioned substrates was suppressed by using polyvinyl alcohol nanofibre textile. Combination of PVA polymer fibres use together with this unique deposition system leads to a successful overcoating of the copper rods by continuous diamond film without the film cracking or delamination. We propose that the sequence of plasma-chemical reactions enhances the transformation of certain number of carbon atoms into the sp3-bonded form which further are stabilized by atomic hydrogen coming from plasma.

  2. Extended and Point Defects in Diamond Studied with the Aid of Various Forms of Microscopy.

    PubMed

    Steeds; Charles; Gilmore; Butler

    2000-07-01

    It is shown that star disclinations can be a significant source of stress in chemical vapor deposited (CVD) diamond. This purely geometrical origin contrasts with other sources of stress that have been proposed previously. The effectiveness is demonstrated of the use of electron irradiation using a transmission electron microscope (TEM) to displace atoms from their equilibrium sites to investigate intrinsic defects and impurities in CVD diamond. After irradiation, the samples are studied by low temperature photoluminescence microscopy using UV or blue laser illumination. Results are given that are interpreted as arising from isolated <100> split self-interstitials and positively charged single vacancies. Negatively charged single vacancies can also be revealed by this technique. Nitrogen and boron impurities may also be studied similarly. In addition, a newly developed liquid gallium source scanned ion beam mass spectrometry (SIMS) instrument has been used to map out the B distribution in B doped CVD diamond specimens. The results are supported by micro-Raman spectroscopy.

  3. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  4. Diamond Coatings

    NASA Technical Reports Server (NTRS)

    1990-01-01

    Advances in materials technology have demonstrated that it is possible to get the advantages of diamond in a number of applications without the cost penalty, by coating and chemically bonding an inexpensive substrate with a thin film of diamond-like carbon (DLC). Diamond films offer tremendous technical and economic potential in such advances as chemically inert protective coatings; machine tools and parts capable of resisting wear 10 times longer; ball bearings and metal cutting tools; a broad variety of optical instruments and systems; and consumer products. Among the American companies engaged in DLC commercialization is Diamonex, Inc., a diamond coating spinoff of Air Products and Chemicals, Inc. Along with its own proprietary technology for both polycrystalline diamond and DLC coatings, Diamonex is using, under an exclusive license, NASA technology for depositing DLC on a substrate. Diamonex is developing, and offering commercially, under the trade name Diamond Aegis, a line of polycrystalline diamond-coated products that can be custom tailored for optical, electronic and engineering applications. Diamonex's initial focus is on optical products and the first commercial product is expected in late 1990. Other target applications include electronic heat sink substrates, x-ray lithography masks, metal cutting tools and bearings.

  5. Performance characteristics of nanocrystalline diamond vacuum field emission transistor array

    NASA Astrophysics Data System (ADS)

    Hsu, S. H.; Kang, W. P.; Davidson, J. L.; Huang, J. H.; Kerns, D. V.

    2012-06-01

    Nitrogen-incorporated nanocrystalline diamond (ND) vacuum field emission transistor (VFET) with self-aligned gate is fabricated by mold transfer microfabrication technique in conjunction with chemical vapor deposition (CVD) of nanocrystalline diamond on emitter cavity patterned on silicon-on-insulator (SOI) substrate. The fabricated ND-VFET demonstrates gate-controlled emission current with good signal amplification characteristics. The dc characteristics of the ND-VFET show well-defined cutoff, linear, and saturation regions with low gate turn-on voltage, high anode current, negligible gate intercepted current, and large dc voltage gain. The ac performance of the ND-VFET is measured, and the experimental data are analyzed using a modified small signal circuit model. The experimental results obtained for the ac voltage gain are found to agree with the theoretical model. A higher ac voltage gain is attainable by using a better test setup to eliminate the associated parasitic capacitances. The paper reveals the amplifier characteristics of the ND-VFET for potential applications in vacuum microelectronics.

  6. Performance characteristics of nanocrystalline diamond vacuum field emission transistor array

    NASA Astrophysics Data System (ADS)

    Hsu, S. H.; Kang, W. P.; Davidson, J. L.; Huang, J. H.; Kerns, D. V.

    2012-05-01

    Nitrogen-incorporated nanocrystalline diamond (ND) vacuum field emission transistor (VFET) with self-aligned gate is fabricated by mold transfer microfabrication technique in conjunction with chemical vapor deposition (CVD) of nanocrystalline diamond on emitter cavity patterned on silicon-on-insulator (SOI) substrate. The fabricated ND-VFET demonstrates gate-controlled emission current with good signal amplification characteristics. The dc characteristics of the ND-VFET show well-defined cutoff, linear, and saturation regions with low gate turn-on voltage, high anode current, negligible gate intercepted current, and large dc voltage gain. The ac performance of the ND-VFET is measured, and the experimental data are analyzed using a modified small signal circuit model. The experimental results obtained for the ac voltage gain are found to agree with the theoretical model. A higher ac voltage gain is attainable by using a better test setup to eliminate the associated parasitic capacitances. The paper reveals the amplifier characteristics of the ND-VFET for potential applications in vacuum microelectronics.

  7. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  8. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  9. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    NASA Astrophysics Data System (ADS)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  10. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  11. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  12. Observation of twinning in diamond CVD films

    NASA Astrophysics Data System (ADS)

    Marciniak, W.; Fabisiak, K.; Orzeszko, S.; Rozploch, F.

    1992-10-01

    Diamond particles prepared by dc-glow-discharge enhanced HF-CVD hybrid method, from a mixture of acetone vapor and hydrogen gas have been examined by TEM, RHEED and dark field method of observation. Results suggest the presence of twinned diamond particles, which can be reconstructed by a sequence of twinning operations. Contrary to the 'stick model' of the lattice, very common five-fold symmetry of diamond microcrystals may be obtained by applying a number of edge dislocations rather than the continuous deformation of many tetrahedral C-C bonds.

  13. A beam radiation monitor based on CVD diamonds for SuperB

    NASA Astrophysics Data System (ADS)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  14. Nature and origin of interstellar diamond from the Allende CV3 meteorite

    NASA Technical Reports Server (NTRS)

    Blake, David; Freund, Friedemann; Bunch, Ted; Krishnan, Kannan; Stampfer, Mitch; Chang, Sherwood; Tielens, Alexander G. G. M.

    1990-01-01

    Data and experimental evidence which support the contention that the C delta diamonds may result from grain-grain collisions in supernova shocks in the interstellar medium are presented. Fragments of the Allende CV3 chondrite were acid-treated. A whitish powder was obtained. For the Analytical Electron Microscopy (AEM) a small drop of ethanol suspension was transferred onto holey carbon support films on 3 mm EM grids. The AEM was performed on transmission-thin fragments of the material which overlay holes in the film, to eliminate interference from the substrate. Electron Spectroscopy for Chemical Analysis (ESCA) was performed on a large aliquot of C. Diamond was identified by selected area electron diffraction. Scanning Transmission Electron Microscope / Energy Dispersive X-ray (STEM-EDS) microanalyses of the C delta diamond, using a light-element detector, show that oxygen and possibly nitrogen are the only impurities consistently present. ESCA spectra from bulk C delta material confirm the presence of N at a level of 0.35 percent or less. Under UV irradiation a yellow-red fluorescence is observed, consistent with that of natural diamonds containing substitutional N. Electron Energy Loss Spectra (EELS) were recorded at 2 eV resolution from the C delta diamond, high pressure synthetic diamond, a diamond film produced in a low pressure plasma by chemical vapor deposition (CVD) on a heated silicon substrate (Roy, 1987), graphite, and amorphous arc sputtered carbon. Comparison of the carbon K edge shape and fine structure shows the Allende C delta phase to be largely diamond, but with a significant pre-edge absorption feature indicative of transitions of C 1s electrons into pi asterisk orbitals which are absent in the purely sp(3)-bonded diamond but present in graphite and amorphous carbon.

  15. Highly oriented diamond films on Si: growth, characterization, and devices

    NASA Astrophysics Data System (ADS)

    Stoner, Brian R.; Malta, D. M.; Tessmer, A. J.; Holmes, J.; Dreifus, David L.; Glass, R. C.; Sowers, A.; Nemanich, Robert J.

    1994-04-01

    Highly oriented, (100) textured diamond films have been grown on single-crystal Si substrates via microwave plasma enhanced chemical vapor deposition. A multistep deposition process including bias-enhanced nucleation and textured growth was used to obtain smooth films consisting of epitaxial grains with only low-angle grain boundaries. Boron-doped layers were selectively deposited onto the surface of these oriented films and temperature-dependent Hall effect measurements indicated a 3 to 5 times improvement in hole mobility over polycrystalline films grown under similar conditions. Room temperature hole mobilities between 135 and 278 cm2/V-s were measured for the highly oriented samples as compared to 2 to 50 cm2/V-s for typical polycrystalline films. Grain size effects and a comparison between the transport properties of polycrystalline, highly oriented and homoepitaxial films will be discussed. Metal-oxide- semiconductor field-effect transistors were then fabricated on the highly oriented films and exhibited saturation and pinch-off of the channel current.

  16. Rapid Growth of Nanostructured Diamond Film on Silicon and Ti-6Al-4V Alloy Substrates.

    PubMed

    Samudrala, Gopi K; Vohra, Yogesh K; Walock, Michael J; Miles, Robin

    2014-01-13

    Nanostructured diamond (NSD) films were grown on silicon and Ti-6Al-4V alloy substrates by microwave plasma chemical vapor deposition (MPCVD). NSD Growth rates of 5 µm/h on silicon, and 4 µm/h on Ti-6Al-4V were achieved. In a chemistry of H₂/CH₄/N₂, varying ratios of CH₄/H₂ and N₂/CH₄ were employed in this research and their effect on the resulting diamond films were studied by X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. As a result of modifying the stock cooling stage of CVD system, we were able to utilize plasma with high power densities in our NSD growth experiments, enabling us to achieve high growth rates. Substrate temperature and N₂/CH₄ ratio have been found to be key factors in determining the diamond film quality. NSD films grown as part of this study were shown to contain 85% to 90% sp³ bonded carbon.

  17. Effect of nitrogen on the growth of boron doped single crystal diamond

    DOE PAGES

    Karna, Sunil; Vohra, Yogesh

    2013-11-18

    Boron-doped single crystal diamond films were grown homoepitaxially on synthetic (100) Type Ib diamond substrates using microwave plasma assisted chemical vapor deposition. A modification in surface morphology of the film with increasing boron concentration in the plasma has been observed using atomic force microscopy. Use of nitrogen during boron doping has been found to improve the surface morphology and the growth rate of films but it lowers the electrical conductivity of the film. The Raman spectra indicated a zone center optical phonon mode along with a few additional bands at the lower wavenumber regions. The change in the peak profilemore » of the zone center optical phonon mode and its downshift were observed with the increasing boron content in the film. Furthermore, sharpening and upshift of Raman line was observed in the film that was grown in presence of nitrogen along with diborane in process gas.« less

  18. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    NASA Astrophysics Data System (ADS)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  19. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  20. Ohmic contacts to semiconducting diamond

    NASA Astrophysics Data System (ADS)

    Zeidler, James R.; Taylor, M. J.; Zeisse, Carl R.; Hewett, C. A.; Delahoussaye, Paul R.

    1990-10-01

    Work was carried out to improve the electron beam evaporation system in order to achieve better deposited films. The basic system is an ion pumped vacuum chamber, with a three-hearth, single-gun e-beam evaporator. Four improvements were made to the system. The system was thoroughly cleaned and new ion pump elements, an e-gun beam adjust unit, and a more accurate crystal monitor were installed. The system now has a base pressure of 3 X 10(exp -9) Torr, and can easily deposit high-melting-temperature metals such as Ta with an accurately controlled thickness. Improved shadow masks were also fabricated for better alignment and control of corner contacts for electrical transport measurements. Appendices include: A Thermally Activated Solid State Reaction Process for Fabricating Ohmic Contacts to Semiconducting Diamond; Tantalum Ohmic Contacts to Diamond by a Solid State Reaction Process; Metallization of Semiconducting Diamond: Mo, Mo/Au, and Mo/Ni/Au; Specific Contact Resistance Measurements of Ohmic Contracts to Diamond; and Electrical Activation of Boron Implanted into Diamond.

  1. Grain boundary dominated electrical conductivity in ultrananocrystalline diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wiora, Neda; Mertens, Michael; Bruhne, Kai

    Here, N-type electrically conductive ultrananocrystalline diamond (UNCD) films were deposited using the hot filament chemical vapor deposition technique with a gas mixture of H 2, CH 4 and NH 3. Depending on the deposition temperature and ammonia feed gas concentration, which serves as a nitrogen source, room temperature electrical conductivities in the order of 10 –2 to 5 × 10 1S/cm and activation energies in the meV range were achieved. In order to understand the origin of the enhanced electrical conductivity and clarify the role of ammonia addition to the process gas, a set of UNCD films was grown bymore » systematically varying the ammonia gas phase concentration. These samples were analyzed with respect to their morphology and electrical properties as well as their carbon and nitrogen bonding environments. Temperature dependent electrical conductivity measurements (300–1200 K) show that the electrical conductivity of the samples increases with temperature. The near edge x-ray absorption fine structure measurements reveal that the electrical conductivity of the UNCD films does not correlate directly with ammonia addition, but depends on the total amount of sp2 bonded carbon in the deposited films.« less

  2. Grain boundary dominated electrical conductivity in ultrananocrystalline diamond

    NASA Astrophysics Data System (ADS)

    Wiora, Neda; Mertens, Michael; Brühne, Kai; Fecht, Hans-Jörg; Tran, Ich C.; Willey, Trevor; van Buuren, Anthony; Biener, Jürgen; Lee, Jun-Sik

    2017-10-01

    N-type electrically conductive ultrananocrystalline diamond (UNCD) films were deposited using the hot filament chemical vapor deposition technique with a gas mixture of H2, CH4 and NH3. Depending on the deposition temperature and ammonia feed gas concentration, which serves as a nitrogen source, room temperature electrical conductivities in the order of 10-2 to 5 × 101 S/cm and activation energies in the meV range were achieved. In order to understand the origin of the enhanced electrical conductivity and clarify the role of ammonia addition to the process gas, a set of UNCD films was grown by systematically varying the ammonia gas phase concentration. These samples were analyzed with respect to their morphology and electrical properties as well as their carbon and nitrogen bonding environments. Temperature dependent electrical conductivity measurements (300-1200 K) show that the electrical conductivity of the samples increases with temperature. The near edge x-ray absorption fine structure measurements reveal that the electrical conductivity of the UNCD films does not correlate directly with ammonia addition, but depends on the total amount of sp2 bonded carbon in the deposited films.

  3. Grain boundary dominated electrical conductivity in ultrananocrystalline diamond

    DOE PAGES

    Wiora, Neda; Mertens, Michael; Bruhne, Kai; ...

    2017-10-09

    Here, N-type electrically conductive ultrananocrystalline diamond (UNCD) films were deposited using the hot filament chemical vapor deposition technique with a gas mixture of H 2, CH 4 and NH 3. Depending on the deposition temperature and ammonia feed gas concentration, which serves as a nitrogen source, room temperature electrical conductivities in the order of 10 –2 to 5 × 10 1S/cm and activation energies in the meV range were achieved. In order to understand the origin of the enhanced electrical conductivity and clarify the role of ammonia addition to the process gas, a set of UNCD films was grown bymore » systematically varying the ammonia gas phase concentration. These samples were analyzed with respect to their morphology and electrical properties as well as their carbon and nitrogen bonding environments. Temperature dependent electrical conductivity measurements (300–1200 K) show that the electrical conductivity of the samples increases with temperature. The near edge x-ray absorption fine structure measurements reveal that the electrical conductivity of the UNCD films does not correlate directly with ammonia addition, but depends on the total amount of sp2 bonded carbon in the deposited films.« less

  4. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Pixelated transmission-mode diamond X-ray detector.

    PubMed

    Zhou, Tianyi; Ding, Wenxiang; Gaowei, Mengjia; De Geronimo, Gianluigi; Bohon, Jen; Smedley, John; Muller, Erik

    2015-11-01

    Fabrication and testing of a prototype transmission-mode pixelated diamond X-ray detector (pitch size 60-100 µm), designed to simultaneously measure the flux, position and morphology of an X-ray beam in real time, are described. The pixel density is achieved by lithographically patterning vertical stripes on the front and horizontal stripes on the back of an electronic-grade chemical vapor deposition single-crystal diamond. The bias is rotated through the back horizontal stripes and the current is read out on the front vertical stripes at a rate of ∼ 1 kHz, which leads to an image sampling rate of ∼ 30 Hz. This novel signal readout scheme was tested at beamline X28C at the National Synchrotron Light Source (white beam, 5-15 keV) and at beamline G3 at the Cornell High Energy Synchrotron Source (monochromatic beam, 11.3 keV) with incident beam flux ranges from 1.8 × 10(-2) to 90 W mm(-2). Test results show that the novel detector provides precise beam position (positional noise within 1%) and morphology information (error within 2%), with an additional software-controlled single channel mode providing accurate flux measurement (fluctuation within 1%).

  6. Bactericidal activity of biomimetic diamond nanocone surfaces.

    PubMed

    Fisher, Leanne E; Yang, Yang; Yuen, Muk-Fung; Zhang, Wenjun; Nobbs, Angela H; Su, Bo

    2016-03-17

    The formation of biofilms on implant surfaces and the subsequent development of medical device-associated infections are difficult to resolve and can cause considerable morbidity to the patient. Over the past decade, there has been growing recognition that physical cues, such as surface topography, can regulate biological responses and possess bactericidal activity. In this study, diamond nanocone-patterned surfaces, representing biomimetic analogs of the naturally bactericidal cicada fly wing, were fabricated using microwave plasma chemical vapor deposition, followed by bias-assisted reactive ion etching. Two structurally distinct nanocone surfaces were produced, characterized, and the bactericidal ability examined. The sharp diamond nanocone features were found to have bactericidal capabilities with the surface possessing the more varying cone dimension, nonuniform array, and decreased density, showing enhanced bactericidal ability over the more uniform, highly dense nanocone surface. Future research will focus on using the fabrication process to tailor surface nanotopographies on clinically relevant materials that promote both effective killing of a broader range of microorganisms and the desired mammalian cell response. This study serves to introduce a technology that may launch a new and innovative direction in the design of biomaterials with capacity to reduce the risk of medical device-associated infections.

  7. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  8. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  9. Structural and electrical properties of conducting diamond nanowires.

    PubMed

    Sankaran, Kamatchi Jothiramalingam; Lin, Yen-Fu; Jian, Wen-Bin; Chen, Huang-Chin; Panda, Kalpataru; Sundaravel, Balakrishnan; Dong, Chung-Li; Tai, Nyan-Hwa; Lin, I-Nan

    2013-02-01

    Conducting diamond nanowires (DNWs) films have been synthesized by N₂-based microwave plasma enhanced chemical vapor deposition. The incorporation of nitrogen into DNWs films is examined by C 1s X-ray photoemission spectroscopy and morphology of DNWs is discerned using field-emission scanning electron microscopy and transmission electron microscopy (TEM). The electron diffraction pattern, the visible-Raman spectroscopy, and the near-edge X-ray absorption fine structure spectroscopy display the coexistence of sp³ diamond and sp² graphitic phases in DNWs films. In addition, the microstructure investigation, carried out by high-resolution TEM with Fourier transformed pattern, indicates diamond grains and graphitic grain boundaries on surface of DNWs. The same result is confirmed by scanning tunneling microscopy and scanning tunneling spectroscopy (STS). Furthermore, the STS spectra of current-voltage curves discover a high tunneling current at the position near the graphitic grain boundaries. These highly conducting regimes of grain boundaries form effective electron paths and its transport mechanism is explained by the three-dimensional (3D) Mott's variable range hopping in a wide temperature from 300 to 20 K. Interestingly, this specific feature of high conducting grain boundaries of DNWs demonstrates a high efficiency in field emission and pave a way to the next generation of high-definition flat panel displays or plasma devices.

  10. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  11. Characterization of CVD micrometer-size diamond (abstract)

    NASA Astrophysics Data System (ADS)

    Ohsumi, K.; Hagiya, K.; Miyamoto, M.; Matsuda, J.; Ohmasa, M.

    1989-07-01

    formed from carbonaceous materials by impact shock or directly formed from vapor. Recent discovery of vapor-growth diamonds in carbonaceous chondrites has generated a renewed interest in the origin of ureilite diamonds. Two types of micrometer-size diamonds were prepared. One of them was grown under low pressure by chemical vapor deposition (CVD) from gaseous mixtures of H2 and CH4, and another was synthesized by shock effect (kindly offered by Nippon Oil & Fats Co., Ltd.) The micro-Laue method was applied to them in order to get information about their microstructures. Two characteristics are recognized in profiles of reflections themselves and in whole patterns of the Laue photographs. The reflections of CVD diamonds are elongated but symmetric in their profiles and are distributed regularly as they are indexed by the diamond lattice, while those of shock effect are also elongated and asymmetric, and are distributed at random as they cannot be indexed. The characteristics observed by the method may be useful to ascribe the origin to CVD or shock effect.

  12. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.

    PubMed

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-02-07

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different

  13. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites

    PubMed Central

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-01-01

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiCp/Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiCp/Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiCp/Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiCp/Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different workpiece

  14. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  15. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  16. Surface Design and Engineering Toward Wear-Resistant, Self-Lubricating Diamond Films and Coatings

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    The tribological properties of chemical-vapor-deposited (CVD) diamond films vary with the environment, possessing a Jekyll-and-Hyde character. CVD diamond has low coefficient of friction and high wear resistance in air but high coefficient of friction and low wear resistance in vacuum. Improving the tribological functionality of materials (such as achieving low friction and good wear resistance) was an aim of this investigation. Three studies on the surface design, surface engineering, and tribology of CVD diamond have shown that its friction and wear are significantly reduced in ultrahigh vacuum. The main criteria for judging whether diamond films are an effective wear-resistant, self-lubricating material were coefficient of friction and wear rate, which must be less than 0.1 and on the order of 10(exp 6) cu mm/N(dot)m, respectively. In the first study the presence of a thin film (less than 1 micron thick) of amorphous, nondiamond carbon (hydrogenated carbon, also called diamondlike carbon or DLC) on CVD diamond greatly decreased the coefficient of friction and the wear rate. Therefore, a thin DLC film on CVD diamond can be an effective wear-resistant, lubricating coating in ultrahigh vacuum. In the second study the presence of an amorphous, nondiamond carbon surface layer formed on CVD diamond by ion implantation significantly reduced the coefficient of friction and the wear rate in ultrahigh vacuum. Therefore, such surface layers are acceptable for effective self-lubricating, wear-resistant applications of CVD diamond. In the third study CVD diamond in contact with cubic boron nitride exhibited low coefficient of friction in ultra high vacuum. Therefore, this materials combination can provide an effective self-lubricating, wear-resistant couple in ultrahigh vacuum.

  17. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  18. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  19. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  20. PLD deposition of tungsten carbide contact for diamond photodiodes. Influence of process conditions on electronic and chemical aspects

    NASA Astrophysics Data System (ADS)

    Cappelli, E.; Bellucci, A.; Orlando, S.; Trucchi, D. M.; Mezzi, A.; Valentini, V.

    2013-08-01

    Tungsten carbide, WC, contacts behave as very reliable Schottky contacts for opto-electronic diamond devices. Diamond is characterized by superior properties in high-power, high frequency and high-temperature applications, provided that thermally stable electrode contacts will be realized. Ohmic contacts can be easily achieved by using carbide-forming metals, while is difficult to get stable Schottky contacts at elevated temperatures, due to the interface reaction and/or inter-diffusion between metals and diamond. Novel type of contacts, made of tungsten carbide, WC, seem to be the best solution, for their excellent thermal stability, high melting point, oxidation and radiation resistance and good electrical conductivity. Our research was aimed at using pulsed laser deposition for WC thin film deposition, optimizing experimental parameters, to obtain a final device characterized by excellent electronic properties, as a detector for radiation in deep UV or as X-ray dosimeter. We deposited our films by laser ablation from a target of pure WC, using different reaction conditions (i.e., substrate heating, vacuum or reactive atmosphere (CH4/Ar), RF plasma activated), to optimize both the stoichiometry of the film and its structure. Trying to obtain a material with the best electronic response, we used also two sources of laser radiation for target ablation, i.e., nano-second pulsed excimer laser ArF, and ultra-short fs Ti:Sapphire laser. The structure and chemical aspects have been evaluated by Raman and X-ray photoelectron spectroscopy (XPS), while the dosimeter photodiode response has been tested by the I-V measurements, under soft X-ray irradiation.

  1. Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2017-01-01

    Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.

  2. Effect of diamond-like carbon thin film coated acrylic resin on candida albicans biofilm formation.

    PubMed

    Queiroz, José Renato Cavalcanti; Fissmer, Sara Fernanda; Koga-Ito, Cristiane Yumi; Salvia, Ana C R D; Massi, Marcos; Sobrinho, Argermiro Soares da Silva; Júnior, Lafayette Nogueira

    2013-08-01

    The purpose of this study was to evaluate the effect of diamond-like carbon thin films doped and undoped with silver nanoparticles coating poly(methyl methacrylate) (PMMA) on Candida albicans biofilm formation. The control of biofilm formation is important to prevent oral diseases in denture users. Forty-five PMMA disks were obtained, finished, cleaned in an ultrasonic bath, and divided into three groups: Gc, no surface coating (control group); Gdlc, coated with diamond-like carbon film; and Gag, coated with diamond-like carbon film doped with silver nanoparticles. The films were deposited using a reactive magnetron sputtering system (physical vapor deposition process). The specimens were characterized by optical profilometry, atomic force microscopy, and Rutherford backscattering spectroscopy analyses that determined differences in chemical composition and morphological structure. Following sterilization of the specimens by γ-ray irradiation, C. albicans (ATCC 18804) biofilms were formed by immersion in 2 ml of Sabouraud dextrose broth inoculated with a standardized fungal suspension. After 24 hours, the number of colony forming units (cfu) per specimen was counted. Data concerning biofilm formation were analyzed using ANOVA and the Tukey test (p < 0.05). C. albicans biofilm formation was significantly influenced by the films (p < 0.00001), reducing the number of cfu, while not affecting the roughness parameters (p > 0.05). The Tukey test showed no significant difference between Gdlc and Gag. Films deposited were extremely thin (∼50 nm). The silver particles presented a diameter between 60 and 120 nm and regular distribution throughout the film surface (to Gag). Diamond-like carbon films, doped or undoped with silver nanoparticles, coating the base of PMMA-based dentures could be an alternative procedure for preventing candidosis in denture users. © 2013 by the American College of Prosthodontists.

  3. Porous boron doped diamonds as metal-free catalysts for the oxygen reduction reaction in alkaline solution

    NASA Astrophysics Data System (ADS)

    Suo, Ni; Huang, Hao; Wu, Aimin; Cao, Guozhong; Hou, Xiaoduo; Zhang, Guifeng

    2018-05-01

    Porous boron doped diamonds (BDDs) were obtained on foam nickel substrates with a porosity of 80%, 85%, 90% and 95% respectively by hot filament chemical vapor deposition (HFCVD) technology. Scanning electron microscopy (SEM) reveals that uniform and compact BDDs with a cauliflower-like morphology have covered the overall frame of the foam nickel substrates. Raman spectroscopy shows that the BDDs have a poor crystallinity due to heavily doping boron. X-ray photoelectron spectroscopy (XPS) analysis effectively demonstrates that boron atoms can be successfully incorporated into the crystal lattice of diamonds. Electrochemical measurements indicate that the oxygen reduction potential is unaffected by the specific surface area (SSA), and both the onset potential and the limiting diffusion current density are enhanced with increasing SSA. It is also found that the durability and methanol tolerance of the boron doped diamond catalysts are attenuated as the increasing of SSA. The SSA of the catalyst is directly proportional to the oxygen reduction activity and inversely to the durability and methanol resistance. These results provide a reference to the application of porous boron doped diamonds as potential cathodic catalysts for the oxygen reduction reaction in alkaline solution by adjusting the SSA.

  4. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  5. Atomic composition and electrical characteristics of epitaxial CVD diamond layers doped with boron

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surovegina, E. A., E-mail: suroveginaka@ipmras.ru; Demidov, E. V.; Drozdov, M. N.

    2016-12-15

    The results of analysis of the atomic composition, doping level, and hole mobility in epitaxial diamond layers when doped with boron are reported. The layers are produced by chemical-vapor deposition. The possibilities of uniform doping with boron to a level in the range 5 × 10{sup 17} to ~10{sup 20} at cm{sup –3} and of δ doping to the surface concentration (0.3–5) × 10{sup 13} at cm{sup –3} are shown. The conditions for precision ion etching of the structures are determined, and barrier and ohmic contacts to the layers are formed.

  6. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  7. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  8. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  9. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  10. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  11. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  12. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  13. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  14. Thermodynamic approach to the paradox of diamond formation with simultaneous graphite etching in the low pressure synthesis of diamond

    NASA Astrophysics Data System (ADS)

    Hwang, Nong M.; Yoon, Duk Y.

    1996-03-01

    In spite of the critical handicap from the thermodynamic point of view, the atomic hydrogen hypothesis is strongly supported by experimental observations of diamond deposition with simultaneous graphite etching. Thermodynamic analysis of the CH system showed that at ˜ 1500 K, carbon solubility in the gas phase is minimal and thus, the equilibrium fraction of solid carbon is maximal. Depending on whether gas phase nucleation takes place or not, the driving force is for deposition or for etching of solid carbon below ˜ 1500 K for the input gas of the typical mixture of 1% CH 499% H 2. The previous observation of etching of the graphite substrate is not expected unless solid carbon precipitated in the gas phase. By rigorous thermodynamic analysis of the previous experimental observations of diamond deposition with simultaneous graphite etching, we suggested that the previous implicit assumption that diamond deposits by an atomic unit should be the weakest point leading to the thermodynamic paradox. The experimental observations could be successfully explained without violating thermodynamics by assuming that the diamond phase had nucleated in the gas phase as fine clusters.

  15. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  16. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  17. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  18. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  19. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  20. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  1. Complete Quantum Control of a Single Silicon-Vacancy Center in a Diamond Nanopillar

    NASA Astrophysics Data System (ADS)

    Zhang, Jingyuan Linda; Lagoudakis, Konstantinos G.; Tzeng, Yan-Kai; Dory, Constantin; Radulaski, Marina; Kelaita, Yousif; Shen, Zhi-Xun; Melosh, Nicholas A.; Chu, Steven; Vuckovic, Jelena

    Coherent quantum control of a quantum bit (qubit) is an important step towards its use in a quantum network. SiV- center in diamond offers excellent physical qualities such as low inhomogeneous broadening, fast photon emission, and a large Debye-Waller factor, while the fast spin manipulation and techniques to extend the spin coherence time are under active investigation. Here, we demonstrate full coherent control over the state of a single SiV- center in a diamond nanopillar using ultrafast optical pulses. The high quality of the chemical vapor deposition grown SiV- centers allows us to coherently manipulate and quasi-resonantly read out the state of the single SiV- center. Moreover, the SiV- centers being coherently controlled are integrated into diamond nanopillar arrays in a site-controlled, individually addressable manner with high yield, low strain, and high spectral stability, which paves the way for scalable on chip optically accessible quantum system in a quantum photonic network. Financial support is provided by the DOE Office of Basic Energy Sciences, Division of Materials Sciences through Stanford Institute for Materials and Energy Sciences (SIMES) under contract DE-AC02-76SF00515.

  2. Thick homoepitaxial (110)-oriented phosphorus-doped n-type diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balasubramaniam, Y.; Pobedinskas, P., E-mail: paulius.pobedinskas@uhasselt.be; Janssens, S. D.

    2016-08-08

    The fabrication of n-type diamond is essential for the realization of electronic components for extreme environments. We report on the growth of a 66 μm thick homoepitaxial phosphorus-doped diamond on a (110)-oriented diamond substrate, grown at a very high deposition rate of 33 μm h{sup −1}. A pristine diamond lattice is observed by high resolution transmission electron microscopy, which indicates the growth of high quality diamond. About 2.9 × 10{sup 16} cm{sup −3} phosphorus atoms are electrically active as substitutional donors, which is 60% of all incorporated dopant atoms. These results indicate that P-doped (110)-oriented diamond films deposited at high growth rates are promising candidates formore » future use in high-power electronic applications.« less

  3. Synergism between low-energy neutral particles and energetic ions in the pulsed glow discharge deposition of diamond-like carbon films

    NASA Astrophysics Data System (ADS)

    Afanasyev-Charkin, I. V.; Nastasi, M.

    2004-08-01

    Diamond-like carbon films were deposited using pulsed glow discharge deposition at 4kV. The duty factor was varied and all other parameters were kept constant. It was shown that the contribution of neutral particles to the total number of deposition atoms is much larger than that of energetic ions. At the same time, there is a relationship between the deposition of neutral particles and ion bombardment. The sticking coefficient of the neutral particles in proportional to the flux of energetic ions and does not exceed 5×10-4 for the deposition parameters used in our experiment.

  4. Amorphous diamond films

    DOEpatents

    Falabella, S.

    1998-06-09

    Amorphous diamond films having a significant reduction in intrinsic stress are prepared by biasing a substrate to be coated and depositing carbon ions thereon under controlled temperature conditions. 1 fig.

  5. Effects of Surface Treatments on Secondary Electron Emission from CVD Diamond Films

    NASA Technical Reports Server (NTRS)

    Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Zorman, Christian; Wang, Yaxin; Lamouri, A.

    1995-01-01

    Secondary electron emission (SEE) properties of polycrystalline diamond films grown by chemical vapor deposition (CVD) were measured. The total secondary yield (sigma) from as-grown samples was observed to be as high as 20 at room temperature and 48 while heating at 700 K in vacuum. Electron-beam-activated, alkali-terminated diamond films have shown stable values of sigma as high as 60 when coated with CsI and similarly high values when coated with other alkali halides. Diamond coated with BaF2 had a stable sigma of 6, but no enhancement of the SEE properties was observed with coatings of Ti or Au. Hydrogen was identified to give rise to this effect in as-grown films. However, electron beam exposure led to a reduction in sigma values as low as 2. Exposure to a molecular hydrogen environment restored sigma to its original value after degradation, and enabled stable secondary emission during electron beam exposure. Atomic hydrogen and hydrogen plasma treatments were performed on diamond/Mo samples in an attempt to increase the near-surface hydrogen concentration which might lead to increased stability in the secondary emission. Raman scattering analysis, scanning electron microscopy, and Auger electron spectroscopy (AES) confirmed that hydrogen plasma and atomic hydrogen treatments improved the quality of the CVD diamond significantly. Elastic recoil detection (ERD) showed that heating as-grown diamond targets to 7OO K, which was correlated with an increase in sigma, removed contaminants from the surface but did not drive hydrogen from the diamond bulk. ERD showed that the hydrogen plasma treatment produced an increase in the hydrogen concentration in the near-surface region which did not decrease while heating in vacuum at 700 K, but no improvement in the SEE properties was observed.

  6. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  7. Rapid Growth of Nanostructured Diamond Film on Silicon and Ti–6Al–4V Alloy Substrates

    PubMed Central

    Samudrala, Gopi K.; Vohra, Yogesh K.; Walock, Michael J.; Miles, Robin

    2014-01-01

    Nanostructured diamond (NSD) films were grown on silicon and Ti–6Al–4V alloy substrates by microwave plasma chemical vapor deposition (MPCVD). NSD Growth rates of 5 μm/h on silicon, and 4 μm/h on Ti–6Al–4V were achieved. In a chemistry of H2/CH4/N2, varying ratios of CH4/H2 and N2/CH4 were employed in this research and their effect on the resulting diamond films were studied by X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. As a result of modifying the stock cooling stage of CVD system, we were able to utilize plasma with high power densities in our NSD growth experiments, enabling us to achieve high growth rates. Substrate temperature and N2/CH4 ratio have been found to be key factors in determining the diamond film quality. NSD films grown as part of this study were shown to contain 85% to 90% sp3 bonded carbon. PMID:28788461

  8. Characterization of Diamond-like Carbon (DLC) films deposited by RF ICP PECVD method

    NASA Astrophysics Data System (ADS)

    Oleszkiewicz, Waldemar; Kijaszek, Wojciech; Gryglewicz, Jacek; Zakrzewski, Adrian; Gajewski, Krzysztof; Kopiec, Daniel; Kamyczek, Paulina; Popko, Ewa; Tłaczała, Marek

    2013-07-01

    The work presents the results of a research carried out with Plasmalab Plus 100 system, manufactured by Oxford Instruments Company. The system was configured for deposition of diamond-like carbon films by ICP PECVD method. The deposition processes were carried out in CH4 or CH4/H2 atmosphere and the state of the plasma was investigated by the OES method. The RF plasma was capacitively coupled by 13.56 MHz generator with supporting ICP generator (13.56 Mhz). The deposition processes were conducted in constant value of RF generator's power and resultant value of the DC Bias. The power values of RF generator was set at 70 W and the power values of ICP generator was set at 300 W. In this work we focus on the influence of DLC film's thickness on optical, electrical and structural properties of the deposited DLC films. The quality of deposited DLC layers was examined by the Raman spectroscopy, AFM microscopy and spectroscopic ellipsometry. In the investigated DLC films the calculated sp3 content was ranging from 60 % to 70 %. The films were characterized by the refractive index ranging from 2.03 to 2.1 and extinction coefficient ranging from 0.09 to 0.12.

  9. Pixelated transmission-mode diamond X-ray detector

    PubMed Central

    Zhou, Tianyi; Ding, Wenxiang; Gaowei, Mengjia; De Geronimo, Gianluigi; Bohon, Jen; Smedley, John; Muller, Erik

    2015-01-01

    Fabrication and testing of a prototype transmission-mode pixelated diamond X-ray detector (pitch size 60–100 µm), designed to simultaneously measure the flux, position and morphology of an X-ray beam in real time, are described. The pixel density is achieved by lithographically patterning vertical stripes on the front and horizontal stripes on the back of an electronic-grade chemical vapor deposition single-crystal diamond. The bias is rotated through the back horizontal stripes and the current is read out on the front vertical stripes at a rate of ∼1 kHz, which leads to an image sampling rate of ∼30 Hz. This novel signal readout scheme was tested at beamline X28C at the National Synchrotron Light Source (white beam, 5–15 keV) and at beamline G3 at the Cornell High Energy Synchrotron Source (monochromatic beam, 11.3 keV) with incident beam flux ranges from 1.8 × 10−2 to 90 W mm−2. Test results show that the novel detector provides precise beam position (positional noise within 1%) and morphology information (error within 2%), with an additional software-controlled single channel mode providing accurate flux measurement (fluctuation within 1%). PMID:26524304

  10. Diamond detectors for the TOTEM timing upgrade

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antchev, G.; Aspell, P.; Atanassov, I.

    This paper describes the design and the performance of the timing detector developed by the TOTEM Collaboration for the Roman Pots (RPs) to measure the Time-Of-Flight (TOF) of the protons produced in central diffractive interactions at the LHC . The measurement of the TOF of the protons allows the determination of the longitudinal position of the proton interaction vertex and its association with one of the vertices reconstructed by the CMS detectors. The TOF detector is based on single crystal Chemical Vapor Deposition (scCVD) diamond plates and is designed to measure the protons TOF with about 50 ps time precision.more » This upgrade to the TOTEM apparatus will be used in the LHC run 2 and will tag the central diffractive events up to an interaction pileup of about 1. A dedicated fast and low noise electronics for the signal amplification has been developed. The digitization of the diamond signal is performed by sampling the waveform. In conclusion, after introducing the physics studies that will most profit from the addition of these new detectors, we discuss in detail the optimization and the performance of the first TOF detector installed in the LHC in November 2015.« less

  11. Diamond detectors for the TOTEM timing upgrade

    DOE PAGES

    Antchev, G.; Aspell, P.; Atanassov, I.; ...

    2017-03-09

    This paper describes the design and the performance of the timing detector developed by the TOTEM Collaboration for the Roman Pots (RPs) to measure the Time-Of-Flight (TOF) of the protons produced in central diffractive interactions at the LHC . The measurement of the TOF of the protons allows the determination of the longitudinal position of the proton interaction vertex and its association with one of the vertices reconstructed by the CMS detectors. The TOF detector is based on single crystal Chemical Vapor Deposition (scCVD) diamond plates and is designed to measure the protons TOF with about 50 ps time precision.more » This upgrade to the TOTEM apparatus will be used in the LHC run 2 and will tag the central diffractive events up to an interaction pileup of about 1. A dedicated fast and low noise electronics for the signal amplification has been developed. The digitization of the diamond signal is performed by sampling the waveform. In conclusion, after introducing the physics studies that will most profit from the addition of these new detectors, we discuss in detail the optimization and the performance of the first TOF detector installed in the LHC in November 2015.« less

  12. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  13. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  14. Controlled in situ boron doping of diamond thin films using solution phase

    NASA Astrophysics Data System (ADS)

    Roy, M.; Dua, A. K.; Nuwad, J.; Girija, K. G.; Tyagi, A. K.; Kulshreshtha, S. K.

    2006-12-01

    Controlled boron doping of diamond film using nontoxic reagents is a challenge in itself. During the present study, attempts have been made to dope diamond films in situ with boron from a solution of boric acid (H3BO3) in methanol (CH3OH) using a specially designed bubbler that ensured continuous and controlled flow of vapors of boron precursors during deposition. The samples are thoroughly characterized using a host of techniques comprising of x-ray photoelectron spectroscopy, Raman, x-ray diffraction, and current-voltage measurements (I-V). Cross-sectional micro-Raman spectroscopy has been used to obtain depth profile of boron in diamond films. Boron concentration ([B]) in the films is found to vary linearly on a semilog scale with molarity (M) of H3BO3 in CH3OH. Lattice constant of our samples is smaller than the reported American society for testing and materials (ASTM) values due to oxygen incorporation and it increases with [B] in the diamond samples. Heavily boron doped samples exhibit Fano deformation of the Raman line shape and negative and/zero activation barrier in temperature dependent I-V measurements that indicate the formation of metallic phase in the samples. The present study illustrates the feasibility of safe and controlled boron doping of diamond films using a solution of H3BO3 in CH3OH over a significant range of [B] from semiconductor to metallic regime but with a little adverse effect due to unintentional but unavoidable incorporation of oxygen.

  15. Characterization of diamond thin films and related materials

    NASA Astrophysics Data System (ADS)

    McKindra, Travis Kyle

    Thin carbon films including sputtered deposited graphite and CO 2 laser-assisted combustion-flame deposited graphite and diamond thin films were characterized using optical and electron microscopy, X-ray diffraction and micro-Raman spectroscopy. Amorphous carbon thin films were deposited by DC magnetron sputtering using Ar/O2 gases. The film morphology changed with the oxygen content. The deposition rate decreased as the amount of oxygen increased due to oxygen reacting with the growing film. The use of oxygen in the working gas enhanced the crystalline nature of the films. Graphite was deposited on WC substrates by a CO2 laser-assisted O2/C2H2 combustion-flame method. Two distinct microstructural areas were observed; an inner core of dense material surrounded by an outer shell of lamellar-like material. The deposits were crystalline regardless of the laser power and deposition times of a few minutes. Diamond films were deposited by a CO2 laser-assisted O 2/C2H2/C2H4 combustion-flame method with the laser focused parallel to the substrate surface. The laser enhanced diamond growth was most pronounced when deposited with a 10.532 microm CO2 laser wavelength tuned to the CH2-wagging vibrational mode of the C2H4 molecule. Nucleation of diamond thin films deposited with and without using a CO 2 laser-assisted combustion-flame process was investigated. With no laser there was nucleation of a sub-layer of grains followed by irregular grain growth. An untuned laser wavelength yielded nucleation of a sub-layer then columnar grain growth. The 10.532 microm tuned laser wavelength caused growth of columnar grains.

  16. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  17. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  18. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  19. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  20. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  1. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    PubMed

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  2. Micro-strip sensors based on CVD diamond

    NASA Astrophysics Data System (ADS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Alluvial diamond resource potential and production capacity assessment of Mali

    USGS Publications Warehouse

    Chirico, Peter G.; Barthelemy, Francis; Kone, Fatiaga

    2010-01-01

    In May of 2000, a meeting was convened in Kimberley, South Africa, and attended by representatives of the diamond industry and leaders of African governments to develop a certification process intended to assure that rough, exported diamonds were free of conflictual concerns. This meeting was supported later in 2000 by the United Nations in a resolution adopted by the General Assembly. By 2002, the Kimberley Process Certification Scheme (KPCS) was ratified and signed by diamond-producing and diamond-importing countries. Over 70 countries were included as members of the KPCS at the end of 2007. To prevent trade in "conflict diamonds" while protecting legitimate trade, the KPCS requires that each country set up an internal system of controls to prevent conflict diamonds from entering any imported or exported shipments of rough diamonds. Every diamond or diamond shipment must be accompanied by a Kimberley Process (KP) certificate and be contained in tamper-proof packaging. The objective of this study was (1) to assess the naturally occurring endowment of diamonds in Mali (potential resources) based on geological evidence, previous studies, and recent field data and (2) to assess the diamond-production capacity and measure the intensity of mining activity. Several possible methods can be used to estimate the potential diamond resource. However, because there is generally a lack of sufficient and consistent data recording all diamond mining in Mali and because time to conduct fieldwork and accessibility to the diamond mining areas are limited, four different methodologies were used: the cylindrical calculation of the primary kimberlitic deposits, the surface area methodology, the volume and grade approach, and the content per kilometer approach. Approximately 700,000 carats are estimated to be in the alluvial deposits of the Kenieba region, with 540,000 carats calculated to lie within the concentration grade deposits. Additionally, 580,000 carats are estimated to have

  4. Diamond Heat-Spreader for Submillimeter-Wave Frequency Multipliers

    NASA Technical Reports Server (NTRS)

    Lin, Robert H.; Schlecht, Erich T.; Chattopadhyay, Goutam; Gill, John J.; Mehdi, Imran; Siegel, Peter H.; Ward, John S.; Lee, Choonsup; Thomas, Bertrand C.; Maestrini, Alain

    2010-01-01

    The planar GaAs Shottky diode frequency multiplier is a critical technology for the local oscillator (LO) for submillimeter- wave heterodyne receivers due to low mass, tenability, long lifetime, and room-temperature operation. The use of a W-band (75-100 GHz) power amplifier followed by a frequency multiplier is the most common for submillimeter-wave sources. Its greatest challenge is to provide enough input power to the LO for instruments onboard future planetary missions. Recently, JPL produced 800 mW at 92.5 GHz by combining four MMICs in parallel in a balanced configuration. As more power at W-band is available to the multipliers, their power-handling capability be comes more important. High operating temperatures can lead to degradation of conversion efficiency or catastrophic failure. The goal of this innovation is to reduce the thermal resistance by attaching diamond film as a heat-spreader on the backside of multipliers to improve their power-handling capability. Polycrystalline diamond is deposited by hot-filament chemical vapor deposition (CVD). This diamond film acts as a heat-spreader to both the existing 250- and 300-GHz triplers, and has a high thermal conductivity (1,000-1,200 W/mK). It is approximately 2.5 times greater than copper (401 W/mK) and 20 times greater than GaAs (46 W/mK). It is an electrical insulator (resistivity approx. equals 10(exp 15) Ohms-cm), and has a low relative dielectric constant of 5.7. Diamond heat-spreaders reduce by at least 200 C at 250 mW of input power, compared to the tripler without diamond, according to thermal simulation. This superior thermal management provides a 100-percent increase in power-handling capability. For example, with this innovation, 40-mW output power has been achieved from a 250-GHz tripler at 350-mW input power, while the previous triplers, without diamond, suffered catastrophic failures. This breakthrough provides a stepping-stone for frequency multipliers-based LO up to 3 THz. The future work

  5. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  6. Effect of magnetic and electric coupling fields on micro- and nano- structure of carbon films in the CVD diamond process and their electron field emission property

    NASA Astrophysics Data System (ADS)

    Wang, Yijia; Li, Jiaxin; Hu, Naixiu; Jiang, Yunlu; Wei, Qiuping; Yu, Zhiming; Long, Hangyu; Zhu, Hekang; Xie, Youneng; Ma, Li; Lin, Cheng-Te; Su, Weitao

    2018-03-01

    In this paper, both electric field and magnetic field were used to assist the hot filament chemical vapor deposition (HFCVD) and we systematically investigated the effects of which on the (1) phase composition, (2) grain size, (3) thickness and (4) preferred orientation of diamond films through SEM, Raman and XRD. The application of magnetic field in electric field, so called ‘the magnetic and electric coupling fields’, enhanced the graphitization and refinement of diamond crystals, slowed down the decrease of film thickness along with the increase of bias current, and suppressed diamond (100) orientation. During the deposition process, the electric field provided additional energy to HFCVD system and generated large number of energetic particles which might annihilate at the substrate and lose kinetic energy, while the Lorentz force, provided by magnetic field, could constrict charged particles (including electrons) to do spiral movement, which prolonged their moving path and life, thus the system energy increased. With the graphitization of diamond films intensified, the preferred orientation of diamond films completely evolved from (110) to (100), until the orientation and diamond phase disappeared, which can be attributed to (I) the distribution and concentration ratio of carbon precursors (C2H2 and CH3) and (II) graphitization sequence of diamond crystal facets. Since the electron field emission property of carbon film is sensitive to the phase composition, thickness and preferred orientation, nano- carbon cones, prepared by the negative bias current of 20 mA and magnetic field strength of 80 Gauss, exhibited the lowest turn-on field of 6.1 V -1 μm-1.

  7. Diamond-like carbon prepared by pulsed laser deposition with ion bombardment: physical properties

    NASA Astrophysics Data System (ADS)

    Písařík, P.; Mikšovský, J.; Remsa, J.; Zemek, J.; Tolde, Z.; Jelínek, M.

    2018-01-01

    Diamond-like carbon (DLC) and titanium-doped DLC thin films were prepared by unique hybrid system consisting of pulsed laser deposition, ion source (bombardment) and magnetron sputtering. The influence of deposition parameters (ion energies, deposition pressures and magnetron power) on composition and physical properties was studied. Composition and sp 3/ sp 2 ratio were determined by XPS. sp 3/ sp 2 ratio was in the range from 1.4 to 2.2 for undoped DLC and from 3.4 to 4.8 for Ti-DLC. AFM showed that the layers were smooth, but with small amounts of random droplets. The measurements of the contact angle and determination of surface free energy were made for water, diiodomethane and ethylene glycol. Hardness and reduced Young's modulus varied from 20 to 31 GPa and from 182 to 276 GPa, respectively. Film adhesion was determined by scratch test; L C3 reached 23 N for DLC and 27 N for TiDLC. Optimization of sp 3/ sp 2 ratio, hardness and adhesion to biomedical alloys will advance the DLC coatings usability in the field of implantology.

  8. Computational Study of Field Initiated Surface Reactions for Synthesis of Diamond and Silicon

    NASA Technical Reports Server (NTRS)

    Musgrave, Charles Bruce

    1999-01-01

    This project involves using quantum chemistry to simulate surface chemical reactions in the presence of an electric field for nanofabrication of diamond and silicon. A field delivered by a scanning tunneling microscope (STM) to a nanometer scale region of a surface affects chemical reaction potential energy surfaces (PES) to direct atomic scale surface modification to fabricate sub-nanometer structures. Our original hypothesis is that the applied voltage polarizes the charge distribution of the valence electrons and that these distorted molecular orbitals can be manipulated with the STM so as to change the relative stabilities of the electronic configurations over the reaction coordinates and thus the topology of the PES and reaction kinetics. Our objective is to investigate the effect of applied bias on surface reactions and the extent to which STM delivered fields can be used to direct surface chemical reactions on an atomic scale on diamond and silicon. To analyze the fundamentals of field induced chemistry and to investigate the application of this technique for the fabrication of nanostructures, we have employed methods capable of accurately describing molecular electronic structure. The methods we employ are density functional theory (DFT) quantum chemical (QC) methods. To determine the effect of applied bias on surface reactions we have calculated the QC PESs in various applied external fields for various reaction steps for depositing or etching diamond and silicon. We have chosen reactions which are thought to play a role in etching and the chemical vapor deposition growth of Si and diamond. The PESs of the elementary reaction steps involved are then calculated under the applied fields, which we vary in magnitude and configuration. We pay special attention to the change in the reaction barriers, and transition state locations, and search for low energy reaction channels which were inaccessible without the applied bias.

  9. Atomic layer deposition frequency-multiplied Fresnel zone plates for hard x-rays focusing

    DOE PAGES

    Moldovan, Nicolaie; Divan, Ralu; Zeng, Hongjun; ...

    2017-12-01

    The design and fabrication of Fresnel zone plates for hard x-ray focusing up to 25 keV photon energies with better than 50 nm imaging half-pitch resolution is reported as performed by forming an ultrananocrystalline diamond (UNCD) scaffold, subsequently coating it with atomic layer deposition (ALD) with an absorber/phase shifting material, followed by back side etching of Si to form a diamond membrane device. The scaffold is formed by chemical vapor-deposited UNCD, electron beam lithography, and deep-reactive ion etching of diamond to desired specifications. The benefits of using diamond are as follows: improved mechanical robustness to prevent collapse of high-aspect-ratio ringmore » structures, a known high-aspect-ratio etch method, excellent radiation hardness, extremely low x-ray absorption, and significantly improved thermal/dimensional stability as compared to alternative materials. Central to the technology is the high-resolution patterning of diamond membranes at wafer scale, which was pushed to 60 nm lines and spaces etched 2.2-mu m-deep, to an aspect ratio of 36:1. The absorber growth was achieved by ALD of Ir, Pt, or W, while wafer-level processing allowed to obtain up to 121 device chips per 4 in. wafer with yields better than 60%. X-ray tests with such zone plates allowed resolving 50 nm lines and spaces, at the limit of the available resolution test structures.« less

  10. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  11. Transparent nanocrystalline diamond coatings and devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sumant, Anirudha V.; Khan, Adam

    2017-08-22

    A method for coating a substrate comprises producing a plasma ball using a microwave plasma source in the presence of a mixture of gases. The plasma ball has a diameter. The plasma ball is disposed at a first distance from the substrate and the substrate is maintained at a first temperature. The plasma ball is maintained at the first distance from the substrate, and a diamond coating is deposited on the substrate. The diamond coating has a thickness. Furthermore, the diamond coating has an optical transparency of greater than about 80%. The diamond coating can include nanocrystalline diamond. The microwavemore » plasma source can have a frequency of about 915 MHz.« less

  12. Electrical applications of CVD diamond films

    NASA Astrophysics Data System (ADS)

    Fujimori, Naoji

    Electronics applications of CVD diamond films are reported. The properties of epitaxial diamond films are affected by the orientation of the substrate and the deposition conditions. Boron-doped epitaxial films are found to have the same characteristics as natural IIb diamonds. An LED and an FET were successfully fabricated using boron-doped epitaxial films and Schottky junctions. However, these devices did not exhibit satisfactory properties. Other applications of CVD diamond films include speaker diaphragms (as both a thin-film coating and a free-standing film), and as an ideal packaging material (due to its high thermal conductivity and low dielectric constant).

  13. Co-electrodeposition of hard Ni-W/diamond nanocomposite coatings

    PubMed Central

    Zhang, Xinyu; Qin, Jiaqian; Das, Malay Kumar; Hao, Ruru; Zhong, Hua; Thueploy, Adisak; Limpanart, Sarintorn; Boonyongmaneerat, Yuttanant; Ma, Mingzhen; Liu, Riping

    2016-01-01

    Electroplated hard chrome coating is widely used as a wear resistant coating to prolong the life of mechanical components. However, the electroplating process generates hexavalent chromium ion which is known carcinogen. Hence, there is a major effort throughout the electroplating industry to replace hard chrome coating. Composite coating has been identified as suitable materials for replacement of hard chrome coating, while deposition coating prepared using traditional co-deposition techniques have relatively low particles content, but the content of particles incorporated into a coating may fundamentally affect its properties. In the present work, Ni-W/diamond composite coatings were prepared by sediment co-electrodeposition from Ni-W plating bath, containing suspended diamond particles. This study indicates that higher diamond contents could be successfully co-deposited and uniformly distributed in the Ni-W alloy matrix. The maximum hardness of Ni-W/diamond composite coatings is found to be 2249 ± 23 Hv due to the highest diamond content of 64 wt.%. The hardness could be further enhanced up to 2647 ± 25 Hv with heat treatment at 873 K for 1 h in Ar gas, which is comparable to hard chrome coatings. Moreover, the addition of diamond particles could significantly enhance the wear resistance of the coatings. PMID:26924136

  14. Co-electrodeposition of hard Ni-W/diamond nanocomposite coatings.

    PubMed

    Zhang, Xinyu; Qin, Jiaqian; Das, Malay Kumar; Hao, Ruru; Zhong, Hua; Thueploy, Adisak; Limpanart, Sarintorn; Boonyongmaneerat, Yuttanant; Ma, Mingzhen; Liu, Riping

    2016-02-29

    Electroplated hard chrome coating is widely used as a wear resistant coating to prolong the life of mechanical components. However, the electroplating process generates hexavalent chromium ion which is known carcinogen. Hence, there is a major effort throughout the electroplating industry to replace hard chrome coating. Composite coating has been identified as suitable materials for replacement of hard chrome coating, while deposition coating prepared using traditional co-deposition techniques have relatively low particles content, but the content of particles incorporated into a coating may fundamentally affect its properties. In the present work, Ni-W/diamond composite coatings were prepared by sediment co-electrodeposition from Ni-W plating bath, containing suspended diamond particles. This study indicates that higher diamond contents could be successfully co-deposited and uniformly distributed in the Ni-W alloy matrix. The maximum hardness of Ni-W/diamond composite coatings is found to be 2249 ± 23 Hv due to the highest diamond content of 64 wt.%. The hardness could be further enhanced up to 2647 ± 25 Hv with heat treatment at 873 K for 1 h in Ar gas, which is comparable to hard chrome coatings. Moreover, the addition of diamond particles could significantly enhance the wear resistance of the coatings.

  15. Co-electrodeposition of hard Ni-W/diamond nanocomposite coatings

    NASA Astrophysics Data System (ADS)

    Zhang, Xinyu; Qin, Jiaqian; Das, Malay Kumar; Hao, Ruru; Zhong, Hua; Thueploy, Adisak; Limpanart, Sarintorn; Boonyongmaneerat, Yuttanant; Ma, Mingzhen; Liu, Riping

    2016-02-01

    Electroplated hard chrome coating is widely used as a wear resistant coating to prolong the life of mechanical components. However, the electroplating process generates hexavalent chromium ion which is known carcinogen. Hence, there is a major effort throughout the electroplating industry to replace hard chrome coating. Composite coating has been identified as suitable materials for replacement of hard chrome coating, while deposition coating prepared using traditional co-deposition techniques have relatively low particles content, but the content of particles incorporated into a coating may fundamentally affect its properties. In the present work, Ni-W/diamond composite coatings were prepared by sediment co-electrodeposition from Ni-W plating bath, containing suspended diamond particles. This study indicates that higher diamond contents could be successfully co-deposited and uniformly distributed in the Ni-W alloy matrix. The maximum hardness of Ni-W/diamond composite coatings is found to be 2249 ± 23 Hv due to the highest diamond content of 64 wt.%. The hardness could be further enhanced up to 2647 ± 25 Hv with heat treatment at 873 K for 1 h in Ar gas, which is comparable to hard chrome coatings. Moreover, the addition of diamond particles could significantly enhance the wear resistance of the coatings.

  16. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  17. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  18. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  19. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  20. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  1. Controlling Directional Liquid Motion on Micro- and Nanocrystalline Diamond/β-SiC Composite Gradient Films.

    PubMed

    Wang, Tao; Handschuh-Wang, Stephan; Huang, Lei; Zhang, Lei; Jiang, Xin; Kong, Tiantian; Zhang, Wenjun; Lee, Chun-Sing; Zhou, Xuechang; Tang, Yongbing

    2018-01-30

    In this Article, we report the synthesis of micro- and nanocrystalline diamond/β-SiC composite gradient films, using a hot filament chemical vapor deposition (HFCVD) technique and its application as a robust and chemically inert means to actuate water and hazardous liquids. As revealed by scanning electron microscopy, the composition of the surface changed gradually from pure nanocrystalline diamond (hydrophobic) to a nanocrystalline β-SiC surface (hydrophilic). Transmission electron microscopy and Raman spectroscopy were employed to determine the presence of diamond, graphite, and β-SiC phases. The as-prepared gradient films were evaluated for their ability to actuate water. Indeed, water was transported via the gradient from the hydrophobic (hydrogen-terminated diamond) to the hydrophilic side (hydroxyl-terminated β-SiC) of the gradient surface. The driving distance and velocity of water is pivotally influenced by the surface roughness. The nanogradient surface showed significant promise as the lower roughness combined with the longer gradient yields in transport distances of up to 3.7 mm, with a maximum droplet velocity of nearly 250 mm/s measured by a high-speed camera. As diamond and β-SiC are chemically inert, the gradient surfaces can be used to drive hazardous liquids and reactive mixtures, which was signified by the actuation of hydrochloric acid and sodium hydroxide solution. We envision that the diamond/β-SiC gradient surface has high potential as an actuator for water transport in microfluidic devices, DNA sensors, and implants, which induce guided cell growth.

  2. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  3. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  4. Diamond Composite Films for Protective Coatings on Metals and Method of Formation

    NASA Technical Reports Server (NTRS)

    Ong, Tiong P. (Inventor); Shing, Yuh-Han (Inventor)

    1997-01-01

    Composite films consisting of diamond crystallites and hard amorphous films such as diamond-like carbon, titanium nitride, and titanium oxide are provided as protective coatings for metal substrates against extremely harsh environments. A composite layer having diamond crystallites and a hard amorphous film is affixed to a metal substrate via an interlayer including a bottom metal silicide film and a top silicon carbide film. The interlayer is formed either by depositing metal silicide and silicon carbide directly onto the metal substrate, or by first depositing an amorphous silicon film, then allowing top and bottom portions of the amorphous silicon to react during deposition of the diamond crystallites, to yield the desired interlayer structure.

  5. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  6. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  7. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    NASA Astrophysics Data System (ADS)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  8. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  9. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  10. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  11. The Effects of Boron Doping on Residual Stress of Hfcvd Diamond Film for Mems Applications

    NASA Astrophysics Data System (ADS)

    Zhao, Tianqi; Wang, Xinchang; Sun, Fanghong

    In this study, the residual stress of boron-doped diamond (BDD) films is investigated as a function of boron doping level using X-ray diffraction (XRD) analysis. Boron doping level is controlled from 1000ppm to 9000ppm by dissolving trimethyl borate into acetone. BDD films are deposited on silicon wafers using a bias-enhanced hot filament chemical vapor deposition (BE-HFCVD) system. Residual stress calculated by sin2 ψ method varies linearly from -2.4GPa to -1.1GPa with increasing boron doping level. On the BDD film of -1.75GPa, free standing BDD cantilevers are fabricated by photolithography and ICP-RIE processes, then tested by laser Doppler vibrometer (LDV). A cantilever with resonant frequency of 183KHz and Q factor of 261 in the air is fabricated.

  12. Deposition and Characterization of Hermetic, Biocompatible Thin Film Coatings for Implantable, Electrically Active Devices

    NASA Astrophysics Data System (ADS)

    Sweitzer, Robyn K.

    Retinal prostheses may be used to support patients suffering from Age-related macular degeneration or retinitis pigmentosa. A hermetic encapsulation of the poly(imide )-based prosthesis is important in order to prevent the leakage of water and ions into the electric circuitry embedded in the poly(imide) matrix. The deposition of amorphous aluminum oxide (by sputtering) and diamond like carbon (by pulsed laser ablation and vacuum arc vapor deposition) were studied for the application in retinal prostheses. The resulting thin films were characterized for composition, thickness, adhesion and smoothness by scanning electron microscopy-energy dispersive spectroscopy, atomic force microscopy, profilometry and light microscopy. Electrical stability was evaluated and found to be good. The as-deposited films prevented incursion of salinated fluids into the implant over two (2) three month trials soaking in normal saline at body temperature, Biocompatibility was tested in vivo by implanting coated specimen subretinally in the eye of Yucatan pigs. While amorphous aluminum oxide is more readily deposited with sufficient adhesion quality, biocompatibility studies showed a superior behavior of diamond-like carbon. Amorphous aluminum oxide had more adverse effects and caused more severe damage to the retinal tissue.

  13. Circularly polarized Raman study on diamond structure crystals

    NASA Astrophysics Data System (ADS)

    Lee, Je-Ho; Kim, Sera; Seong, Maeng-Je

    2018-01-01

    Circularly polarized Raman and/or photoluminescence (PL) analyses have recently been very important in studying physical properties of many layered materials that were either mechanically exfoliated or grown by chemical-vapor-deposition (CVD) on silicon substrates. Since silicon Raman signal is always accompanied by the circularly polarized Raman and/or PL signal from the layered materials, observation of proper circularly polarized Raman selection rules on silicon substrates would be extremely good indicator that the circularly polarized Raman and/or PL measurements on the layered materials were done properly. We have performed circularly polarized Raman measurements on silicon substrates and compared the results with the Raman intensities calculated by using Raman tensors of the diamond crystal structure. Our experimental results were in excellent agreement with the calculation. Similar circularly polarized Raman analysis done on germanium substrate also showed good agreement.

  14. A sandwich-like differential B-dot based on EACVD polycrystalline diamond slice

    NASA Astrophysics Data System (ADS)

    Xu, P.; Yu, Y.; Xu, L.; Zhou, H. Y.; Qiu, C. J.

    2018-06-01

    In this article, we present a method of mass production of a standardized high-performance differential B-dot magnetic probe together with the magnetic field measurement in a pulsed current device with the current up to hundreds of kilo-Amperes. A polycrystalline diamond slice produced in an Electron Assisted Chemical Vapor Deposition device is used as the base and insulating material to imprint two symmetric differential loops for the magnetic field measurement. The SP3 carbon bond in the cubic lattice structure of diamond is confirmed by Raman spectra. The thickness of this slice is 20 μm. A gold loop is imprinted onto each surface of the slice by using the photolithography technique. The inner diameter, width, and thickness of each loop are 0.8 mm, 50 μm, and 1 μm, respectively. It provides a way of measuring the pulsed magnetic field with a high spatial and temporal resolution, especially in limited space. This differential magnetic probe has demonstrated a very good common-mode rejection rate through the pulsed magnetic field measurement.

  15. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  16. In situ detection of dopamine using nitrogen incorporated diamond nanowire electrode.

    PubMed

    Shalini, Jayakumar; Sankaran, Kamatchi Jothiramalingam; Dong, Chung-Li; Lee, Chi-Young; Tai, Nyan-Hwa; Lin, I-Nan

    2013-02-07

    Significant difference was observed for the simultaneous detection of dopamine (DA), ascorbic acid (AA), and uric acid (UA) mixture using nitrogen incorporated diamond nanowire (DNW) film electrodes grown by microwave plasma enhanced chemical vapor deposition. For the simultaneous sensing of ternary mixtures of DA, AA, and UA, well-separated voltammetric peaks are obtained using DNW film electrodes in differential pulse voltammetry (DPV) measurements. Remarkable signals in cyclic voltammetry responses to DA, AA and UA (three well defined voltammetric peaks at potentials around 235, 30, 367 mV for DA, AA and UA respectively) and prominent enhancement of the voltammetric sensitivity are observed at the DNW electrodes. In comparison to the DPV results of graphite, glassy carbon and boron doped diamond electrodes, the high electrochemical potential difference is achieved via the use of the DNW film electrodes which is essential for distinguishing the aforementioned analytes. The enhancement in EC properties is accounted for by increase in sp(2) content, new C-N bonds at the diamond grains, and increase in the electrical conductivity at the grain boundary, as revealed by X-ray photoelectron spectroscopy and near edge X-ray absorption fine structure measurements. Consequently, the DNW film electrodes provide a clear and efficient way for the selective detection of DA in the presence of AA and UA.

  17. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  18. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    NASA Astrophysics Data System (ADS)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  19. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  20. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less