Sample records for vapor deposition films

  1. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  2. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  3. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  4. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  5. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  6. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  7. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  8. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  9. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  10. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  11. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  12. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  13. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  14. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  15. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  16. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  17. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  18. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  20. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  1. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  2. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  3. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  4. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  5. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  6. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  7. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  8. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  9. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  10. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  11. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  12. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  13. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  14. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  15. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  16. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  17. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  18. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  19. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  20. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  1. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  2. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  3. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  4. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  5. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  6. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  7. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  8. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  9. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  10. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  11. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  12. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  13. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  14. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  15. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  16. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  17. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  18. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  19. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  20. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  1. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  2. Method and apparatus for fabricating a thin-film solar cell utilizing a hot wire chemical vapor deposition technique

    DOEpatents

    Wang, Qi; Iwaniczko, Eugene

    2006-10-17

    A thin-film solar cell is provided. The thin-film solar cell comprises an a-SiGe:H (1.6 eV) n-i-p solar cell having a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer by hot wire chemical vapor deposition. A method for fabricating a thin film solar cell is also provided. The method comprises depositing a n-i-p layer at a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer.

  3. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    NASA Astrophysics Data System (ADS)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  4. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  5. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  6. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  7. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  8. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  9. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  10. Water Vapor Permeation of Metal Oxide/Polymer Coated Plastic Films

    NASA Astrophysics Data System (ADS)

    Numata, Yukihiro; Oya, Toshiyuki; Kuwahara, Mitsuru; Ito, Katsuya

    Barrier performance to water vapor permeation of ceramic coated layers deposited on flexible polymer films is of great interest to food packaging, medical device packaging and flat panel display industries. In this study, a new type film in which a ceramic layer is deposited on a polymer coated film was proposed for lower water vapor permeation. It is important how to control interfacial properties between each layer and film for good barrier performance. Several kinds of polymer coated materials were prepared for changing surface free energy of the films before and after depositing the ceramic layer. The ceramic layer, which is composed of mixed material of SiO2 and Al2O3, was adopted under the same conditions. The following results were obtained; 1) Water vapor permeation is not related to the surface energy of polymer coated films, 2) After depositing the ceramic layer, however, a strong correlation is observed between the water vapor permeation and surface free energy. 3) The phenomenon is considered that the polarity of the polymer layers plays a key role in changing the structure of ceramic coated layers.

  11. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  12. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  13. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  14. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  15. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1990-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  16. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  17. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    NASA Astrophysics Data System (ADS)

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices.

  18. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1984-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  19. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1986-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  20. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  1. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  2. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  3. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  4. Effects of the polarizability and packing density of transparent oxide films on water vapor permeation.

    PubMed

    Koo, Won Hoe; Jeong, Soon Moon; Choi, Sang Hun; Kim, Woo Jin; Baik, Hong Koo; Lee, Sung Man; Lee, Se Jong

    2005-06-09

    The tin oxide and silicon oxide films have been deposited on polycarbonate substrates as gas barrier films, using a thermal evaporation and ion beam assisted deposition process. The oxide films deposited by ion beam assisted deposition show a much lower water vapor transmission rate than those by thermal evaporation. The tin oxide films show a similar water vapor transmission rate to the silicon oxide films in thermal evaporation but a lower water vapor transmission rate in IBAD. These results are related to the fact that the permeation of water vapor with a large dipole moment is affected by the chemistry of oxides and the packing density of the oxide films. The permeation mechanism of water vapor through the oxide films is discussed in terms of the chemical interaction with water vapor and the microstructure of the oxide films. The chemical interaction of water vapor with oxide films has been investigated by the refractive index from ellipsometry and the OH group peak from X-ray photoelectron spectroscopy, and the microstructure of the composite oxide films was characterized using atomic force microscopy and a transmission electron microscope. The activation energy for water vapor permeation through the oxide films has also been measured in relation to the permeation mechanism of water vapor. The diffusivity of water vapor for the tin oxide films has been calculated from the time lag plot, and its implications are discussed.

  5. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  6. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  7. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    NASA Astrophysics Data System (ADS)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  8. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  9. Atmospheric-Pressure Chemical Vapor Deposition of Iron Pyrite Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berry, Nicholas; Cheng, Ming; Perkins, Craig L.

    2012-10-23

    Iron pyrite (cubic FeS{sub 2}) is a promising candidate absorber material for earth-abundant thin-film solar cells. In this report, single-phase, large-grain, and uniform polycrystalline pyrite thin films are fabricated on glass and molybdenum-coated glass substrates by atmospheric-pressure chemical vapor deposition (AP-CVD) using the reaction of iron(III) acetylacetonate and tert-butyl disulfide in argon at 300 C, followed by sulfur annealing at 500--550 C to convert marcasite impurities to pyrite. The pyrite-marcasite phase composition depends strongly on the concentration of sodium in the growth substrate and the sulfur partial pressure during annealing. Phase and elemental composition of the films are characterized bymore » X-ray diffraction, Raman spectroscopy, Auger electron spectroscopy, secondary ion mass spectrometry, Rutherford backscattering spectrometry, and X-ray photoelectron spectroscopy. The in-plane electrical properties are surprisingly insensitive to phase and elemental impurities, with all films showing p-type, thermally activated transport with a small activation energy ({approx}30 meV), a room- temperature resistivity of {approx}1 {Omega} cm, and low mobility. These ubiquitous electrical properties may result from robust surface effects. These CVD pyrite thin films are well suited to fundamental electrical studies and the fabrication of pyrite photovoltaic device stacks.« less

  10. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  11. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  12. Hybrid gas-to-particle conversion and chemical vapor deposition for production of high-surface area films

    NASA Astrophysics Data System (ADS)

    Nguyen, Quynh Tan

    A hybrid process, based upon gas-to-particle conversion and chemical vapor deposition, is presented as an alternative technique for producing porous films with the main advantages of solvent-free, low-substrate temperature operation. Starting from solid precursors, nanoparticles were produced in the vapor phase. Downstream of this reaction zone, these nanoparticles were collected via thermophoresis onto a cooled substrate forming a porous film. Initially, alumina (Al2O3) films were produced. Later, multi-component processing was explored by incorporating platinum (Pt) nanoparticles into the Al2O3 matrix leading to the production of Pt/Al 2O3 films by two routes: simultaneous precursor injection processing or by a layer-by-layer approach. In single component processing, the formation of nanoparticle aggregates was evident within the amorphous Al2O3 films. Aggregates, composed of these particles, are likely held together by relatively weak van der Waals forces leading to the observed poor physical cohesion. In multi-component processing, reasonable control of composition and distribution of species is possible with Pt nanoparticles appearing to be co-agglomerated with alumina. Deposited crystalline Pt nanoparticles may encourage the crystallization of the amorphous Al2O3. Finally, from chemisorption results, the produced sample appears to have potentially greater catalytic activity than a commercially available standard. A model is in development to study nanoparticle interactions with a gas and deposition occurring in stagnation flow onto the cooled horizontal substrate within the tubular reactor. Using velocity and temperature fields generated from numerical solutions to the Navier-Stokes and energy equations, particle trajectories were calculated from the summation of drag, gravitational, thermophoretic, and Brownian forces. In rectangular coordinates, cooling stage width to reactor diameter ratio, deposition stage temperature, and initial velocity were the

  13. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1988-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  14. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  15. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  16. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  17. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  18. Investigation of chemical vapor deposition of garnet films for bubble domain memories

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.

    1973-01-01

    The important process parameters and control required to grow reproducible device quality ferrimagnetic films by chemical vapor deposition (CVD) were studied. The investigation of the critical parameters in the CVD growth process led to the conclusion that the required reproducibility of film properties cannot be achieved with individually controlled separate metal halide sources. Therefore, the CVD growth effort was directed toward replacement of the halide sources with metallic sources with the ultimate goal being the reproducible growth of complex garnet compositions utilizing a single metal alloy source. The characterization of the YGdGaIG films showed that certain characteristics of this material, primarily the low domain wall energy and the large temperature sensitivity, severely limited its potential as a useful material for bubble domain devices. Consequently, at the time of the change from halide to metallic sources, the target film compositions were shifted to more useful materials such as YGdTmGaIG, YEuGaIG and YSmGaIG.

  19. Surface Morphology of Vapor-Deposited Chitosan: Evidence of Solid-State Dewetting during the Formation of Biopolymer Films.

    PubMed

    Retamal, Maria Jose; Corrales, Tomas P; Cisternas, Marcelo A; Moraga, Nicolas H; Diaz, Diego I; Catalan, Rodrigo E; Seifert, Birger; Huber, Patrick; Volkmann, Ulrich G

    2016-03-14

    Chitosan is a useful and versatile biopolymer with several industrial and biological applications. Whereas its physical and physicochemical bulk properties have been explored quite intensively in the past, there is a lack of studies regarding the morphology and growth mechanisms of thin films of this biopolymer. Of particular interest for applications in bionanotechnology are ultrathin films with thicknesses under 500 Å. Here, we present a study of thin chitosan films prepared in a dry process using physical vapor deposition and in situ ellipsometric monitoring. The prepared films were analyzed with atomic force microscopy in order to correlate surface morphology with evaporation parameters. We find that the surface morphology of our final thin films depends on both the optical thickness, i.e., measured with ellipsometry, and the deposition rate. Our work shows that ultrathin biopolymer films can undergo dewetting during film formation, even in the absence of solvents and thermal annealing.

  20. A study on the radiation resistance of CdWO4 thin-film scintillators deposited by using an electron-beam physical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Park, Seyong; Yoon, Young Soo

    2016-09-01

    In this paper, we report the first successful fabrication of CdWO4 thin film scintillators deposited on quartz glass substrates by using an electron-beam physical vapor deposition method. The films were dense, uniform, and crack-free. CdWO4 thin-film samples of varying thicknesses were investigated by using structural and optical characterization techniques. An optimized thickness for the CdWO4 thin-film scintillators was discovered. The scintillation and the optical properties were found to depend strongly on the annealing process. The annealing process resulted in thin films with a distinct crystal structure and with improved transparency and scintillation properties. For potential applications in gamma-ray energy storage systems, photoluminescence measurements were performed using gamma rays at a dose rate of 10 kGy h-1.

  1. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  2. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  3. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  4. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  5. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  6. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  7. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  8. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  9. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    NASA Astrophysics Data System (ADS)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  10. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  11. Influence of Water on Chemical Vapor Deposition of Ni and Co thin films from ethanol solutions of acetylacetonate precursors

    PubMed Central

    Weiss, Theodor; Zielasek, Volkmar; Bäumer, Marcus

    2015-01-01

    In chemical vapor deposition experiments with pulsed spray evaporation (PSE-CVD) of liquid solutions of Ni and Co acetylacetonate in ethanol as precursors, the influence of water in the feedstock on the composition and growth kinetics of deposited Ni and Co metal films was systematically studied. Varying the water concentration in the precursor solutions, beneficial as well as detrimental effects of water on the metal film growth, strongly depending on the concentration of water and the β-diketonate in the precursor, were identified. For 2.5 mM Ni(acac)2 precursor solutions, addition of 0.5 vol% water improves growth of a metallic Ni film and reduces carbon contamination, while addition of 1.0 vol% water and more leads to significant oxidation of deposited Ni. By tuning the concentration of both, Ni(acac)2 and water in the precursor solution, the fraction of Ni metal and Ni oxide in the film or the film morphology can be adjusted. In the case of Co(acac)2, even smallest amounts of water promote complete oxidation of the deposited film. All deposited films were analyzed with respect to chemical composition quasi in situ by XPS, their morphology was evaluated after deposition by SEM. PMID:26658547

  12. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  13. Introducing Overlapping Grain Boundaries in Chemical Vapor Deposited Hexagonal Boron Nitride Monolayer Films

    PubMed Central

    2017-01-01

    We demonstrate the growth of overlapping grain boundaries in continuous, polycrystalline hexagonal boron nitride (h-BN) monolayer films via scalable catalytic chemical vapor deposition. Unlike the commonly reported atomically stitched grain boundaries, these overlapping grain boundaries do not consist of defect lines within the monolayer films but are composed of self-sealing bilayer regions of limited width. We characterize this overlapping h-BN grain boundary structure in detail by complementary (scanning) transmission electron microscopy techniques and propose a catalytic growth mechanism linked to the subsurface/bulk of the process catalyst and its boron and nitrogen solubilities. Our data suggest that the overlapping grain boundaries are comparatively resilient against deleterious pinhole formation associated with grain boundary defect lines and thus may reduce detrimental breakdown effects when polycrystalline h-BN monolayer films are used as ultrathin dielectrics, barrier layers, or separation membranes. PMID:28410557

  14. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  15. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  16. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  17. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  18. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  19. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  20. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  1. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  2. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  3. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  4. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  5. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  6. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  7. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  8. Structural and growth aspects of electron beam physical vapor deposited NiO-CeO{sub 2} nanocomposite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuanr, Sushil Kumar; K, Suresh Babu, E-mail: sureshbabu.nst@pondiuni.edu.in

    2016-03-15

    Deposition of composite materials as thin film by electron beam physical vapor deposition technique (EB-PVD) still remains as a challenge. Here, the authors report the deposition of NiO-CeO{sub 2} (30/70 wt. %) composites on quartz substrate by EB-PVD. Two NiO-CeO{sub 2} nanocomposite targets—one as green compact and the other after sintering at 1250 °C—were used for the deposition. Though the targets varied with respect to physical properties such as crystallite size (11–45 nm) and relative density (44% and 96%), the resultant thin films exhibited a mean crystallite size in the range of 20–25 nm underlining the role of physical nature of deposition. In spitemore » of the crystalline nature of the targets and similar elemental concentration, a transformation from amorphous to crystalline structure was observed in thin films on using sintered target. Postannealing of the as deposited film at 800 °C resulted in a polycrystalline structure consisting of CeO{sub 2} and NiO. Deposition using pure CeO{sub 2} or NiO as target resulted in the preferential orientation toward (111) and (200) planes, respectively, showing the influence of adatoms on the evaporation and growth process of NiO-CeO{sub 2} composite. The results demonstrate the influence of electron beam gun power on the adatom energy for the growth process of composite oxide thin films.« less

  9. On the influence of DC electric fields on the aerosol assisted chemical vapor deposition growth of photoactive titanium dioxide thin films.

    PubMed

    Romero, Luz; Binions, Russell

    2013-11-05

    Titanium dioxide thin films were deposited on fluorine doped tin oxide glass substrate from the electric field assisted aerosol chemical vapor deposition (EACVD) reaction of titanium isopropoxide (TTIP, Ti(OC3H7)4) in toluene on glass substrates at a temperature of 450 °C. DC electric fields were generated by applying a potential difference between the electrodes of the transparent coated oxide coated glass substrates during the deposition. The deposited films were characterized using scanning electron microscopy, X-ray diffraction, atomic force microscopy, Raman spectroscopy, and UV-vis spectroscopy. The photoactivity and hydrophilicity of the deposited films were also analyzed using a dye-ink test and water-contact angle measurements. The characterization work revealed that the incorporation of DC electric fields produced significant reproducible changes in the film microstructure, preferred crystallographic orientation, roughness, and film thickness. Photocatalytic activity was calculated from the half-time (t1/2) or time taken to degrade 50% of the initial resazurin dye concentration. A large improvement in photocatalytic activity was observed for films deposited using an electric field with a strong orientation in the (004) direction (t1/2 17 min) as compared to a film deposited with no electric field (t1/2 40 min).

  10. Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Merkulov, V. I.; Lowndes, D. H.

    2001-03-01

    The correlation between prepatterned catalyst film thickness and carbon nanotube (CNT) growth by selective area chemical vapor deposition (CVD) was studied using Fe and Ni as catalyst. To eliminate sample-to-sample variations and create a growth environment in which the film thickness is the sole variable, samples with continuously changing catalyst film thickness from 0 to 60 nm were fabricated by electron-gun evaporation. Using thermal CVD CNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature. There appears to be no strong correlation between the film thickness and the diameter of the tubes. In contrast, using plasma enhanced CVD with Ni as catalyst, vertically oriented CNTs grow in the entire range of catalyst film thickness. The diameter of these CNTs shows a strong correlation with the catalyst film thickness. The significance of these experimental trends is discussed within the framework of the diffusion model for CNT growth.

  11. Physical vapor deposited thin films of lignins extracted from sugar cane bagasse: morphology, electrical properties, and sensing applications.

    PubMed

    Volpati, Diogo; Machado, Aislan D; Olivati, Clarissa A; Alves, Neri; Curvelo, Antonio A S; Pasquini, Daniel; Constantino, Carlos J L

    2011-09-12

    The concern related to the environmental degradation and to the exhaustion of natural resources has induced the research on biodegradable materials obtained from renewable sources, which involves fundamental properties and general application. In this context, we have fabricated thin films of lignins, which were extracted from sugar cane bagasse via modified organosolv process using ethanol as organic solvent. The films were made using the vacuum thermal evaporation technique (PVD, physical vapor deposition) grown up to 120 nm. The main objective was to explore basic properties such as electrical and surface morphology and the sensing performance of these lignins as transducers. The PVD film growth was monitored via ultraviolet-visible (UV-vis) absorption spectroscopy and quartz crystal microbalance, revealing a linear relationship between absorbance and film thickness. The 120 nm lignin PVD film morphology presented small aggregates spread all over the film surface on the nanometer scale (atomic force microscopy, AFM) and homogeneous on the micrometer scale (optical microscopy). The PVD films were deposited onto Au interdigitated electrode (IDE) for both electrical characterization and sensing experiments. In the case of electrical characterization, current versus voltage (I vs V) dc measurements were carried out for the Au IDE coated with 120 nm lignin PVD film, leading to a conductivity of 3.6 × 10(-10) S/m. Using impedance spectroscopy, also for the Au IDE coated with the 120 nm lignin PVD film, dielectric constant of 8.0, tan δ of 3.9 × 10(-3), and conductivity of 1.75 × 10(-9) S/m were calculated at 1 kHz. As a proof-of-principle, the application of these lignins as transducers in sensing devices was monitored by both impedance spectroscopy (capacitance vs frequency) and I versus time dc measurements toward aniline vapor (saturated atmosphere). The electrical responses showed that the sensing units are sensible to aniline vapor with the process being

  12. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    PubMed

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  13. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  14. Precursor dependent nucleation and growth of ruthenium films during chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu

    2016-07-15

    Nucleation and film growth characteristics are reported during chemical vapor deposition of Ru on SiO{sub 2} using triruthenium dodecacarbonyl [Ru{sub 3}(CO){sub 12}] and ruthenium bis(di-t-butylacetamidinate) dicarbonyl [Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2}]. Films grown from Ru{sub 3}(CO){sub 12} follow the three dimensional (3D) Volmer–Weber growth mode. In contrast, films grown from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} follow the pseudo-layer-by-layer growth mode with two dimensional wetting layer islands forming before 3D particle growth is observed on the islands. A relationship between free isolated hydroxyl [(Si-OH){sub i}] group density and Ru nucleation density is found for Ru{sub 3}(CO){sub 12} and is associated with (Si-OH){sub i}more » acting as the reaction sites for activation of Ru{sub 3}(CO){sub 12} and in turn generating an adjustable adatom concentration. Carbon monoxide and ammonia addition to the gas phase during film growth from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} lead to smoother films by inducing surface reconstructions during the 3D phase of pseudo-layer-by-layer growth; these gases also lead to films with lower resistivity and lower crystalline character.« less

  15. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  16. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  17. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  18. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  19. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J.; Halpern, B.L.

    1994-10-18

    A method and apparatus are disclosed for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases. 8 figs.

  20. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, Jerome J.; Halpern, Bret L.

    1994-01-01

    A method and apparatus for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases.

  1. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  2. A kinetic model for the characteristic surface morphologies of thin films by directional vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Huang, Po-Yu

    2017-12-01

    In order to simulate a process of directional vapor deposition, in this study, a numerical approach was applied to model the growth and evolution of surface morphologies for the crystallographic structures of thin films. The critical factors affecting the surface morphologies in a deposition process, such as the crystallographic symmetry, anisotropic interfacial energy, shadowing effect, and deposition rate, were all enclosed in the theoretical model. By altering the parameters of crystallographic symmetry in the structures, the faceted nano-columns with rectangular and hexagonal shapes were established in the simulation results. Furthermore, for revealing the influences of the anisotropic strength and the deposition rate theoretically on the crystallographic structure formations, various parameters adjusted in the numerical calculations were also investigated. Not only the morphologies but also the surface roughnesses for different processing conditions were distinctly demonstrated with the quantitative analysis of the simulations.

  3. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  4. Controllable poly-crystalline bilayered and multilayered graphene film growth by reciprocal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae

    2015-06-01

    We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for

  5. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  6. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  7. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  8. Study of Doped ZnO Films Synthesized by Combining Vapor Gases and Pulsed Laser Deposition

    NASA Technical Reports Server (NTRS)

    Zhu, Shen; Su, Ching-Hua; Lehoczky, Sandor L.; George, M. A.

    2000-01-01

    The properties and structure of the ZnO material are similar to those of the GaN. Since an excitonic binding energy of ZnO is about 60 meV, it has strong potential for excitonic lasing at the room temperature. This makes synthesizing ZnO films for applications attractive. However, there are several hurdles in fabricating electro-optical devices from ZnO. One of those is in growing doped p-type ZnO films. Although techniques have been developed for the doping of both p-type and n-type ZnO, this remains an area that can be improved. In this presentation, we will report the experimental results of using both thermal vapor and pulsed laser deposition to grow doped ZnO films. The films are deposited on (0001) sapphire, (001) Si and quartz substrates by ablating a ZnO target. The group III and V elements are introduced into the growth chamber using inner gases. Films are characterized by x-ray diffraction, scanning probe microscopy, energy dispersive spectroscopy, Auger electron spectroscopy, and electrical measurements. The full width at half maximum of theta rocking curves for epitaxial films is less than 0.5 deg. In textured films, it rises to several degrees. Film surface morphology reveals an island growth pattern, but the size and density of these islands vary with the composition of the reactive gases. The electrical resistivity also changes with the doped elements. The relationship between the doping elements, gas composition, and film properties will be discussed.

  9. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  10. Degradation by water vapor of hydrogenated amorphous silicon oxynitride films grown at low temperature.

    PubMed

    Lee, Hyung-Ik; Park, Jong-Bong; Xianyu, Wenxu; Kim, Kihong; Chung, Jae Gwan; Kyoung, Yong Koo; Byun, Sunjung; Yang, Woo Young; Park, Yong Young; Kim, Seong Min; Cho, Eunae; Shin, Jai Kwang

    2017-10-26

    We report on the degradation process by water vapor of hydrogenated amorphous silicon oxynitride (SiON:H) films deposited by plasma-enhanced chemical vapor deposition at low temperature. The stability of the films was investigated as a function of the oxygen content and deposition temperature. Degradation by defects such as pinholes was not observed with transmission electron microscopy. However, we observed that SiON:H film degrades by reacting with water vapor through only interstitial paths and nano-defects. To monitor the degradation process, the atomic composition, mass density, and fully oxidized thickness were measured by using high-resolution Rutherford backscattering spectroscopy and X-ray reflectometry. The film rapidly degraded above an oxygen composition of ~27 at%, below a deposition temperature of ~150 °C, and below an mass density of ~2.15 g/cm 3 . This trend can be explained by the extents of porosity and percolation channel based on the ring model of the network structure. In the case of a high oxygen composition or low temperature, the SiON:H film becomes more porous because the film consists of network channels of rings with a low energy barrier.

  11. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  12. Thermal conductivity of amorphous and nanocrystalline silicon films prepared by hot-wire chemical-vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jugdersuren, B.; Kearney, B. T.; Queen, D. R.

    We report 3..omega.. thermal conductivity measurements of amorphous and nanocrystalline silicon thin films from 85 to 300 K prepared by hot-wire chemical-vapor deposition, where the crystallinity of the films is controlled by the hydrogen dilution during growth. The thermal conductivity of the amorphous silicon film is in agreement with several previous reports of amorphous silicon prepared by a variety of deposition techniques. The thermal conductivity of the as-grown nanocrystalline silicon film is 70% higher and increases 35% more after an anneal at 600 degrees C. They all have similarly weak temperature dependence. Structural analysis shows that the as-grown nanocrystalline siliconmore » is approximately 60% crystalline, nanograins and grain boundaries included. The nanograins, averaging 9.1 nm in diameter in the as-grown film, are embedded in an amorphous matrix. The grain size increases to 9.7 nm upon annealing, accompanied by the disappearance of the amorphous phase. We extend the models of grain boundary scattering of phonons with two different non-Debye dispersion relations to explain our result of nanocrystalline silicon, confirming the strong grain size dependence of heat transport for nanocrystalline materials. However, the similarity in thermal conductivity between amorphous and nanocrystalline silicon suggests the heat transport mechanisms in both structures may not be as dissimilar as we currently understand.« less

  13. Idiosyncrasies of Physical Vapor Deposition Processes from Various Knudsen Cells for Quinacridone Thin Film Growth on Silicon Dioxide

    PubMed Central

    2015-01-01

    Thin films of quinacridone deposited by physical vapor deposition on silicon dioxide were investigated by thermal desorption spectroscopy (TDS), mass spectrometry (MS), atomic force microscopy (AFM), specular and grazing incidence X-ray diffraction (XRD, GIXD), and Raman spectroscopy. Using a stainless steel Knudsen cell did not allow the preparation of a pure quinacridone film. TDS and MS unambiguously showed that in addition to quinacridone, desorbing at about 500 K (γ-peak), significant amounts of indigo desorbed at about 420 K (β-peak). The existence of these two species on the surface was verified by XRD, GIXD, and Raman spectroscopy. The latter spectroscopies revealed that additional species are contained in the films, not detected by TDS. In the film mainly composed of indigo a species was identified which we tentatively attribute to carbazole. The film consisting of mainly quinacridone contained in addition p-sexiphenyl. The reason for the various decomposition species effusing from the metal Knudsen cell is the comparably high sublimation temperature of the hydrogen bonded quinacridone. With special experimental methods and by using glass Knudsen-type cells we were able to prepare films which exclusively consist of molecules either corresponding to the β-peak or the γ-peak. These findings are of relevance for choosing the proper deposition techniques in the preparation of quinacridone films in the context of organic electronic devices. PMID:26401189

  14. Preparation and characterization of epitaxial MgO thin film by atmospheric-pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zeng, J. M.; Wang, H.; Shang, S. X.; Wang, Z.; Wang, M.

    1996-12-01

    Magnesium oxide (MgO) thin films have been prepared on Si(100), {SiO2(100) }/{Si} and {Pt(111) }/{Si} substrates by atmospheric-pressure metalorganic chemical vapor deposition (AP-MOCVD) for the first time. The relationship between the temperature of substrates ( Ts) and crystallographic orientations was also investigated. Magnesium acetylacetonate [Mg(CH 2COCH 2COCH 3) 2] was used as the metalorganic source. The relatively low temperature of substrates is about 480°C and the MgO thin films obtained were uniform, dense and well-ordered single crystal. X-ray diffraction experiments provided evidence that the MgO thin films on Si(100) ( Ts ≈ 400-680°C), {SiO2}/{Si} and {Pt}/{Si} were fully textured with (100) orientation. The deliquescent character of MgO thin films was also studied.

  15. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  16. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  17. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  18. Scalable high-mobility MoS2 thin films fabricated by an atmospheric pressure chemical vapor deposition process at ambient temperature

    NASA Astrophysics Data System (ADS)

    Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.

    2014-10-01

    Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.

  19. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  20. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  1. The Characteristics of an Antibacterial TiAgN Thin Film Coated by Physical Vapor Deposition Technique.

    PubMed

    Kang, Byeong-Mo; Jeong, Woon-Jo; Park, Gye-Choon; Yoon, Dong-Joo; Ahn, Ho-Geun; Lim, Yeong-Seog

    2015-08-01

    In this work, we found the characteristics of an antibacterial TiAgN thin film coated on the pure titanium specimen via the physical vapor deposition process (PVD). TiAgN thin films were coated using TiAg alloy targets by arc ion plating method. Changing the process parameters, the surface analysis of TiAgN thin film was observed by FE-SEM and the force of adhesion was measured with Scratch Tester. The proliferation of human gingival fibroblast (HGF) cells was examined by XTT test assay and the antibacterial properties were investigated by culturing Streptococus Mutans (KCTC 3065) using paper disk techniques. At the result of experiment, cytotoxic effects were not found and the antibacterial effects against Streptococus Mutans were appeared over 5 wt% TiAgN specimens.

  2. Effect of Hydrogen in Zinc Oxide Thin-Film Transistor Grown by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik

    2007-04-01

    We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.

  3. Semiconducting Properties of Nanostructured Amorphous Carbon Thin Films Incorporated with Iodine by Thermal Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kamaruzaman, Dayana; Ahmad, Nurfadzilah; Annuar, Ishak; Rusop, Mohamad

    2013-11-01

    Nanostructured iodine-post doped amorphous carbon (a-C:I) thin films were prepared from camphor oil using a thermal chemical vapor deposition (TCVD) technique at different doping temperatures. The structural properties of the films were studied by field-emission scanning electron microscopy (FESEM), energy-dispersive spectroscopy (EDS), Raman, and Fourier transform infrared (FTIR) studies. FESEM and EDS studies showed successful iodine doping. FTIR and Raman studies showed that the a-C:I thin films consisted of a mixture of sp2- and sp3-bonded carbon atoms. The optical and electrical properties of a-C:I thin films were determined by UV-vis-NIR spectroscopy and current-voltage (I-V) measurement respectively. The optical band gap of a-C thin films decreased upon iodine doping. The highest electrical conductivity was found at 400 °C doping. Heterojunctions are confirmed by rectifying the I-V characteristics of an a-C:I/n-Si junction.

  4. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  5. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  6. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    PubMed

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  7. Growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tokita, Koji; Okada, Fumio

    1996-12-01

    The growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition was investigated by changing wavelength, power, repetition rate, and irradiation angle of the excimer laser. When O2 was used as an oxidizing gas with 193 or 248 nm irradiation, amorphous TiO2 and crystalline PbO films were obtained in the laser-irradiated area of Si substrates from the parent metalorganic compounds, Ti(O-iC3H7)4 and (C2H5)3PbOCH2C(CH3)3, respectively. In contrast, no ZrO2 film could be formed from Zr(O-tC4H9)4. One-photon formation of TiO2 films was confirmed from laser power dependence measurements. The maximum growth rate of 0.05 Å per laser pulse was compared with that estimated by a simple surface reaction model, according to which the slow growth rate is due to the small absorption cross section of Ti(O-iC3H7)4 and mild fluence of laser irradiation. In experiments of ozone gas excitation by KrF laser, a SiO2 film was obtained by gas-phase reactions of the oxygen radical, O(1D), with Si(O-C2H5)4. The direct patterning of TiO2 and PbO films as well as the possibility of producing patterned PbTiO3 film was demonstrated. The growth of the patterned SiO2 film was prevented by gas-phase diffusion of intermediates.

  8. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  9. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  10. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  11. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    PubMed

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  12. Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    2000-01-01

    The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.

  13. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  14. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  15. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  16. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  17. Near-failure detonation behavior of vapor-deposited hexanitrostilbene (HNS) films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan R.; Marquez, Michael P.; Tappan, Alexander S.

    2017-01-01

    Hexanitrostilbene (HNS) films were deposited onto polycarbonate substrates using vacuum thermal sublimation. The deposition conditions were varied in order to alter porosity in the films, and the resulting microstructures were quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation were determined. The polycarbonate substrates also acted as recording plates for detonation experiments, and films near the critical thickness displayed distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions.

  18. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  19. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  20. Simple, green, and clean removal of a poly(methyl methacrylate) film on chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Park, J.-H.; Jung, W.; Cho, D.; Seo, J.-T.; Moon, Y.; Woo, S. H.; Lee, C.; Park, C.-Y.; Ahn, J. R.

    2013-10-01

    The clean removal of a poly(methyl methacrylate) (PMMA) film on graphene has been an essential part of the process of transferring chemical vapor deposited graphene to a specific substrate, influencing the quality of the transferred graphene. Here we demonstrate that the clean removal of PMMA can be achieved by a single heat-treatment process without the chemical treatment that was adopted in other methods of PMMA removal. The cleanness of the transferred graphene was confirmed by four-point probe measurements, synchrotron radiation x-ray photoemission spectroscopy, optical images, and Raman spectroscopy.

  1. Filtration-wet transferred transparent conducting films of mm long carbon nanotubes grown using water-assisted chemical vapor deposition.

    PubMed

    Patole, Shashikant P; Shin, Dong Wook; Fugetsu, Bunshi; Yoo, Ji-Beom

    2013-11-01

    Transparent conducting films (TCF) made up from carbon nanotubes (CNTs) have a tremendous potential in replacing the indium tin oxide films. Compare to single wall CNTs multiwall CNTs are more metallic and are more suitable candidate for the TCF. In this letter we report the use of selectively grown mm-scale, few-wall, vertically aligned CNTs for the fabrication of TCF. Water-assisted chemical vapor deposition was used to grow the mm-scale CNTs within short growth time. A special post-growth water-vapor treatment allowed us to remove the catalyst-free CNT forest very easily from the substrate and use it for the further process. A filtration-wet transfer process was used to form the TCF. The TCF shows sheet resistance of 228 omega/sq. at 72% transparency (at 550 nm). The ratio of optical conductivity to dc conductivity was observed in between 0.21 to 0.25 for below 80% transmission.

  2. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  3. Method and system using power modulation for maskless vapor deposition of spatially graded thin film and multilayer coatings with atomic-level precision and accuracy

    DOEpatents

    Montcalm, Claude [Livermore, CA; Folta, James Allen [Livermore, CA; Tan, Swie-In [San Jose, CA; Reiss, Ira [New City, NY

    2002-07-30

    A method and system for producing a film (preferably a thin film with highly uniform or highly accurate custom graded thickness) on a flat or graded substrate (such as concave or convex optics), by sweeping the substrate across a vapor deposition source operated with time-varying flux distribution. In preferred embodiments, the source is operated with time-varying power applied thereto during each sweep of the substrate to achieve the time-varying flux distribution as a function of time. A user selects a source flux modulation recipe for achieving a predetermined desired thickness profile of the deposited film. The method relies on precise modulation of the deposition flux to which a substrate is exposed to provide a desired coating thickness distribution.

  4. (111)-oriented Pb(Zr ,Ti)O3 films deposited on SrRuO3/Pt electrodes: Reproducible preparation by metal organic chemical vapor deposition, top electrode influence, and reliability

    NASA Astrophysics Data System (ADS)

    Menou, Nicolas; Funakubo, Hiroshi

    2007-12-01

    (111)-textured Pb(Zr0.4Ti0.6)O3 films (thickness of ˜120nm) were deposited on (111)-oriented SrRuO3 bottom electrodes by pulse metal organic chemical vapor deposition (MOCVD). PZT single phase was evidenced over a large range of Pb precursor input rate into the MOCVD chamber. In this process window, the good control of the (111) texture of PZT films was confirmed. It is shown that the control of both the composition and orientation of PZT films leads to reproducible electric properties (Pr, Vc, resistance to fatigue) across the process window. Furthermore, the impact of the top electrode chemical nature, elaboration process, and annealing process upon the electric properties was studied systematically.

  5. On the possibility to grow zinc oxide-based transparent conducting oxide films by hot-wire chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abrutis, Adulfas, E-mail: adulfas.abrutis@chf.vu.lt; Silimavicus, Laimis; Kubilius, Virgaudas

    Hot-wire chemical vapor deposition (HW-CVD) was applied to grow zinc oxide (ZnO)-based transparent conducting oxide (TCO) films. Indium (In)-doped ZnO films were deposited using a cold wall pulsed liquid injection CVD system with three nichrome wires installed at a distance of 2 cm from the substrate holder. The wires were heated by an AC current in the range of 0–10 A. Zn and In 2,2,6,6-tetramethyl-3,5-heptanedionates dissolved in 1,2-dimethoxyethane were used as precursors. The hot wires had a marked effect on the growth rates of ZnO, In-doped ZnO, and In{sub 2}O{sub 3} films; at a current of 6–10 A, growth rates weremore » increased by a factor of ≈10–20 compared with those of traditional CVD at the same substrate temperature (400 °C). In-doped ZnO films with thickness of ≈150 nm deposited on sapphire-R grown at a wire current of 9 A exhibited a resistivity of ≈2 × 10{sup −3} Ωcm and transparency of >90% in the visible spectral range. These initial results reveal the potential of HW-CVD for the growth of TCOs.« less

  6. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Zinc doping of large-area MoS2 films via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi; Liu, Haoming; Park, Kyungwha; Li, Zhen; Losovyj, Yaroslav; Starr, Matthew; Werbianskyj, Madilynn; Fertig, Herbert; Zhang, Shixiong

    Atomically thin molybdenum disulfide (MoS2) has attracted significant attention because of its great potential for electronic and optoelectronic applications. Undoped MoS2 is n-type presumably due to the formation of native defects, and realizing p-type conduction has often turned out to be challenging. In this work, we report on the synthesis and characterizations of large-area Zn-doped MoS2 thin films in which the zinc dopant is demonstrated to be p-type. The films were grown by chemical vapor deposition and are monolayers or bilayers with a lateral dimension on the order of millimeters. The p-type nature of Zn dopants was evidenced by the suppression of n-type conduction and a downward shift of the Fermi level with doping. Density-functional-theory calculations were carried out to demonstrate the stability of the Zn dopants and to determine the impurity states. A p-type gate transfer characteristic was observed after the Zn-MoS2 film was thermally annealed in a sulfur atmosphere. This work is supported by the NSF through Grant Nos. DMR-1506460, DMR-1506263, and DMR-1206354, the San Diego Supercomputer Center (SDSC) Gordon under DMR060009N, and by the US-Israel Binational Science Foundation.

  8. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  9. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  10. Growth of oriented polycrystalline α-HgI 2 films by ultrasonic-wave-assisted physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weiguang; Nie, Lei; Li, Dongmei; Wang, Yali; Zhou, Jie; Ma, Lei; Wang, Zhenhua; Shi, Weimin

    2011-06-01

    Polycrystalline α-HgI 2 thick films have been grown on ITO-coated glass substrates using ultrasonic-wave-assisted vapor phase deposition (UWAVPD) with the different source temperatures and ultrasonic frequencies. The influence of the assisted ultrasonic wave and source temperature on the structural and electrical properties of the polycrystalline α-HgI 2 films is investigated. It is found that the assisted ultrasonic wave plays an important role in the improvement of the structural and electrical properties. An uniformly oriented polycrystalline α-HgI 2 film with clear facets and narrow size distribution can be obtained at the source temperature of 80 °C under the assistance of 59 KHz ultrasonic frequency with the ultrasonic power of 200 W, which has the lowest value of ρ=2.2×10 12 Ω cm for E-field parallel to c-axis, approaching to that of high quality α-HgI 2 single crystals (4.0×10 12 Ω cm).

  11. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  12. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material.

    PubMed

    Adhikari, Prashanta Dhoj; Jeon, Seunghan; Cha, Myoung-Jun; Jung, Dae Sung; Kim, Yooseok; Park, Chong-Yun

    2014-02-01

    We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT-G). Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT-G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT-G structure and p-n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT-G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  13. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  14. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  15. Thin film solar cells grown by organic vapor phase deposition

    NASA Astrophysics Data System (ADS)

    Yang, Fan

    Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.

  16. Microstructure and electroluminescent performance of chemical vapor deposited zinc sulfide doped with manganese films for integration in thin film electroluminescent devices

    NASA Astrophysics Data System (ADS)

    Topol, Anna Wanda

    Zinc sulfide (ZnS) doped with manganese (Mn), ZnS:Mn, is widely recognized as the brightest and most effective electroluminescent (EL) phosphor used in current thin film electroluminescent (TFEL) devices. ZnS acts as a host lattice for the luminescent activator, Mn, leading to a highly efficient yellow-orange EL emission, and resulting in a wide array of applications in monochrome, multi-color and full color displays. Although this wide band dap (3.7 eV) material can be prepared by several deposition techniques, the chemical vapor deposition (CVD) is the most promising for TFEL applications in terms of viable deposition rates, high thickness and composition uniformity, and excellent yield over large area panels. This study describes the development and optimization of a CVD ZnS:Mn process using diethylzinc [(C2H5)2Zn, DEZ], di-pi-cyclopentadienylmanganese [(C5H5)2Mn, CPMn], and hydrogen sulfide [H2S] as the chemical sources for, respectively, Zn, Mn, and S. The effects of key deposition parameters on resulting Film microstructure and performance are discussed, primarily in the context of identifying an optimized process window for best electroluminescence behavior. In particular, substrate temperature was observed to play a key role in the formation of high quality crystalline ZnS:Mn films leading to improved brightness and EL efficiency. Further investigations of the influence of temperature treatment on the structural characteristics and EL performance of the CVD ZnS:Mn film were carried out. In this study, the influence of post-deposition annealing both in-situ and ex-situ annealing processes, on chemical, structural, and electroluminescent characteristics of the phosphor layer are described. The material properties of the employed dielectric are among the key factors determining the performance, stability and reliability of the TFEL display and therefore, the choice of dielectric material for use in ACTFEL displays is crucial. In addition, the luminous

  17. Ru nucleation and thin film smoothness improvement with ammonia during chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu

    This study reports the use of ammonia to inhibit the growth of previously nucleated ruthenium islands and force the nucleation of additional islands such that thinner films form as the islands coalesce with continued growth. Ruthenium films are grown at 448 K in a chemical vapor deposition process on SiO{sub 2}/Si(001) using triruthenium dodecacarbonyl, Ru{sub 3}(CO){sub 12}, with and without a constant partial pressure of ammonia. Film growth was performed at a Ru{sub 3}(CO){sub 12}/Ar pressure of 47.2 mTorr. The ammonia partial pressure varied from 0 to 27.8 mTorr. X-ray photoelectron spectroscopy was used to analyze the samples in situ. Exmore » situ characterization included scanning electron microscopy, atomic force microscopy, and x-ray diffraction and x-ray reflectivity. Nucleation studies limited to the first 10 min of growth revealed the maximum nanoparticle (island) density of 8.1 × 10{sup 11 }cm{sup −2} occurred at an intermediate ammonia pressure (5.25 mTorr) compared to a density of 3.1 × 10{sup 11 }cm{sup −2} for no ammonia addition. Extending film growth to 120 min and varying the ammonia partial pressure during the first 10 min followed by 5.25 mTorr ammonia pressure for the final 110 min reveals the importance of nucleation on film smoothness. A model describing the inhibition effects of ammonia during nucleation and growth is presented.« less

  18. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  19. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  20. Growth of monolayer MoS2 films in a quasi-closed crucible encapsulated substrates by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng

    2017-07-01

    Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.

  1. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  2. Electron emission from chemical vapor deposited diamond and amorphous carbon films observed with a simple field emission device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Z.; Brown, I.G.; Ager, J.W. III

    Electron emission from chemical vapor deposited (CVD) diamond and amorphous carbon (a-C) films was observed with a simple field emission device (FED). Both diamond and a-C films were prepared with microwave plasma-enhanced CVD techniques. Electron emission in the field strength range +10 to {minus}10 MVm{sup {minus}1} was studied, and the field emission source was confirmed by a diode characteristic of the {ital I}-{ital V} curve, a straight line in the Fowler--Nordheim (F-N) plot, and direct observation of light emission from a fluorescent screen. The turn-on field strength was {similar_to}5 MVm{sup {minus}1}, which was similar for both kinds of carbon films.more » The highest current density for diamond films, observed at a field strength of 10 MVm{sup {minus}1}, was {similar_to}15 {mu}A cm{sup {minus}2}. Diamond films yielded a higher emission current than a-C films. The reasons for the observed field emission are discussed.« less

  3. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  4. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  5. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  6. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  7. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  8. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  9. Study of Polymer Crystallization by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jeong, Hyuncheol

    When a polymer is confined under the submicron length scale, confinement size and interfaces can significantly impact the crystallization kinetics and resulting morphology. The ability to tune the morphology of confined polymer systems is of critical importance for the development of high-performance polymer microelectronics. The wisdom from the research on confined crystallization suggests that it would be beneficial to have a processing route in which the crystallization of polymers is driven by interface and temperature effects at a nanometer-scale confinement. In practice, for atomic and small-molecular systems, physical vapor deposition (PVD) has been recognized as the most successful processing route for the precise control of the film structure at surface utilizing confinement effects. While standard PVD technologies are not generally applicable to the deposition of the chemically fragile macromolecules, the development of matrix-assisted pulsed laser evaporation (MAPLE) now enables the non-destructive PVD of high-molecular weight polymers. In this thesis work, we investigated the use of MAPLE for the precise control of the crystallization of polymer films at a molecular level. We also sought to decipher the rules governing the crystallization of confined polymers, by using MAPLE as a tool to form confined polymer systems onto substrates with a controlled temperature. We first explored the early stages of film growth and crystallization of poly(ethylene oxide) (PEO) at the substrate surface formed by MAPLE. The unique mechanism of film formation in MAPLE, the deposition of submicron-sized polymer droplets, allowed for the manifestation of confinement and substrate effects in the crystallization of MAPLE-deposited PEO. Furthermore, we also focused on the property of the amorphous PEO film formed by MAPLE, showing the dependence of polymer crystallization kinetics on the thermal history of the amorphous phase. Lastly, we probed how MAPLE processing affected

  10. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  11. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, K.C.; Kodas, T.T.

    1994-01-11

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said substrate.

  12. Stabilization of the cubic phase of HfO2 by Y addition in films grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Rauwel, E.; Dubourdieu, C.; Holländer, B.; Rochat, N.; Ducroquet, F.; Rossell, M. D.; Van Tendeloo, G.; Pelissier, B.

    2006-07-01

    Addition of yttrium in HfO2 thin films prepared on silicon by metal organic chemical vapor deposition is investigated in a wide compositional range (2.0-99.5at.%). The cubic structure of HfO2 is stabilized for 6.5at.%. The permittivity is maximum for yttrium content of 6.5-10at.%; in this range, the effective permittivity, which results from the contribution of both the cubic phase and silicate phase, is of 22. These films exhibit low leakage current density (5×10-7A /cm2 at -1V for a 6.4nm film). The cubic phase is stable upon postdeposition high temperature annealing at 900°C under NH3.

  13. Aerosol-assisted chemical vapor deposition of ultra-thin CuOx films as hole transport material for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu

    This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.

  14. Structural and electrical characteristics of gallium tin oxide thin films prepared by electron cyclotron resonance-metal organic chemical vapor deposition.

    PubMed

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-08-01

    Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.

  15. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  16. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  17. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  18. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  19. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition.

    PubMed

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-22

    Centimeter-scale continuous monolayer WS 2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS 2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS 2 for specific applications in (opto)electronics.

  20. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-01

    Centimeter-scale continuous monolayer WS2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS2 for specific applications in (opto)electronics.

  1. Comparison of precursor infiltration into polymer thin films via atomic layer deposition and sequential vapor infiltration using in-situ quartz crystal microgravimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    Previous research exploring inorganic materials nucleation behavior on polymers via atomic layer deposition indicates the formation of hybrid organic–inorganic materials that form within the subsurface of the polymer. This has inspired adaptations to the process, such as sequential vapor infiltration, which enhances the diffusion of organometallic precursors into the subsurface of the polymer to promote the formation of a hybrid organic–inorganic coating. This work highlights the fundamental difference in mass uptake behavior between atomic layer deposition and sequential vapor infiltration using in-situ methods. In particular, in-situ quartz crystal microgravimetry is used to compare the mass uptake behavior of trimethyl aluminummore » in poly(butylene terephthalate) and polyamide-6 polymer thin films. The importance of trimethyl aluminum diffusion into the polymer subsurface and the subsequent chemical reactions with polymer functional groups are discussed.« less

  2. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  3. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  4. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  5. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  6. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  7. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    NASA Astrophysics Data System (ADS)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  8. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  9. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  10. Using deposition rate to increase the thermal and kinetic stability of vapor-deposited hole transport layer glasses via a simple sublimation apparatus

    NASA Astrophysics Data System (ADS)

    Kearns, Kenneth L.; Krzyskowski, Paige; Devereaux, Zachary

    2017-05-01

    Deposition rate is known to affect the relative stability of vapor-deposited glasses; slower rates give more stable materials due to enhanced mobility at the free surface of the film. Here we show that the deposition rate can affect both the thermodynamic and kinetic stabilities of N ,N' -bis(3-methylphenyl)-N ,N' -diphenylbenzidine (TPD) and N ,N' -di-[(1-naphthyl)-N ,N' -diphenyl]-1,1'-biphenyl)-4,4'-diamine (NPD) glasses used as hole transport layers for organic light emitting diodes (OLEDs). A simple, low-vacuum glass sublimation apparatus and a high vacuum deposition chamber were used to deposit the glass. 50 μm thick films were deposited in the sublimation apparatus and characterized by differential scanning calorimetry while 75 nm thick films were prepared in the high vacuum chamber and studied by hot-stage spectroscopic ellipsometry (SE). The thermodynamic stability from both preparation chambers was consistent and showed that the fictive temperature (Tfictive) was more than 30 K lower than the conventional glass transition temperature (Tg) at the slowest deposition rates. The kinetic stability, measured as the onset temperature (Tonset) where the glass begins to transform into the supercooled liquid, was 16-17 K greater than Tg at the slowest rates. Tonset was systematically lower for the thin films characterized by SE and was attributed to the thickness dependent transformation of the glass into the supercooled liquid. These results show the first calorimetric characterization of the stability of glasses for OLED applications made by vapor deposition and the first direct comparison of deposition apparatuses as a function of the deposition rate. The ease of fabrication will create an opportunity for others to study the effect of deposition conditions on glass stability.

  11. A review of melt and vapor growth techniques for polydiacetylene thin films for nonlinear optical applications

    NASA Technical Reports Server (NTRS)

    Penn, B. G.; Shields, A.; Frazier, D. O.

    1988-01-01

    Methods for the growth of polydiacetylene thin films by melt and vapor growth and their subsequent polymerization are summarized. Films with random orientations were obtained when glass or quartz were used as substrates in the vapor growth process. Oriented polydiacetylene films were fabricated by the vapor deposition of diacetylene monomer onto oriented polydiacetylene on a glass substrate and its subsequent polymerization by UV light. A method for the growth of oriented thin films by a melt-shear growth process as well as a method of film growth by seeded recrstallization from the melt between glass plates, that may be applied to the growth of polydiacetylene films, are described. Moreover, a method is presented for the fabrication of single crystal thin films of polyacetylenes by irradiation of the surface of diacetylene single crystals to a depth between 100 and 2000 angstroms.

  12. Low-temperature-grown continuous graphene films from benzene by chemical vapor deposition at ambient pressure

    NASA Astrophysics Data System (ADS)

    Jang, Jisu; Son, Myungwoo; Chung, Sunki; Kim, Kihyeun; Cho, Chunhum; Lee, Byoung Hun; Ham, Moon-Ho

    2015-12-01

    There is significant interest in synthesizing large-area graphene films at low temperatures by chemical vapor deposition (CVD) for nanoelectronic and flexible device applications. However, to date, low-temperature CVD methods have suffered from lower surface coverage because micro-sized graphene flakes are produced. Here, we demonstrate a modified CVD technique for the production of large-area, continuous monolayer graphene films from benzene on Cu at 100-300 °C at ambient pressure. In this method, we extended the graphene growth step in the absence of residual oxidizing species by introducing pumping and purging cycles prior to growth. This led to continuous monolayer graphene films with full surface coverage and excellent quality, which were comparable to those achieved with high-temperature CVD; for example, the surface coverage, transmittance, and carrier mobilities of the graphene grown at 300 °C were 100%, 97.6%, and 1,900-2,500 cm2 V-1 s-1, respectively. In addition, the growth temperature was substantially reduced to as low as 100 °C, which is the lowest temperature reported to date for pristine graphene produced by CVD. Our modified CVD method is expected to allow the direct growth of graphene in device manufacturing processes for practical applications while keeping underlying devices intact.

  13. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  14. Structural and electrical characterization of microcrystalline silicon films prepared by a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system

    NASA Astrophysics Data System (ADS)

    Hong, J. P.; Kim, C. O.; Nahm, T. U.; Kim, C. M.

    2000-02-01

    Microcrystalline silicon films have been prepared on indium-coated glass utilizing a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system. The microcrystalline films were fabricated by varying the number of cycles from 10 to 60 under a fixed H2 time (t2) of 120 s, where the corresponding deposition time (t1) of amorphous silicon thin film was 60 s. Structural properties, such as the crystalline volume fraction (Xc) and grain sizes were analyzed by using Raman spectroscopy and a scanning electron microscopy. The carrier transport was characterized by the temperature dependence of dark conductivity, giving rise to the calculation of activation energy (Ea). Optical energy gaps (Eg) were also investigated using an ultraviolet spectrophotometer. In addition, the process under different hydrogen plasma time (t2) at a fixed number of 20 cycles was extensively carried out to study the dominant role of hydrogen atoms in layer-by-layer deposition. Finally, the correlation between structural and electrical properties has been discussed on the basis of experimental results.

  15. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  16. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  17. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  18. Strain and in-plane orientation effects on the ferroelectricity of (111)-oriented tetragonal Pb(Zr0.35Ti0.65)O3 thin films prepared by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kuwabara, Hiroki; Menou, Nicolas; Funakubo, Hiroshi

    2007-05-01

    The growth and characterization of epitaxial (111)-oriented Pb(Zr0.35Ti0.65)O3 films deposited by metal organic chemical vapor deposition on (100)-oriented silicon substrates [(111)SrRuO3‖(111)Pt ‖(100)yttria-stabilizedzirconia‖(100)Si] are reported. The orientation, microstructure, and electric properties of these films are compared to those of fiber-textured highly (111)-oriented lead zirconate titanate (PZT) films deposited on (111)SrRuO3/(111)Pt/TiOx/SiO2/(100)Si substrates and epitaxial (111)-oriented PZT films deposited on (111)SrRuO3‖(111)SrTiO3 substrates. The ferroelectric properties of these films are not drastically influenced by the in-plane orientation of the film and by the strain state imposed by the underlying substrate. These results support the use of fiber-textured highly (111)-oriented films in highly stable ferroelectric capacitors.

  19. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  20. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  1. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  2. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  3. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  4. Planar structured perovskite solar cells by hybrid physical chemical vapor deposition with optimized perovskite film thickness

    NASA Astrophysics Data System (ADS)

    Wei, Xiangyang; Peng, Yanke; Jing, Gaoshan; Cui, Tianhong

    2018-05-01

    The thickness of perovskite absorber layer is a critical parameter to determine a planar structured perovskite solar cell’s performance. By modifying the spin coating speed and PbI2/N,N-dimethylformamide (DMF) solution concentration, the thickness of perovskite absorber layer was optimized to obtain high-performance solar cells. Using a PbI2/DMF solution of 1.3 mol/L, maximum power conversion efficiency (PCE) of a perovskite solar cell is 15.5% with a perovskite film of 413 nm at 5000 rpm, and PCE of 14.3% was also obtained for a solar cell with a perovskite film of 182 nm thick. It is derived that higher concentration of PbI2/DMF will result in better perovskite solar cells. Additionally, these perovskite solar cells are highly uniform. In 14 sets of solar cells, standard deviations of 11 sets of solar cells were less than 0.50% and the smallest standard deviation was 0.25%, which demonstrates the reliability and effectiveness of hybrid physical chemical vapor deposition (HPCVD) method.

  5. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  6. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  7. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    electron microscope. The corrosion rate of the nonequilibrium sputtered alloys, as determined by polarization resistance, is significantly reduced compared to the most corrosion resistant commercial magnesium alloys. The open circuit potentials of the sputter deposited alloys are significantly more noble compared to commercial, equilibrium phase magnesium alloys. Galvanic corrosion susceptibility has also been considerably reduced. Nonequilibrium magnesium-yttrium-titanium alloys have been shown to achieve passivity autonomously by alteration of the composition chemistry of the surface oxide/hydroxide layer. Self-healing properties are also evident, as corrosion propagation can be arrested after initial pitting of the material. A clear relationship exists between the corrosion resistance of sputter vapor deposited magnesium alloys and the amount of ion bombardment incurred by the alloy during deposition. Argon pressure, the distance between the source and the substrate, and alloy morphology play important roles in determining the ability of the alloy to develop a passive film. Thermal effects, both during and after alloy deposition, alter the stress state of the alloys, precipitation of second phases, and the mechanical stability of the passive film. An optimal thermal treatment has been developed in order to maximize the corrosion resistance of the magnesium-yttrium-titanium alloys. The significance of the results includes the acquisition of electrochemical data for these novel materials, as well as expanding the utilization of magnesium alloys by the improvement in their corrosion resistance. The magnesium alloys developed in this work are more corrosion resistant than any commercial magnesium alloy. Structural components comprised of these alloys would therefore exhibit unprecedented corrosion performance. Coatings of these alloys on magnesium components would provide a corrosion resistant yet galvanically-compatible coating. The broad impact of these contributions is

  8. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  9. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, A.F.; Makowiecki, D.M.; Rambach, G.D.; Randich, E.

    1998-05-19

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated. 8 figs.

  10. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1999-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  11. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1998-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  12. Novel growth techniques for the deposition of high-quality perovskite thin films

    NASA Astrophysics Data System (ADS)

    Ng, Annie; Ren, Zhiwei; Li, Gang; Djurišić, Aleksandra B.; Surya, Charles

    2018-02-01

    We present investigations on the growth of high quality CH3NH3PbI3 (MAPI) thin films using both vapor and solution techniques. Recent work on perovskite film growth indicates critical dependencies of the film quality on the nucleation and crystallization steps requiring: i.) uniform distribution of nucleation sites; and ii.) optimal crystallization rate that facilitates the growth of a compact, continuous film with low density of pinholes. Our work shows that the hybrid chemical vapor deposition technique (HCVD) technique is well suited for the deposition of evenly distributed nucleation sites and the optimization of the crystallization rate of the film through detailed monitoring of the thermal profile of the growth process. Signficant reduction in the defect states is recorded by annealing the perovskite films in O2. The results are consistent with theoretical studies by Yin et al. 1 on O and Cl passivation of the shallow states at the grain boundary of MAPI. Their work provides the theoretical basis for our experimental observations on the passivation of shallow states by oxygen annealing. High quality films were achieved through detailed management of the carrier gas composition and the thermal profile of the nucleation and crystallization steps.

  13. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  14. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  15. Doping of vanadium to nanocrystalline diamond films by hot filament chemical vapor deposition

    PubMed Central

    2012-01-01

    Doping an impure element with a larger atomic volume into crystalline structure of buck crystals is normally blocked because the rigid crystalline structure could not tolerate a larger distortion. However, this difficulty may be weakened for nanocrystalline structures. Diamonds, as well as many semiconductors, have a difficulty in effective doping. Theoretical calculations carried out by DFT indicate that vanadium (V) is a dopant element for the n-type diamond semiconductor, and their several donor state levels are distributed between the conduction band and middle bandgap position in the V-doped band structure of diamond. Experimental investigation of doping vanadium into nanocrystalline diamond films (NDFs) was first attempted by hot filament chemical vapor deposition technique. Acetone/H2 gas mixtures and vanadium oxytripropoxide (VO(OCH2CH2CH3)3) solutions of acetone with V and C elemental ratios of 1:5,000, 1:2,000, and 1:1,000 were used as carbon and vanadium sources, respectively. The resistivity of the V-doped NDFs decreased two orders with the increasing V/C ratios. PMID:22873631

  16. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chason, E.; Karlson, M.; Colin, J. J.

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on themore » grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.« less

  17. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  18. Chemical Vapor Deposition for Ultra-lightweight Thin-film Solar Arrays for Space

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Jin, Michael H.; Lau, Janice E.; Harris, Jerry D.; Cowen, Jonathan E.; Duraj, Stan A.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power, (W/kg). The use of a polycrystalline chalcopyrite absorber layer for thin film solar cells is considered as the next generation photovoltaic devices. A key technical issues outlined in the 2001 U.S. Photovoltaic Roadmap, is the need to develop low cost, high throughput manufacturing for high-efficiency thin film solar cells. At NASA GRC we have focused on the development of new single-source-precursors (SSPs) and their utility to deposit the chalcopyrite semi-conducting layer (CIS) onto flexible substrates for solar cell fabrication. The syntheses and thermal modulation of SSPs via molecular engineering is described. Thin-film fabrication studies demonstrate the SSPs can be used in a spray CVD process, for depositing CIS at reduced temperatures, which display good electrical properties, suitable for PV devices.

  19. Thin film solar cells with Si nanocrystallites embedded in amorphous intrinsic layers by hot-wire chemical vapor deposition.

    PubMed

    Park, Seungil; Parida, Bhaskar; Kim, Keunjoo

    2013-05-01

    We investigated the thin film growths of hydrogenated silicon by hot-wire chemical vapor deposition with different flow rates of SiH4 and H2 mixture ambient and fabricated thin film solar cells by implementing the intrinsic layers to SiC/Si heterojunction p-i-n structures. The film samples showed the different infrared absorption spectra of 2,000 and 2,100 cm(-1), which are corresponding to the chemical bonds of SiH and SiH2, respectively. The a-Si:H sample with the relatively high silane concentration provides the absorption peak of SiH bond, but the microc-Si:H sample with the relatively low silane concentration provides the absorption peak of SiH2 bond as well as SiH bond. Furthermore, the microc-Si:H sample showed the Raman spectral shift of 520 cm(-1) for crystalline phase Si bonds as well as the 480 cm(-1) for the amorphous phase Si bonds. These bonding structures are very consistent with the further analysis of the long-wavelength photoconduction tail and the formation of nanocrystalline Si structures. The microc-Si:H thin film solar cell has the photovoltaic behavior of open circuit voltage similar to crystalline silicon thin film solar cell, indicating that microc-Si:H thin film with the mixed phase of amorphous and nanocrystalline structures show the carrier transportation through the channel of nanocrystallites.

  20. Stresses in chemical vapor deposited epitaxial 3C-SiC membranes

    NASA Astrophysics Data System (ADS)

    Su, C. M.; Fekade, A.; Spencer, M.; Wuttig, Manfred

    1995-02-01

    The internal stresses in chemical-vapor-deposited 3C-SiC films were studied by a vibrating membrane technique. The differential thermal expansivity of 3C-SiC films was investigated by the change of the internal stress as a function of temperature. It was found that the internal stress of the films is dominated by thermal stresses and its magnitude depends both on doping and the film thickness. While p doping substantially increases the stress, increasing the film thickness reduces the stress of the SiC layer. The thermal expansivity of the SiC layer shows a lower value which is significantly less than of bulk 3C-SiC and tends to approach the expansivity of the Si substrate. It is proposed that the stress dependence of the SiC films on doping and film thickness is the result of the film morphology which is heavily faulted for very thin films and more perfect as the film thickness increases.

  1. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  2. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  3. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  4. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  5. Modeling and experimental study on the growth of silicon germanium film by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Lai

    Hydrogenated microcrystalline silicon germanium µc-SiGe:H deposited by plasma enhanced chemical vapor deposition (PECVD) is of great interest to photovoltaic (PV) applications due to its low process temperature and good uniformity over large area. The nature of high optical absorption and low optical bandgap makes it promising as the bottom cell absorbing layer for tandem junction solar cells. However, the addition of germane (GeH4) gas changes deposited film properties and makes it rather complicated for the established silane (SiH4) based discharge process with hydrogen (H2) dilution. Despite existing experimental studies for SiH 4/GeH4/H2 3-gas mixture discharge and comprehensive numerical simulations for SiH4/H2 or SiH4/Ar plasma, to the author's best knowledge, a numerical model for both SiH 4 and GeH4 in a high pressure regime is yet to be developed. The plasma discharge, the film growth and their effects on film properties and the solar device performance need deep understanding. In this dissertation, the growth of the µc-SiGe:H film by radio frequency (RF) PECVD is studied through modeling simulation as well as experiments. The first numerical model for the glow discharge of SiH4/GeH 4/H2 3-gas mixture in a high pressure regime is developed based on one dimensional fluid model. Transports of electrons, molecules, radicals and ions in the RF excitation are described by diffusion equations that are coupled with the Poisson's equation. The deposition is integrated as the boundary conditions for discharge equations through the sticking coefficient model. Neutral ionizations, radical dissociations and chemical reactions in the gas phase and surface kinetics such as the diffusive motion, chemical reactions and the hydrogen etching are included with interaction rate constants. Solved with an explicit central-difference discretization scheme, the model simulates mathematical features that reflect the plasma physics such as the plasma sheath and gas species

  6. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  7. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  8. Friction and Wear Properties of Selected Solid Lubricating Films. Part 3; Magnetron-Sputtered and Plasma-Assisted, Chemical-Vapor-Deposited Diamondlike Carbon Films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Iwaki, Masanori; Gotoh, Kenichi; Obara, Shingo; Imagawa, Kichiro

    2000-01-01

    To evaluate commercially developed dry solid film lubricants for aerospace bearing applications, an investigation was conducted to examine the friction and wear behavior of magnetron-sputtered diamondlike carbon (MS DLC) and plasma-assisted, chemical-vapor-deposited diamondlike carbon (PACVD DLC) films in sliding contact with 6-mm-diameter American Iron and Steel Institute (AISI) 440C stainless steel balls. Unidirectional sliding friction experiments were conducted with a load of 5.9 N (600 g), a mean Hertzian contact pressure of 0.79 GPa (maximum Hertzian contact pressure of L-2 GPa), and a sliding velocity of 0.2 m/s. The experiments were conducted at room temperature in three environments: ultrahigh vacuum (vacuum pressure, 7x10(exp -7) Pa), humid air (relative humidity, approx.20 percent), and dry nitrogen (relative humidity, <1 percent). The resultant films were characterized by scanning electron microscopy, energy-dispersive x-ray spectroscopy, and surface profilometry. Marked differences in the friction and wear of the DLC films investigated herein resulted from the environmental conditions. The main criteria for judging the performance of the DLC films were coefficient of friction and wear rate, which had to be less than 0.3 and on the order of 10(exp -6) cu mm/N-m or less, respectively. MS DLC films and PACVD DLC films met the criteria in humid air and dry nitrogen but failed in ultrahigh vacuum, where the coefficients of friction were greater than the criterion, 0.3. In sliding contact with 440C stainless steel balls in all three environments the PACVD DLC films exhibited better tribological performance (i.e., lower friction and wear) than the MS DLC films. All sliding involved adhesive transfer of wear materials: transfer of DLC wear debris to the counterpart 440C stainless steel and transfer of 440C stainless steel wear debris to the counterpart DLC film.

  9. Critical current density of high-quality Bi2Sr2Ca2Cu3Ox thin films prepared by metalorganic chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamasaki, H.; Endo, K.; Nakagawa, Y.; Umeda, M.; Kosaka, S.; Misawa, S.; Yoshida, S.; Kajimura, K.

    1992-10-01

    Critical current densities Jc were measured in as-deposited, c-axis-oriented Bi2Sr2Ca2Cu3Ox thin films with Tc values as high as 97 K, which were prepared by metalorganic chemical-vapor deposition. These films showed high Jc (≳109 A/m2) at 77.3 K in high magnetic fields (≥1 T, H∥a-b plane). The best values are 3.3×109 A/m2 at 1 T and 9.1×108 A/m2 at 8 T, which are the highest Jc for Bi-oxide thin films among those reported so far. There were no signs of weak links in the Jc(H) behavior, and the surface morphology examined by scanning electron microscopy showed no apparent grain boundaries. The values of Jc decreased sharply when the applied field deviated from the a-b plane, and went to zero at the angles where the field component in the c direction is nearly equal to the irreversibility field Hc2* parallel to the c axis. The angular dependence of Jc of these films is most reasonably explained by the theory of intrinsic pinning.

  10. Dynamic and temperature dependent response of physical vapor deposited Se in freely standing nanometric thin films

    NASA Astrophysics Data System (ADS)

    Yoon, Heedong; McKenna, Gregory B.

    2016-05-01

    Here, we report results from an investigation of nano-scale size or confinement effects on the glass transition and viscoelastic properties of physical vapor deposited selenium films. The viscoelastic response of freely standing Se films was determined using a biaxial membrane inflation or bubble inflation method [P. A. O'Connell and G. B. McKenna, Science 307, 1760-1763 (2005)] on films having thicknesses from 60 to 267 nm and over temperatures ranging from Tg, macroscopic - 15 °C to Tg, macroscopic + 21 °C. Time-temperature superposition and time-thickness superposition were found to hold for the films in the segmental dispersion. The responses are compared with macroscopic creep and recoverable creep compliance data for selenium [K. M. Bernatz et al., J. Non-Cryst. Solids 307, 790-801 (2002)]. The time-temperature shift factors for the thin films show weaker temperature dependence than seen in the macroscopic behavior, being near to Arrhenius-like in their temperature dependence. Furthermore, the Se films exhibit a "rubbery-like" stiffening that increases as film thickness decreases similar to prior observations [P. A. O'Connell et al., Macromolecules 45(5), 2453-2459 (2012)] for organic polymers. In spite of the differences from the macroscopic behavior in the temperature dependence of the viscoelastic response, virtually no change in Tg as determined from the thickness dependence of the retardation time defining Tg was observed in the bubble inflation creep experiments to thicknesses as small as 60 nm. We also find that the observed rubbery stiffening is consistent with the postulate of K. L. Ngai et al. [J. Polym. Sci., Part B: Polym. Phys. 51(3), 214-224 (2013)] that it should correlate with the change of the macroscopic segmental relaxation.

  11. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  12. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  13. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate

  14. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    NASA Astrophysics Data System (ADS)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  15. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  16. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  17. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  18. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  19. From amorphous to nanocrystalline: the effect of nanograins in amorphous matrix on the thermal conductivity of hot-wire chemical-vapor deposited silicon films

    DOE PAGES

    Kearney, B. T.; Jugdersuren, B.; Queen, D. R.; ...

    2017-12-28

    Here, we have measured the thermal conductivity of amorphous and nanocrystalline silicon films with varying crystalline content from 85K to room temperature. The films were prepared by the hot-wire chemical-vapor deposition, where the crystalline volume fraction is determined by the hydrogen (H2) dilution ratio to the processing silane gas (SiH4), R=H2/SiH4. We varied R from 1 to 10, where the films transform from amorphous for R < 3 to mostly nanocrystalline for larger R. Structural analyses show that the nanograins, averaging from 2 to 9nm in sizes with increasing R, are dispersed in the amorphous matrix. The crystalline volume fractionmore » increases from 0 to 65% as R increases from 1 to 10. The thermal conductivities of the two amorphous silicon films are similar and consistent with the most previous reports with thicknesses no larger than a few um deposited by a variety of techniques. The thermal conductivities of the three nanocrystalline silicon films are also similar, but are about 50-70% higher than those of their amorphous counterparts. The heat conduction in nanocrystalline silicon films can be understood as the combined contribution in both amorphous and nanocrystalline phases, where increased conduction through improved nanocrystalline percolation path outweighs increased interface scattering between silicon nanocrystals and the amorphous matrix.« less

  20. From amorphous to nanocrystalline: the effect of nanograins in amorphous matrix on the thermal conductivity of hot-wire chemical-vapor deposited silicon films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kearney, B. T.; Jugdersuren, B.; Queen, D. R.

    Here, we have measured the thermal conductivity of amorphous and nanocrystalline silicon films with varying crystalline content from 85K to room temperature. The films were prepared by the hot-wire chemical-vapor deposition, where the crystalline volume fraction is determined by the hydrogen (H2) dilution ratio to the processing silane gas (SiH4), R=H2/SiH4. We varied R from 1 to 10, where the films transform from amorphous for R < 3 to mostly nanocrystalline for larger R. Structural analyses show that the nanograins, averaging from 2 to 9nm in sizes with increasing R, are dispersed in the amorphous matrix. The crystalline volume fractionmore » increases from 0 to 65% as R increases from 1 to 10. The thermal conductivities of the two amorphous silicon films are similar and consistent with the most previous reports with thicknesses no larger than a few um deposited by a variety of techniques. The thermal conductivities of the three nanocrystalline silicon films are also similar, but are about 50-70% higher than those of their amorphous counterparts. The heat conduction in nanocrystalline silicon films can be understood as the combined contribution in both amorphous and nanocrystalline phases, where increased conduction through improved nanocrystalline percolation path outweighs increased interface scattering between silicon nanocrystals and the amorphous matrix.« less

  1. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  2. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  3. Characterization of a-SiC:H films produced in a standard plasma enhanced chemical vapor deposition system for x-ray mask application

    NASA Astrophysics Data System (ADS)

    Jean, A.; Chaker, M.; Diawara, Y.; Leung, P. K.; Gat, E.; Mercier, P. P.; Pépin, H.; Gujrathi, S.; Ross, G. G.; Kieffer, J. C.

    1992-10-01

    Hydrogenated amorphous a-SixC1-x:H films with various compositions (0.2≤x≤0.8) were prepared by a radio frequency (rf 100 kHz) glow discharge decomposition of a silane and methane mixture diluted in argon. The deposition system used was a commercially available plasma enhanced chemical vapor deposition reactor allowing a high throughput (22 wafers of 4 in. diameter each run). The properties of the films such as thickness, density, and stress were investigated. The composition, including hydrogen content and Si/C ratio, and the structure of the films were systematically examined by means of several diagnostics including electron recoil detection, x-ray photoelectron spectroscopy, and infrared (IR) absorption analysis. Thickness and density of the films were dependent on the film composition, while the stress of the films was highly compressive (3×109-1×1010 dynes/cm2). Density was about 2.4 g/cm3 for nearly stoichiometric SiC films. The hydrogen content of the films was practically constant at 27 at. % over the whole investigated composition range. The IR analyses suggested that the structure of the silicon carbide films is inorganic-like over the whole range of compositions. From stoichiometric to carbon-rich films, the structure mainly consists of a tetrahedral network where silicon atoms are randomly replaced by carbon atoms and one hydrogen atom is bonded to silicon (SiH group). However, the presence of SiH2 groups and microvoids was observed in the structure of Si-rich silicon carbide films. Finally, the development of SiC membranes for x-ray lithography was presented including the control of film stress by means of rapid thermal annealing. Silicon carbide membranes of relatively high surface area (32×32 mm2) and showing high optical transparency (80%) were successfully fabricated.

  4. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  5. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  6. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  7. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    NASA Astrophysics Data System (ADS)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  8. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  9. 2017 Report for New LANL Physical Vapor Deposition Capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roman, Audrey Rae; Zhao, Xinxin; Bond, Evelyn M.

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF ismore » the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.« less

  10. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  11. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  12. Metal-organic chemical vapor deposition of cerium oxide, gallium-indium-oxide, and magnesium oxide thin films: Precursor design, film growth, and film characterization

    NASA Astrophysics Data System (ADS)

    Edleman, Nikki Lynn

    A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism

  13. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  14. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  15. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  16. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition.

    PubMed

    Utama, M Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-11-07

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.

  17. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  18. Preparation of pentacene thin film deposited using organic material auto-feeding system for the fabrication of organic thin film transistor.

    PubMed

    Kim, Young Baek; Choi, Bum Ho; Lim, Yong Hwan; Yoo, Ha Na; Lee, Jong Ho; Kim, Jin Hyeok

    2011-02-01

    In this study, pentacene organic thin film was prepared using newly developed organic material auto-feeding system integrated with linear cell and characterized. The newly developed organic material auto-feeding system consists of 4 major parts: reservoir, micro auto-feeder, vaporizer, and linear cell. The deposition of organic thin film could be precisely controlled by adjusting feeding rate, main tube size, position and size of nozzle. 10 nm thick pentacene thin film prepared on glass substrate exhibited high uniformity of 3.46% which is higher than that of conventional evaporation method using point cell. The continuous deposition without replenishment of organic material can be performed over 144 hours with regulated deposition control. The grain size of pentacene film which affect to mobility of OTFT, was controlled as a function of the temperature.

  19. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  20. Ultrathin NiGe films prepared via catalytic solid-vapor reaction of Ni with GeH(4).

    PubMed

    Peter, Antony P; Opsomer, Karl; Adelmann, Christoph; Schaekers, Marc; Meersschaut, Johan; Richard, Olivier; Vaesen, Inge; Moussa, Alain; Franquet, Alexis; Zsolt, Tokei; Van Elshocht, Sven

    2013-10-09

    A low-temperature (225-300 °C) solid-vapor reaction process is reported for the synthesis of ultrathin NiGe films (∼6-23 nm) on 300 mm Si wafers covered with thermal oxide. The films were prepared via catalytic chemical vapor reaction of germane (GeH4) gas with physical vapor deposited (PVD) Ni films of different thickness (2-10 nm). The process optimization by investigating GeH4 partial pressure, reaction temperature, and time shows that low resistive, stoichiometric, and phase pure NiGe films can be formed within a broad window. NiGe films crystallized in an orthorhombic structure and were found to exhibit a smooth morphology with homogeneous composition as evidenced by glancing angle X-ray diffraction (GIXRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and Rutherford back-scattering (RBS) analysis. Transmission electron microscopy (TEM) analysis shows that the NiGe layers exhibit a good adhesion without voids and a sharp interface on the thermal oxide. The NiGe films were found to be morphologically and structurally stable up to 500 °C and exhibit a resistivity value of 29 μΩ cm for 10 nm NiGe films.

  1. Low-temperature (120 °C) growth of nanocrystalline silicon films prepared by plasma enhanced chemical vapor deposition from SiCl 4/H 2 gases: Microstructure characterization

    NASA Astrophysics Data System (ADS)

    Zhang, L.; Gao, J. H.; Xiao, J. Q.; Wen, L. S.; Gong, J.; Sun, C.

    2012-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films were prepared using diluted tetrachlorosilane (SiCl4) with various hydrogen flow rates (Hf) by plasma enhanced chemical vapor deposition (PECVD) at a constant substrate temperature (Ts) as low as 120 °C. Raman spectroscopy, transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), infrared spectra (IR) and spectroscopic ellipsometry (SE) were employed to investigate the microstructure and hydrogen bonding of the nc-Si:H films. Our results showed that the microstructure and hydrogen content of the films could be effectively tailored by the hydrogen flow rates, and a distinct transition from amorphous to nanocrystalline phase was observed with an increase of Hf. At an optimal preparation condition, a deposition rate was as high as 3.7 nm/min and the crystallinity reached up to 64.1%. In addition, the effect of hydrogen on the low-temperature growth of nc-Si:H film was proposed in relation to the surface reaction of radicals and the hydrogen diffusion in the surface growing region.

  2. High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD

    NASA Astrophysics Data System (ADS)

    Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.

    2000-12-01

    LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.

  3. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica films, and hence such films would be desirable for this application.

  4. A nitrogen-doped graphene film prepared by chemical vapor deposition of a methanol mist containing methylated melamine resin

    NASA Astrophysics Data System (ADS)

    Mizuno, T.; Takizawa, M.; Tsuchiya, B.; Jinno, M.; Bandow, S.

    2013-11-01

    The effect of nitrogen doping on the sheet resistivity of a graphene film is systematically studied by changing the doping concentration. The nitrogen-doped graphene film is grown on a Cu foil by chemical vapor deposition using an ultrasonically generated methanol mist containing methylated melamine resin (simply called ‘melamine’). Using this method, it is found that the magnitude of the sheet resistivity is controllable by changing the melamine concentration. Increasing the melamine concentration up to ˜0.03 % causes a decrease of the sheet resistivity. We explain this by the substitutional doping of nitrogen atoms. A further increase in melamine concentration causes an increase of the sheet resistivity. This increase may be caused by the formation of pyridinic or pyrrolic N instead of substitutional N. Electron energy loss spectroscopy analyses for the carbon K-edge indicate a decrease of π ∗ character with increasing melamine concentration up to 0.08 % and then it recovers for higher concentration. This is due to a separation of the graphitic region and the defective region at high melamine concentration.

  5. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    NASA Astrophysics Data System (ADS)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  6. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  7. TiOx thin films grown on Pd(100) and Pd(111) by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Farstad, M. H.; Ragazzon, D.; Grönbeck, H.; Strømsheim, M. D.; Stavrakas, C.; Gustafson, J.; Sandell, A.; Borg, A.

    2016-07-01

    The growth of ultrathin TiOx (0≤x≤2) films on Pd(100) and Pd(111) surfaces by chemical vapor deposition (CVD), using Titanium(IV)isopropoxide (TTIP) as precursor, has been investigated by high resolution photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Three different TiOx phases and one Pd-Ti alloy phase have been identified for both surfaces. The Pd-Ti alloy phase is observed at the initial stages of film growth. Density functional theory (DFT) calculations for Pd(100) and Pd(111) suggest that Ti is alloyed into the second layer of the substrate. Increasing the TTIP dose yields a wetting layer comprising Ti2 + species (TiOx, x ∼0.75). On Pd(100), this phase exhibits a mixture of structures with (3 × 5) and (4 × 5) periodicity with respect to the Pd(100) substrate, while an incommensurate structure is formed on Pd(111). Most importantly, on both surfaces this phase consists of a zigzag pattern similar to observations on other reactive metal surfaces. Further increase in coverage results in growth of a fully oxidized (TiO2) phase on top of the partially oxidized layer. Preliminary investigations indicate that the fully oxidized phase on both Pd(100) and Pd(111) may be the TiO2(B) phase.

  8. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    NASA Astrophysics Data System (ADS)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  9. Supercritical fluid molecular spray film deposition and powder formation

    DOEpatents

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  10. In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.

    1990-01-01

    Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  11. Vapor and healing treatment for CH3NH3PbI3-xClx films toward large-area perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Gouda, Laxman; Gottesman, Ronen; Tirosh, Shay; Haltzi, Eynav; Hu, Jiangang; Ginsburg, Adam; Keller, David A.; Bouhadana, Yaniv; Zaban, Arie

    2016-03-01

    Hybrid methyl-ammonium lead trihalide perovskites are promising low-cost materials for use in solar cells and other optoelectronic applications. With a certified photovoltaic conversion efficiency record of 20.1%, scale-up for commercial purposes is already underway. However, preparation of large-area perovskite films remains a challenge, and films of perovskites on large electrodes suffer from non-uniform performance. Thus, production and characterization of the lateral uniformity of large-area films is a crucial step towards scale-up of devices. In this paper, we present a reproducible method for improving the lateral uniformity and performance of large-area perovskite solar cells (32 cm2). The method is based on methyl-ammonium iodide (MAI) vapor treatment as a new step in the sequential deposition of perovskite films. Following the MAI vapor treatment, we used high throughput techniques to map the photovoltaic performance throughout the large-area device. The lateral uniformity and performance of all photovoltaic parameters (Voc, Jsc, Fill Factor, Photo-conversion efficiency) increased, with an overall improved photo-conversion efficiency of ~100% following a vapor treatment at 140 °C. Based on XRD and photoluminescence measurements, We propose that the MAI treatment promotes a ``healing effect'' to the perovskite film which increases the lateral uniformity across the large-area solar cell. Thus, the straightforward MAI vapor treatment is highly beneficial for large scale commercialization of perovskite solar cells, regardless of the specific deposition method.Hybrid methyl-ammonium lead trihalide perovskites are promising low-cost materials for use in solar cells and other optoelectronic applications. With a certified photovoltaic conversion efficiency record of 20.1%, scale-up for commercial purposes is already underway. However, preparation of large-area perovskite films remains a challenge, and films of perovskites on large electrodes suffer from non

  12. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  13. Ferroelectric and reliability properties of metal-organic chemical vapor deposited Pb(Zr0.15Ti0.85)O3 thin films grown in the self-regulation process window

    NASA Astrophysics Data System (ADS)

    Zhao, Jin Shi; Lee, Hyun Ju; Sim, Joon Seop; Lee, Keun; Hwang, Cheol Seong

    2006-04-01

    Ferroelectric reliability of Pb(Zr0.15Ti0.85)O3 films grown by metal-organic chemical vapor deposition at 570°C on an Ir electrode in the self-regulation process window [constant Pb concentration irrespective of the precursor input ratio (Pb /(Zr+Ti), PIR)] was studied. Although the Pb composition and crystallinity of the films grown under different PIR were almost identical, the film grown under a PIR which was near the center of the process window showed the best ferroelectric performance. X-ray photoelectron spectroscopy showed that the films grown at lower and higher PIR have residual ZrO2 and metallic Pb, respectively, which resulted in reduced remanent polarization and reliability.

  14. Temperature dependent electrical properties of polyaniline film grown on paper through aniline vapor polymerization

    NASA Astrophysics Data System (ADS)

    Deb, K.; Bhowmik, K. L.; Bera, A.; Chattopadhyay, K. K.; Saha, B.

    2016-05-01

    Polyaniline thin film has been prepared on paper by aniline vapor deposition technique. Ferric chloride has been used as polymerizing agent in this approach. The prepared films were studied through electrical resistivity and optical properties measurements. The electrical resistivity of the polyaniline film shows significant temperature dependence. The resistance sharply falls with the increase in temperature. The optical absorbance measurements shows characteristics absorbance peak indicating the formation of conducting emeraldine salt form of polyaniline. The optical energy band gap of the film was calculated from the transmittance spectra. The optical energy band gap and electrical conductivity of the polyaniline film is well suited for their applications in electronic devices.

  15. Effect of copper doping sol-gel ZnO thin films: physical properties and sensitivity to ethanol vapor

    NASA Astrophysics Data System (ADS)

    Boukaous, Chahra; Benhaoua, Boubaker; Telia, Azzedine; Ghanem, Salah

    2017-10-01

    In the present paper, the effect of copper doping ZnO thin films, deposited using a sol-gel dip-coating technique, on the structural, optical and ethanol vapor-sensing properties, was investigated. The range of the doping content is 0 wt. %-5 wt. % Cu/Zn and the films’ properties were studied using x-ray diffraction, scanning electron microscopy and a UV-vis spectrophotometer. The obtained results indicated that undoped and copper-doped zinc oxide thin films have polycrystalline wurtzite structure with (1 0 1) preferred orientation. All samples have a smooth and dense structure free of pinholes. A decrease in the band gap with Cu concentration in the ZnO network was observed. The influence of the dopant on ethanol vapor-sensing properties shows an increase in the film sensitivity to the ethanol vapor within the Cu concentration.

  16. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  17. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  18. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    PubMed

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  20. Alternate deposition and hydrogen doping technique for ZnO thin films

    NASA Astrophysics Data System (ADS)

    Myong, Seung Yeop; Lim, Koeng Su

    2006-08-01

    We propose an alternate deposition and hydrogen doping (ADHD) technique for polycrystalline hydrogen-doped ZnO thin films, which is a sublayer-by-sublayer deposition based on metalorganic chemical vapor deposition and mercury-sensitized photodecomposition of hydrogen doping gas. Compared to conventional post-deposition hydrogen doping, the ADHD process provides superior electrical conductivity, stability, and surface roughness. Photoluminescence spectra measured at 10 K reveal that the ADHD technique improves ultraviolet and violet emissions by suppressing the green and yellow emissions. Therefore, the ADHD technique is shown to be very promising aid to the manufacture of improved transparent conducting electrodes and light emitting materials.

  1. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  2. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    NASA Astrophysics Data System (ADS)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  3. Microenergetic Shock Initiation Studies on Deposited Films of Petn

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-12-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the out-of-plane and in-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult. Initiation was possible with an explosively-driven 0.13-mm thick Kapton flyer and direct observation of initiation behavior was examined using streak camera photography at different flyer velocities. Models of this configuration were created using the shock physics code CTH.

  4. Plasma deposition of amorphous silicon carbide thin films irradiated with neutrons

    NASA Astrophysics Data System (ADS)

    Huran, J.; Bohacek, P.; Kucera, M.; Kleinova, A.; Sasinkova, V.; IEE SAS, Bratislava, Slovakia Team; Polymer Institute, SAS, Bratislava, Slovakia Team; Institute of Chemistry, SAS, Bratislava, Slovakia Team

    2015-09-01

    Amorphous silicon carbide and N-doped silicon carbide thin films were deposited on P-type Si(100) wafer by plasma enhanced chemical vapor deposition (PECVD) technology using silane, methane, ammonium and argon gases. The concentration of elements in the films was determined by RBS and ERDA method. Chemical compositions were analyzed by FTIR spectroscopy. Photoluminescence properties were studied by photoluminescence spectroscopy (PL). Irradiation of samples with various neutron fluencies was performed at room temperature. The films contain silicon, carbon, hydrogen, nitrogen and small amount of oxygen. From the IR spectra, the films contained Si-C, Si-H, C-H, Si-N, N-H and Si-O bonds. No significance effect on the IR spectra after neutron irradiation was observed. PL spectroscopy results of films showed decreasing PL intensity after neutron irradiation and PL intensity decreased with increased neutron fluencies. The measured current of the prepared structures increased after irradiation with neutrons and rise up with neutron fluencies.

  5. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    NASA Astrophysics Data System (ADS)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol; An, Tae Kyu; Nam, Sooji; Kim, Se Hyun; Jang, Jaeyoung; Park, Chan Eon

    2017-08-01

    Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlOx) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlOx thin film at 180 °C was comparable to that of AlOx thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlOx thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10-7 A/cm2 at 2 MV/cm). Finally, we confirmed that a dense AlOx thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlOx thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m-2 day-1 (25 °C, 50% relative humidity) and 0.26 g m-2 day-1, respectively.

  6. Mechanical properties of ultrahigh molecular weight PHEMA hydrogels synthesized using initiated chemical vapor deposition.

    PubMed

    Bose, Ranjita K; Lau, Kenneth K S

    2010-08-09

    In this work, poly(2-hydroxyethyl methacrylate) (PHEMA), a widely used hydrogel, is synthesized using initiated chemical vapor deposition (iCVD), a one-step surface polymerization that does not use any solvents. iCVD synthesis is capable of producing linear stoichiometric polymers that are free from entrained unreacted monomer or solvent and, thus, do not require additional purification steps. The resulting films, therefore, are found to be noncytotoxic and also have low nonspecific protein adsorption. The kinetics of iCVD polymerization are tuned so as to achieve rapid deposition rates ( approximately 1.5 microm/min), which in turn yield ultrahigh molecular weight polymer films that are mechanically robust with good water transport and swellability. The films have an extremely high degree of physical chain entanglement giving rise to high tensile modulus and storage modulus without the need for chemical cross-linking that compromises hydrophilicity.

  7. Initial stages of growth and the influence of temperature during chemical vapor deposition of sp{sup 2}-BN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chubarov, Mikhail; Pedersen, Henrik; Högberg, Hans

    2015-11-15

    Knowledge of the structural evolution of thin films, starting by the initial stages of growth, is important to control the quality and properties of the film. The authors present a study on the initial stages of growth and the temperature influence on the structural evolution of sp{sup 2} hybridized boron nitride (BN) thin films during chemical vapor deposition (CVD) with triethyl boron and ammonia as precursors. Nucleation of hexagonal BN (h-BN) occurs at 1200 °C on α-Al{sub 2}O{sub 3} with an AlN buffer layer (AlN/α-Al{sub 2}O{sub 3}). At 1500 °C, h-BN grows with a layer-by-layer growth mode on AlN/α-Al{sub 2}O{sub 3} upmore » to ∼4 nm after which the film structure changes to rhombohedral BN (r-BN). Then, r-BN growth proceeds with a mixed layer-by-layer and island growth mode. h-BN does not grow on 6H-SiC substrates; instead, r-BN nucleates and grows directly with a mixed layer-by-layer and island growth mode. These differences may be caused by differences in substrate surface temperature due to different thermal conductivities of the substrate materials. These results add to the understanding of the growth process of sp{sup 2}-BN employing CVD.« less

  8. A novel approach of deposition for uniform diamond films on circular saw blades

    NASA Astrophysics Data System (ADS)

    Hongxiu, ZHOU; Boya, YUAN; Jilei, LYU; Nan, JIANG

    2017-11-01

    Uniform diamond films are highly desirable for cutting industries, due to their high performance and long lifetime used on cutting tools. Nevertheless, they are difficult to obtain on cutting tools with complicated shapes, greatly limiting the applications of diamond films. In this study, a novel approach of deposition for uniform diamond films is proposed, on circular saw blades made of cemented carbide using reflectors of brass sheets. Diamond films are deposited using hot filament chemical vapor deposition (HFCVD). A novel concave structure of brass sheets is designed and fabricated, improving the distribution of temperature field, and overcoming the disadvantages of the conventional HFCVD systems. This increases the energy efficiency of use without changing the structure and increasing the cost of HFCVD. The grains are refined and the intensities of diamond peaks are strengthened obviously, which is confirmed by scanning electron microscopy and Raman spectra respectively.

  9. Interaction of platelets, fibrinogen and endothelial cells with plasma deposited PEO-like films

    NASA Astrophysics Data System (ADS)

    Yang, Zhilu; Wang, Jin; Li, Xin; Tu, Qiufen; Sun, Hong; Huang, Nan

    2012-02-01

    For blood-contacting biomedical implants like retrievable vena cava filters, surface-based diagnostic devices or in vivo sensors, limiting thrombosis and cell adhesion is paramount, due to a decrease even failure in performance. Plasma deposited PEO-like films were investigated as surface modifications. In this work, mixed gas composed of tetraethylene glycol dimethyl ether (tetraglyme) vapor and oxygen was used as precursor. It was revealed that plasma polymerization under high ratio of oxygen/tetraglyme led to deposition of the films that had high content of ether groups. This kind of PEO-like films had good stability in phosphate buffer solution. In vitro hemocompatibility and endothelial cell (EC) adhesion revealed low platelet adhesion, platelet activation, fibrinogen adhesion, EC adhesion and proliferation on such plasma deposited PEO-like films. This made it a potential candidate for the applications in anti-fouling surfaces of blood-contacting biomedical devices.

  10. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  11. Properties of Nanocrystalline Cubic Silicon Carbide Thin Films Prepared by Hot-Wire Chemical Vapor Deposition Using SiH4/CH4/H2 at Various Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Tabata, Akimori; Komura, Yusuke; Hoshide, Yoshiki; Narita, Tomoki; Kondo, Akihiro

    2008-01-01

    Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 gases, and the influence of substrate temperature, Ts (104 < Ts < 434 °C), on the properties of the SiC thin films was investigated. X-ray diffraction patterns and Raman scattering spectra revealed that nanocrystalline cubic SiC (nc-3C-SiC) films grew at Ts above 187 °C, while completely amorphous films grew at Ts = 104 °C. Fourier transform infrared absorption spectra revealed that the crystallinity of the nc-3C-SiC was improved with increasing Ts up to 282 °C and remained almost unchanged with a further increase in Ts from 282 to 434 °C. The spin density was reduced monotonically with increasing Ts.

  12. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  13. Thin-film semiconductor rectifier has improved properties

    NASA Technical Reports Server (NTRS)

    1966-01-01

    Cadmium selenide-zinc selenide film is used as a thin film semiconductor rectifier. The film is vapor-deposited in a controlled concentration gradient into a glass substrate to form the required junctions between vapor-deposited gold electrodes.

  14. Chemical vapor deposited silica coatings for solar mirror protection

    NASA Technical Reports Server (NTRS)

    Gulino, Daniel A.; Dever, Therese M.; Banholzer, William F.

    1988-01-01

    A variety of techniques is available to apply protective coatings to oxidation susceptible spacecraft components, and each has associated advantages and disadvantages. Film applications by means of chemical vapor deposition (CVD) has the advantage of being able to be applied conformally to objects of irregular shape. For this reason, a study was made of the oxygen plasma durability of thin film (less than 5000 A) silicon dioxide coatings applied by CVD. In these experiments, such coatings were applied to silver mirrors, which are strongly subject to oxidation, and which are proposed for use on the space station solar dynamic power system. Results indicate that such coatings can provide adequate protection without affecting the reflectance of the mirror. Scanning electron micrographs indicated that oxidation of the silver layer did occur at stress crack locations, but this did not affect the measured solar reflectances. Oxidation of the silver did not proceed beyond the immediate location of the crack. Such stress cracks did not occur in thinner silica flims, and hence such films would be desirable for this application.

  15. High rate DC-reactive sputter deposition of Y 2O 3 film on the textured metal substrate for the superconducting coated conductor

    NASA Astrophysics Data System (ADS)

    Kim, Ho-Sup; Park, Chan; Ko, Rock-Kil; Shi, Dongqui; Chung, Jun-Ki; Ha, Hong-Soo; Park, Yu-Mi; Song, Kyu-Jeong; Youm, Do-Jun

    2005-10-01

    Y2O3 film was directly deposited on Ni-3at%W substrate by DC reactive sputtering. DC reactive sputtering was carried out using metallic Y target and water vapor for oxidizing the elements of metallic target on the substrate. The detailed conditions of DC reactive sputtering for depositions of Y2O3 films were investigated. The window of water vapor for proper growth of Y2O3 films was determined by sufficient oxidations of the Y2O3 films and the non-oxidation of the target surface, which was required for high rate sputtering. The window turned out to be fairly wide in the chamber used. As the sputtering power was raised, the deposition rate increased without narrowing the window. The fabricated Y2O3 films showed good texture qualities and surface morphologies. The YBCO film deposited directly on the Y2O3 buffered Ni-3at%W substrate showed Tc, Ic (77 K, self field), and Jc (77 K, self field) of 89 K, 64 A/cm and 1.1 MA/cm2, respectively.

  16. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  17. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  18. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  19. Metalorganic chemical vapor deposition and characterization of ZnO materials

    NASA Astrophysics Data System (ADS)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  20. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ringleb, F.; Eylers, K.; Teubner, Th.

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Basedmore » on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.« less

  1. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  2. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    NASA Astrophysics Data System (ADS)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  3. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition.

    PubMed

    Kim, Y; Song, W; Lee, S Y; Jeon, C; Jung, W; Kim, M; Park, C-Y

    2011-06-27

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω∕sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  4. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  5. High sensitive formaldehyde graphene gas sensor modified by atomic layer deposition zinc oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mu, Haichuan; Zhang, Zhiqiang; Wang, Keke

    2014-07-21

    Zinc oxide (ZnO) thin films with various thicknesses were fabricated by Atomic Layer Deposition on Chemical Vapor Deposition grown graphene films and their response to formaldehyde has been investigated. It was found that 0.5 nm ZnO films modified graphene sensors showed high response to formaldehyde with the resistance change up to 52% at the concentration of 9 parts-per-million (ppm) at room temperature. Meanwhile, the detection limit could reach 180 parts-per-billion (ppb) and fast response of 36 s was also obtained. The high sensitivity could be attributed to the combining effect from the highly reactive, top mounted ZnO thin films, and high conductivemore » graphene base network. The dependence of ZnO films surface morphology and its sensitivity on the ZnO films thickness was also investigated.« less

  6. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  7. Spray deposited MnFe2O4 thin films for detection of ethanol and acetone vapors

    NASA Astrophysics Data System (ADS)

    Nagarajan, V.; Thayumanavan, A.

    2018-01-01

    Spinel MnFe2O4 films were synthesized with the help of spray pyrolysis technique. The morphology study shows fine crushed sand grain morphology of the film. The structural investigation verifies the polycrystalline nature of prepared MnFe2O4 films, which possess the spinel structure. Crystalline size is found to be around 23.5 nm-37.4 nm morphology analyses. Energy dispersive spectroscopy validates the presence of oxygen, iron and manganese in MnFe2O4 film. The prepared MnFe2O4 film is extremely sensitive towards ethanol molecules at 300 K. The electrical resistance of MnFe2O4 thin film decreases quickly when ethanol and acetone vapor molecules are adsorbed on base material. The synthesized MnFe2O4 film is also highly sensitive towards acetone molecules at ambient temperature. The selectivity, sensing response, stability and recovery time of MnFe2O4 film towards acetone and ethanol detection are analyzed in the present work.

  8. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  9. New deposition technique for metal films containing inorganic fullerene-like (IF) nanoparticles.

    PubMed

    Goldbart, Ohad; Yoffe, Alexander; Cohen, Sidney R; Rosentsveig, Rita; Feldman, Yishay; Rapoport, Lev; Tenne, Reshef

    2013-07-22

    This study describes a new method for fabrication of thin composite films using physical vapor deposition (PVD). Titanium (Ti) and hybrid films of titanium containing tungsten disulphide nanoparticles with inorganic fullerene-like structure (Ti/IF-WS2) were fabricated with a modified PVD machine. The evaporation process includes the pulsed deposition of IF-WS2 by a sprayer head. This process results in IF-WS2 nanoparticles embedded in a Ti matrix. The layers were characterized by various techniques, which confirm the composition and structure of the hybrid film. The Ti/IF-WS2 shows better wear resistance and a lower friction coefficient when compared to the Ti layer or Ti substrate. The Ti/IF films show very good antireflective properties in the visible and near-IR region. Such films may find numerous applications, for example, in the aerospace and medical technology. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Plasma enhanced chemical vapor deposition of wear resistant gradual a-Si1-x:Cx:H coatings on nickel-titanium for biomedical applications

    NASA Astrophysics Data System (ADS)

    Niermann, Benedikt; Böke, Marc; Schauer, Janine-Christina; Winter, Jörg

    2010-03-01

    Plasma enhanced chemical vapor deposition has been used to deposit thin films with gradual transitions from silicon to carbon on Cu, Ni, stainless steel, and NiTi. Thus show low stress, elasticity, and wear resistance with excellent adhesion on all metals under investigation. Already at low Si concentrations of 10 at. % the intrinsic stress is considerably reduced compared to pure diamondlike carbon (DLC) films. The deposition process is controlled by optical emission spectroscopy. This technique has been applied to monitor the growth precursors and to correlate them with the film composition. The compositions of the films were determined by Rutherford backscattering spectroscopy and XPS measurements. Due to the elastic properties of the gradual transition and the excellent biocompatibility of DLC, the described film systems present a useful coating for biomedical applications.

  11. Temperature dependent electrical properties of polyaniline film grown on paper through aniline vapor polymerization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deb, K.; Bera, A.; Saha, B., E-mail: biswajit.physics@gmail.com

    2016-05-23

    Polyaniline thin film has been prepared on paper by aniline vapor deposition technique. Ferric chloride has been used as polymerizing agent in this approach. The prepared films were studied through electrical resistivity and optical properties measurements. The electrical resistivity of the polyaniline film shows significant temperature dependence. The resistance sharply falls with the increase in temperature. The optical absorbance measurements shows characteristics absorbance peak indicating the formation of conducting emeraldine salt form of polyaniline. The optical energy band gap of the film was calculated from the transmittance spectra. The optical energy band gap and electrical conductivity of the polyaniline filmmore » is well suited for their applications in electronic devices.« less

  12. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  13. Nanocrystalline diamond thin films on titanium-6 aluminum-4 vanadium alloy temporomandibular joint prosthesis simulants by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fries, Marc Douglas

    A course of research has been performed to assess the suitability of nanocrystal-line diamond (NCD) films on Ti-6Al-4V alloy as wear-resistant coatings in biomedical implant use. A series of temporomandibular (TMJ) joint condyle simulants were polished and acid-passivated as per ASTM F86 standard for surface preparation of implants. A 3-mum-thick coating of NCD film was deposited by microwave plasma chemical vapor deposition (MPCVD) over the hemispherical articulation surfaces of the simulants. Plasma chemistry conditions were measured and monitored by optical emission spectroscopy (OES), using hydrogen as a relative standard. The films consist of diamond grains around 20 nm in diameter embedded in an amorphous carbon matrix, free of any detectable film stress gradient. Hardness averages 65 GPa and modulus measures 600 GPa at a depth of 250 nm into the film surface. A diffuse film/substrate boundary produces a minimal film adhesion toughness (GammaC) of 158 J/m2. The mean RMS roughness is 14.6 +/- 4.2 nm, with an average peak roughness of 82.6 +/- 65.9 nm. Examination of the surface morphology reveals a porous, dendritic surface. Wear testing resulted in two failed condylar coatings out of three tests. No macroscopic delamination was found on any sample, but micron-scale film pieces broke away, exposing the substrate. Electrochemical corrosion testing shows a seven-fold reduction in corrosion rate with the application of an NCD coating as opposed to polished, passivated Ti-6Al-4V, producing a corrosion rate comparable to wrought Co-Cr-Mo. In vivo biocompatibility testing indicates that implanted NCD films did not elicit an immune response in the rabbit model, and osteointegration was apparent for both compact and trabecular bone on both NCD film and bare Ti-6Al-4V. Overall, NCD thin film material is reasonably smooth, biocompatible, and very well adhered. Wear testing indicates that this material is unacceptable for use in demanding TMJ applications without

  14. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  15. Structure, mechanical, and frictional properties of hydrogenated fullerene-like amorphous carbon film prepared by direct current plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Yongfu; Gao, Kaixiong; Zhang, Junyan

    2016-07-01

    In this study, fullerene like carbon (FL-C) is introduced in hydrogenated amorphous carbon (a-C:H) film by employing a direct current plasma enhanced chemical vapor deposition. The film has a low friction and wear, such as 0.011 and 2.3 × 10-9mm3/N m in the N2, and 0.014 and 8.4 × 10-8mm3/N m in the humid air, and high hardness and elasticity (25.8 GPa and 83.1%), to make further engineering applications in practice. It has several nanometers ordered domains consisting of less frequently cross-linked graphitic sheet stacks. We provide new evidences for understanding the reported Raman fit model involving four vibrational frequencies from five, six, and seven C-atom rings of FL-C structures, and discuss the structure evolution before or after friction according to the change in the 1200 cm-1 Raman band intensity caused by five- and seven-carbon rings. Friction inevitably facilitates the transformation of carbon into FL-C nanostructures, namely, the ultra low friction comes from both such structures within the carbon film and the sliding induced at friction interface.

  16. Structural, electrical and optical properties of indium tin oxide thin film grown by metal organic chemical vapor deposition with tetramethyltin-precursor

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang

    2018-01-01

    Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.

  17. Reactive multilayers fabricated by vapor deposition. A critical review

    DOE PAGES

    Adams, D. P.

    2014-10-02

    The reactive multilayer thin films are a class of energetic materials that continue to attract attention for use in joining applications and as igniters. Generally composed of two reactants, these heterogeneous solids can be stimulated by an external source to promptly release stored chemical energy in a sudden emission of light and heat. In our critical review article, results from recent investigations of these materials are discussed. Discussion begins with a brief description of the vapor deposition techniques that provide accurate control of layer thickness and film composition. More than 50 reactive film compositions have been reported to date, withmore » most multilayers fabricated by magnetron sputter deposition or electron-beam evaporation. In later sections, we review how multilayer ignition threshold, reaction rate, and total heat are tailored via thin film design. For example, planar multilayers with nanometer-scale periodicity exhibit rapid, self-sustained reactions with wavefront velocities up to 100 m/s. Numeric and analytical models have elucidated many of the fundamental processes that underlie propagating exothermic reactions while demonstrating how reaction rates vary with multilayer design. Recent, time-resolved diffraction and imaging studies have further revealed the phase transformations and the wavefront dynamics associated with propagating chemical reactions. Many reactive multilayers (e.g., Co/Al) form product phases that are consistent with published equilibrium phase diagrams, yet a few systems, such as Pt/Al, develop metastable products. The final section highlights current and emerging applications of reactive multilayers. Examples include reactive Ni(V)/Al and Pd/Al multilayers which have been developed for localized soldering of heat-sensitive components.« less

  18. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  19. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  20. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    NASA Astrophysics Data System (ADS)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  1. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    NASA Astrophysics Data System (ADS)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  2. Effect of processing parameters on microstructure of MoS{sub 2} ultra-thin films synthesized by chemical vapor deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Yang; You, Suping; Sun, Kewei

    2015-06-15

    MoS{sub 2} ultra-thin layers are synthesized using a chemical vapor deposition method based on the sulfurization of molybdenum trioxide (MoO{sub 3}). The ultra-thin layers are characterized by X-ray diffraction (XRD), photoluminescence (PL) spectroscopy and atomic force microscope (AFM). Based on our experimental results, all the processing parameters, such as the tilt angle of substrate, applied voltage, heating time and the weight of source materials have effect on the microstructures of the layers. In this paper, the effects of such processing parameters on the crystal structures and morphologies of the as-grown layers are studied. It is found that the film obtainedmore » with the tilt angle of 0.06° is more uniform. A larger applied voltage is preferred to the growth of MoS{sub 2} thin films at a certain heating time. In order to obtain the ultra-thin layers of MoS{sub 2}, the weight of 0.003 g of source materials is preferred. Under our optimal experimental conditions, the surface of the film is smooth and composed of many uniformly distributed and aggregated particles, and the ultra-thin MoS{sub 2} atomic layers (1∼10 layers) covers an area of more than 2 mm×2 mm.« less

  3. CH3NH3I treatment temperature of 70 °C in low-pressure vapor-assisted deposition for mesoscopic perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Jin, Wenbin; Zou, Xiaoping; Bai, Xiao; Yang, Ying; Chen, Dan

    2018-01-01

    Herein, we report a modified vapor-assisted deposition method to fabricate CH3NH3PbI3 film at 70 °C in a vacuum drying oven. The modified method has excellent operability and expandability in preparing perovskite solar cells. The CH3NH3I treatment temperature is 130 °C or 150 °C in conventional method, but we reduced the temperature to 70 °C in the modified vapor-assisted method. Meanwhile, the quality of CH3NH3PbI3 films prepared via the modified method is superior to that of CH3NH3PbI3 films of solution-processed method.

  4. Optimization of chemical vapor deposition diamond films growth on steel: correlation between mechanical properties, structure, and composition.

    PubMed

    Laikhtman, A; Rapoport, L; Perfilyev, V; Moshkovich, A; Akhvlediani, R; Hoffman, A

    2011-09-01

    In the present work we perform optimization of mechanical and crystalline properties of CVD microcrystalline diamond films grown on steel substrates. A chromium-nitride (Cr-N) interlayer had been previously proposed to serve as a buffer for carbon and iron inter-diffusion and as a matching layer for the widely differing expansion coefficients of diamond and steel. However, adhesion and wear as well as crystalline perfection of diamond films are strongly affected by conditions of both Cr-N interlayer preparation and CVD diamond deposition. In this work we assess the effects of two parameters. The first one is the temperature of the Cr-N interlayer preparation: temperatures in the range of 500 degrees C-800 degrees C were used. The second one is diamond film thickness in the 0.5 microm-2 microm range monitored through variation of the deposition time from approximately 30 min to 2 hours. The mechanical properties of so deposited diamond films were investigated. For this purpose, scratch tests were performed at different indentation loads. The friction coefficient and wear loss were assessed. The mechanical and tribological properties were related to structure, composition, and crystalline perfection of diamond films which were extensively analyzed using different microscopic and spectroscopic techniques. It was found that relatively thick diamond film deposited on the Cr-N interlayer prepared at the temperature similar to that of the CVD process has the best mechanical and adhesion strength. This film was stable without visible cracks around the wear track during all scratch tests with different indentation loads. In other cases, cracking and delamination of the films took place at low to moderate indentation loads.

  5. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  6. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  7. Study of nickel silicide formation by physical vapor deposition techniques

    NASA Astrophysics Data System (ADS)

    Pancharatnam, Shanti

    Metal silicides are used as contacts to the highly n-doped emitter in photovoltaic devices. Thin films of nickel silicide (NiSi) are of particular interest for Si-based solar cells, as they form at lower temperature and consume less silicon. However, interfacial oxide limits the reduction in sheet resistance. Hence, different diffusion barriers were investigated with regard to optimizing the conductivity and thermal stability. The formation of NiSi, and if it can be doped to have good contact with the n-side of a p-n junction were studied. Reduction of the interfacial oxide by the interfacial Ti layer to allow the formation of NiSi was observed. Silicon was treated in dilute hydrofluoric acid for removing the surface oxide layer. Ni and a Ti diffusion barrier were deposited on Si by physical vapor deposition (PVD) methods - electron beam evaporation and sputtering. The annealing temperature and time were varied to observe the stability of the deposited film. The films were then etched to observe the retention of the silicide. Characterization was done using scanning electron microscopy (SEM), Auger electron spectroscopy (AES) and Rutherford back scattering (RBS). Sheet resistance was measured using the four-point probe technique. Annealing temperatures from 300°C showed films began to agglomerate indicating some diffusion between Ni and Si in the Ti layer, also supported by the compositional analysis in the Auger spectra. Films obtained by evaporation and sputtering were of high quality in terms of coverage over substrate area and uniformity. Thicknesses of Ni and Ti were optimized to 20 nm and 10 nm respectively. Resistivity was low at these thicknesses, and reduced by about half post annealing at 300°C for 8 hours. Thus a low resistivity contact was obtained at optimized thicknesses of the metal layers. It was also shown that some silicide formation occurs at temperatures starting from 300°C and can thus be used to make good silicide contacts.

  8. Single crystal growth in spin-coated films of polymorphic phthalocyanine derivative under solvent vapor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashi, T.; Ohmori, M.; Ramananarivo, M. F.

    2015-12-01

    The effects of solvent vapor on spin-coated films of a polymorphic phthalocyanine derivative were investigated. Growth of single crystal films via redissolving organic films under solvent vapor was revealed by in situ microscopic observations of the films. X-ray diffraction measurement of the films after exposing to solvent vapor revealed the phase transition of polymorphs under solvent vapor. The direction of crystal growth was clarified by measuring the crystal orientation in a grown monodomain film. The mechanism of crystal growth based on redissolving organic films under solvent vapor was discussed in terms of the different solubilities of the polymorphs.

  9. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  10. Vapor annealing synthesis of non-epitaxial MgB2 films on glassy carbon

    NASA Astrophysics Data System (ADS)

    Baker, A. A.; Bayu Aji, L. B.; Bae, J. H.; Stavrou, E.; Steich, D. J.; McCall, S. K.; Kucheyev, S. O.

    2018-05-01

    We describe the fabrication and characterization of 25–800 nm thick MgB2 films on glassy carbon substrates by Mg vapor annealing of sputter-deposited amorphous B films. Results demonstrate a critical role of both the initial B film thickness and the temperature–time profile on the microstructure, elemental composition, and superconducting properties of the resultant MgB2 films. Films with thicknesses of 55 nm and below exhibit a smooth surface, with a roughness of 1.1 nm, while thicker films have surface morphology consisting of elongated nano-crystallites. The suppression of the superconducting transition temperature for thin films scales linearly with the oxygen impurity concentration and also correlates with the amount of lattice disorder probed by Raman scattering. The best results are obtained by a rapid (12 min) anneal at 850 °C with large temperature ramp and cooling rates of ∼540 °C min‑1. Such fast processing suppresses the deleterious oxygen uptake.

  11. Nanostructured Thin Film Synthesis by Aerosol Chemical Vapor Deposition for Energy Storage Applications

    NASA Astrophysics Data System (ADS)

    Chadha, Tandeep S.

    Renewable energy sources offer a viable solution to the growing energy demand while mitigating concerns for greenhouse gas emissions and climate change. This has led to a tremendous momentum towards solar and wind-based energy harvesting technologies driving efficiencies higher and costs lower. However, the intermittent nature of these energy sources necessitates energy storage technologies, which remain the Achilles heel in meeting the renewable energy goals. This dissertation focusses on two approaches for addressing the needs of energy storage: first, targeting direct solar to fuel conversion via photoelectrochemical water-splitting and second, improving the performance of current rechargeable batteries by developing new electrode architectures and synthesis processes. The aerosol chemical vapor deposition (ACVD) process has emerged as a promising single-step approach for nanostructured thin film synthesis directly on substrates. The relationship between the morphology and the operating parameters in the process is complex. In this work, a simulation based approach has been developed to understand the relationship and acquire the ability of predicting the morphology. These controlled nanostructured morphologies of TiO2 , compounded with gold nanoparticles of various shapes, are used for solar water-splitting applications. Tuning of light absorption in the visible-light range along with reduced electron-hole recombination in the composite structures has been demonstrated. The ACVD process is further extended to a novel single-step synthesis of nanostructured TiO2 electrodes directly on the current collector for applications as anodes in lithium-ion batteries, mainly for electric vehicles and hybrid electric vehicles. The effect of morphology of the nanostructures has been investigated via experimental studies and electrochemical transport modelling. Results demonstrate the exceptional performance of the single crystal one-dimensional nanostructures over granular

  12. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  13. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    PubMed Central

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  14. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  15. Vapor phase polymerization deposition of conducting polymer/graphene nanocomposites as high performance electrode materials.

    PubMed

    Yang, Yajie; Li, Shibin; Zhang, Luning; Xu, Jianhua; Yang, Wenyao; Jiang, Yadong

    2013-05-22

    In this paper, we report chemical vapor phase polymerization (VPP) deposition of novel poly(3,4-ethylenedioxythiophene) (PEDOT)/graphene nanocomposites as solid tantalum electrolyte capacitor cathode films. The PEDOT/graphene films were successfully prepared on porous tantalum pentoxide surface as cathode films through the VPP procedure. The results indicated that the high conductivity nature of PEDOT/graphene leads to the decrease of cathode films resistance and contact resistance between PEDOT/graphene and carbon paste. This nanocomposite cathode film based capacitor showed ultralow equivalent series resistance (ESR) ca. 12 mΩ and exhibited better capacitance-frequency performance than the PEDOT based capacitor. The leakage current investigation revealed that the device encapsulation process does not influence capacitor leakage current, indicating the excellent mechanical strength of PEDOT-graphene films. The graphene showed a distinct protection effect on the dielectric layer from possible mechanical damage. This high conductivity and mechanical strength graphene based conducting polymer nanocomposites indicated a promising application future for organic electrode materials.

  16. Space processing applications of ion beam technology. [surface finishing, welding, milling and film deposition

    NASA Technical Reports Server (NTRS)

    Grodzka, P. G.

    1977-01-01

    Ion thruster engines for spacecraft propulsion can serve as ion beam sources for potential space processing applications. The advantages of space vacuum environments and the possible gravity effects on thruster ion beam materials operations such as thin film growth, ion milling, and surface texturing were investigated. The direct gravity effect on sputter deposition and vapor deposition processes are discussed as well as techniques for cold and warm welding.

  17. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  18. Simulation of clustering and anisotropy due to Co step-edge segregation in vapor-deposited CoPt3

    NASA Astrophysics Data System (ADS)

    Maranville, B. B.; Schuerman, M.; Hellman, F.

    2006-03-01

    An atomistic mechanism is proposed for the creation of structural anisotropy and consequent large perpendicular magnetic anisotropy in vapor-deposited films of CoPt3 . Energetic considerations of bonding in Co-Pt suggest that Co segregates to step edges due to their low coordination, for all film orientations, while Pt segregates to the two low index surfaces. Coalescence of islands during growth cause these Co-rich step edges to become flat thin Co platelets in a Pt rich matrix, giving rise to the experimentally observed magnetic anisotropy. This proposed model is tested with kinetic Monte Carlo simulation of the vapor deposition growth. A tight-binding, second-moment approximation to the interatomic potential is used to calculate the probability of an atom hopping from one surface site to another, assuming an Arrhenius-like activation model of surface motion. Growth is simulated by allowing many hopping events per adatom. The simulated as-grown films show an asymmetry in Co-Co bonding between the in-plane and out-of-plane directions, in good agreement with experimental data. The growth temperature dependence found in the simulations is strong and similar to that seen in experiments, and an increase in Co edge segregation with increasing temperature is also observed.

  19. Experimental investigation of defect-assisted and intrinsic water vapor permeation through ultrabarrier films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyungchul; Singh, Ankit Kumar; Wang, Cheng-Yin

    In the development of ultrabarrier films for packaging electronics, the effective water vapor transmission rate is a combination of permeation through pinhole defects and the intrinsic permeation through the actual barrier film. While it is possible to measure the effective permeation rate through barriers, it is important to develop a better understanding of the contribution from defects to the overall effective barrier performance. Here, we demonstrate a method to investigate independently defect-assisted permeation and intrinsic permeation rates by observing the degradation of a calcium layer encapsulated with a hybrid barrier film, that is, prepared using atomic layer deposition (ALD) andmore » plasma enhanced deposition (PECVD). The results are rationalized using an analytical diffusion model to calculate the permeation rate as a function of spatial position within the barrier. It was observed that a barrier film consisting of a PECVD SiN{sub x} layer combined with an ALD Al{sub 2}O{sub 3}/HfO{sub x} nanolaminate resulted in a defect-assisted water vapor transmission rate (WVTR) of 4.84 × 10{sup −5} g/m{sup 2} day and intrinsic WVTR of 1.41 × 10{sup −4} g/m{sup 2} day at 50 °C/85% RH. Due to the low defect density of the tested barrier film, the defect-assisted WVTR was found to be three times lower than the intrinsic WVTR, and an effective (or total) WVTR value was 1.89 × 10{sup −4} g/m{sup 2} day. Thus, improvements of the barrier performance should focus on reducing the number of defects while also improving the intrinsic barrier performance of the hybrid layer.« less

  20. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  1. Adsorption calorimetry during metal vapor deposition on single crystal surfaces: Increased flux, reduced optical radiation, and real-time flux and reflectivity measurements

    NASA Astrophysics Data System (ADS)

    Sellers, Jason R. V.; James, Trevor E.; Hemmingson, Stephanie L.; Farmer, Jason A.; Campbell, Charles T.

    2013-12-01

    Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ˜18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.

  2. Adsorption calorimetry during metal vapor deposition on single crystal surfaces: increased flux, reduced optical radiation, and real-time flux and reflectivity measurements.

    PubMed

    Sellers, Jason R V; James, Trevor E; Hemmingson, Stephanie L; Farmer, Jason A; Campbell, Charles T

    2013-12-01

    Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ~18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.

  3. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  4. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  5. Thermoelectric prospects of chemically deposited PbSe and SnSe thin films

    NASA Astrophysics Data System (ADS)

    Nair, P. K.; Martínez, Ana Karen; Rosa García Angelmo, Ana; Barrios Salgado, Enue; Nair, M. T. S.

    2018-03-01

    Thin films of PbSe of 400-600 nm in thickness, were obtained via chemical deposition from a solution containing lead nitrate, thiourea and selenosufate. SnSe thin films of 90-180 nm in thickness, were also obtained by chemical deposition from a solution containing selenosulfate. Optical and electrical properties of these thin films were significantly altered by heating them in selenium vapor at 300 °C. Thin film PbSe has a bandgap (Eg) of 1.17 eV (direct gap, forbidden transitions), which decreases to 0.77 eV when it has been heated. Its electrical conductivity (σ) is p-type: 0.18 Ω-1 cm-1 (as-prepared), and 6.4 Ω-1 cm-1 when heated. Thin film SnSe is of orthorhombic crystalline structure which remains stable when heated at 300 °C, but its Eg increases from 1.12 eV (indirect) in as-prepared film to 1.5 eV (direct, forbidden transitions) upon heating. Its electrical conductivity is p-type, which increases from 0.3 Ω-1 cm-1 (as-prepared) to 1 Ω-1 cm-1 when heated (without Se-vapor). When SnSe film is heated at 300 °C in the presence of Se-vapor, they transform to SnSe2, with Eg of 1.5 eV (direct, forbidden) with n-type electrical conductivity, 11 Ω-1 cm-1. The Seebeck coefficient for the PbSe films is: +0.55 mV K-1 (as prepared) and +0.275 mV K-1 (heated); for SnSe films it is: +0.3 mV K-1 (as prepared) and +0.20 mV K-1 (heated); and for SnSe2 film, - 0.35 mV K-1. A five-element PbSe-SnSe2-PbSe-SnSe2-PbSe thermoelectric device demonstrated 50 mV for a temperature difference ΔT = 20 °C (2.5 mV K-1). For SnSe-SnSe2-SnSe-SnSe2-SnSe device, the value is 15 mV for ΔT = 20 °C (0.75 mV K-1). Prospect of these thin films in thermoelectric devices of hybrid materials, in which the coatings may be applied on distinct substrate and geometries is attractive.

  6. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  7. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  8. Crystal Growth of Graphene Films and Graphene Nanoribbons via Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jacobberger, Robert Michael

    Graphene is a two-dimensional carbon allotrope that has exceptional properties, including high charge carrier mobility, thermal conductivity, mechanical strength, and flexibility. Graphene is a semimetal, prohibiting its use in semiconductor applications in which a bandgap is required. However, graphene can be transformed from a semimetal into a semiconductor if it is confined into one-dimensional nanoribbons narrower than 10 nm with well-defined armchair edges. In this work, we study the crystal growth of graphene via chemical vapor deposition (CVD), which is the most promising method to produce graphene films on the industrial scale. We explore the growth of isolated graphene crystals, continuous graphene films, and narrow graphene nanoribbons with armchair edges. We gain key insight into the critical growth parameters and mechanisms that influence the crystal morphology, orientation, defect density, and evolution, providing an empirical understanding of the diverse growth behaviors observed in literature. Using this knowledge, we synthesize graphene with remarkably low pinhole density and achieve high-quality graphene at 750 °C on Cu(111), which is over 250 °C lower than the temperature typically used to grow graphene on copper from methane. We also describe our breakthrough in graphene nanoribbon synthesis. Highly anisotropic nanoribbons are formed on Ge(001) if an exceptionally slow growth rate is used. The nanoribbons are self-defining with predominantly smooth armchair edges, are self-aligning, and have tunable width to < 10 nm. High-performance field-effect transistors incorporating these nanoribbons as channels display high conductance modulation > 10,000 and high conductance > 5 muS. This directional and anisotropic growth enables the fabrication of semiconducting nanoribbons directly on conventional semiconductor wafers and, thus, promises to allow the integration of nanoribbons into future hybrid integrated circuits. We additionally report our

  9. What Drives Metal-Surface Step Bunching in Graphene Chemical Vapor Deposition?

    NASA Astrophysics Data System (ADS)

    Yi, Ding; Luo, Da; Wang, Zhu-Jun; Dong, Jichen; Zhang, Xu; Willinger, Marc-Georg; Ruoff, Rodney S.; Ding, Feng

    2018-06-01

    Compressive strain relaxation of a chemical vapor deposition (CVD) grown graphene overlayer has been considered to be the main driving force behind metal surface step bunching (SB) in CVD graphene growth. Here, by combining theoretical studies with experimental observations, we prove that the SB can occur even in the absence of a compressive strain, is enabled by the rapid diffusion of metal adatoms beneath the graphene and is driven by the release of the bending energy of the graphene overlayer in the vicinity of steps. Based on this new understanding, we explain a number of experimental observations such as the temperature dependence of SB, and how SB depends on the thickness of the graphene film. This study also shows that SB is a general phenomenon that can occur in all substrates covered by films of two-dimensional (2D) materials.

  10. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE PAGES

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; ...

    2017-02-16

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  11. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  12. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High Tmore » c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  13. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor

    PubMed Central

    2018-01-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner. PMID:29363979

  14. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    PubMed

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  15. Characteristics of Diamond-Like Carbon Films Deposited on Polymer Dental Materials

    NASA Astrophysics Data System (ADS)

    Ohtake, Naoto; Uchi, Tomio; Yasuhara, Toshiyuki; Takashima, Mai

    2012-09-01

    Characterizations of diamond-like carbon (DLC) deposited on a polymer artificial tooth were performed. DLC films were deposited on dental parts made of poly(methyl methacrylate) (PMMA) resin by dc-pulse plasma chemical vapor deposition (CVD) from methane. Wear resistance test results revealed that a DLC-coated resin tooth has a very high wear resistance against tooth brushing, and endures 24 h brushing without a marked weight decrease. Cell cultivation test results show that DLC plays an important role in preventing cell death. Moreover, a biocompatibility test using a rabbit revealed that a connective tissue in the vicinity of DLC-coated PMMA is significantly thinner than that of noncoated PMMA. The numbers of inflammatory cells in the vicinity of DLC-coated and noncoated surfaces are 0 and 508 cells/mm2, respectively. These results led us to conclude that DLC films are an excellent material for use as the coating of a polymer artificial tooth in terms of not only high wear resistance but also biocompatibility.

  16. Investigation of silicon surface passivation by silicon nitride film deposition

    NASA Technical Reports Server (NTRS)

    Olsen, L. C.

    1984-01-01

    The use of Sin sub x grown by plasma enhanced chemical vapor deposition (PECVO) for passivating silicon surfaces was studied. The application of PECVO SiN sub x films for passivations of silicon N+/P or P+/N solar cells is of particular interest. This program has involved the following areas of investigation: (1) Establishment of PECVO system and development of procedures for growth of SiN sub x; (2) Optical characterization of SiN sub x films; (3) Characterization of the SiN sub x/Si interface; (4) Surface recombination velocity deduced from photoresponse; (5) Current-Voltage analyses of silicon N+/P cells; and (6) Gated diode device studies.

  17. Vapor deposition and characterization of supramolecular assemblies for integrated nonlinear optics

    NASA Astrophysics Data System (ADS)

    Esembeson, Bweh

    Very recently, some organic molecules have been developed that are very compact and have exceptionally high molecular polarizabilities which approach the fundamental quantum limit. Supramolecular assemblies created from such highly nonlinear molecules could find applications in integrated nonlinear optics such as all-optical signal processing, electro-optic modulators and frequency conversion. In this work, we have constructed a versatile vacuum deposition system for the creation of organic thin films from these molecules that can be sublimated without decomposition. We have used deposition temperatures of the order of 100--200°C in a high vacuum of 10-6--10 -7 Torrs. While some molecules showed a tendency to form polycrystalline films, others led to very high optical quality films, with a roughness of less than 10 nm over tens of micrometers and no grains detected down to a size of 2 nm, as seen in Atomic Force and Scanning Electron Microscopy studies. The best material we developed has a linear refractive index of 1.8 +/- 0.1 at 1.5 mum and an off-resonant third order susceptibility, chi (3), measured through Degenerate Four Wave Mixing, of 2 +/- 1 x 10-19 m2V-2 at 1.5 mum, a value three orders of magnitude larger than fused silica. This vapor deposited thin film may represent one of the best materials demonstrated to date whereby a large third order susceptibility, high optical quality, and simplicity of fabrication and integration are in perfect harmony for integrated nonlinear optical applications. We have used this novel organic material to create a hybrid organic/silicon-on-insulator waveguide that showed a record high nonlinearity coefficient of 10 5 W-1m-1 and has been used as an all-optical switch for demultiplexing a 120 Gbit/s data stream to 10 Gbit/s on a 6 mm long device.

  18. Structure, mechanical, and frictional properties of hydrogenated fullerene-like amorphous carbon film prepared by direct current plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yongfu; University of Chinese Academy of Sciences, Beijing 100049; Gao, Kaixiong

    In this study, fullerene like carbon (FL-C) is introduced in hydrogenated amorphous carbon (a-C:H) film by employing a direct current plasma enhanced chemical vapor deposition. The film has a low friction and wear, such as 0.011 and 2.3 × 10{sup −9}mm{sup 3}/N m in the N{sub 2}, and 0.014 and 8.4 × 10{sup −8}mm{sup 3}/N m in the humid air, and high hardness and elasticity (25.8 GPa and 83.1%), to make further engineering applications in practice. It has several nanometers ordered domains consisting of less frequently cross-linked graphitic sheet stacks. We provide new evidences for understanding the reported Raman fit model involving four vibrational frequenciesmore » from five, six, and seven C-atom rings of FL-C structures, and discuss the structure evolution before or after friction according to the change in the 1200 cm{sup −1} Raman band intensity caused by five- and seven-carbon rings. Friction inevitably facilitates the transformation of carbon into FL-C nanostructures, namely, the ultra low friction comes from both such structures within the carbon film and the sliding induced at friction interface.« less

  19. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  20. Fabrication of zinc-dicarboxylate- and zinc-pyrazolate-carboxylate-framework thin films through vapour-solid deposition.

    PubMed

    Medishetty, Raghavender; Zhang, Zongji; Sadlo, Alexander; Cwik, Stefan; Peeters, Daniel; Henke, Sebastian; Mangayarkarasi, Nagarathinam; Devi, Anjana

    2018-05-17

    Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure.

  1. Chemical vapor deposition of high T sub c superconductors

    NASA Technical Reports Server (NTRS)

    Webb, G. W.; Engelhardt, J. J.

    1978-01-01

    The results are reported of an investigation into the synthesis and properties of high temperature superconducting materials. A chemical vapor deposition apparatus was designed and built which is suitable for the preparation of multicomponent metal films This apparatus was used to prepare a series of high T sub c A-15 structure superconducting films in the binary system Nb-Ge. The effect on T sub c of a variety of substrate materials was investigated. An extensive series of ternary alloys were also prepared. Conditions allowing the brittle high T sub c (approximately 18 K) A-15 structure superconductor Nb3A1 to be prepared in a low T sub c but ductile form were found. Some of the ways that the ductile (bcc) form can be cold worked or machined are described. Measurements of rate of transformation of cold worked bcc material to the high T sub c A-15 structure with low temperature annealing are given. Preliminary measurements indicate that this material has attractive high field critical current densities.

  2. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    NASA Astrophysics Data System (ADS)

    Jung, Hanearl; Kim, Doyoung; Kim, Hyungjun

    2014-04-01

    The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O2 gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O2 ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O2 from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10-3 Ω cm for undoped ZnO to 2.05 × 10-3 Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  3. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  4. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  5. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  6. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    NASA Astrophysics Data System (ADS)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  7. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    PubMed

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently <1°. A rigorous sandpaper abrasion test demonstrated retention of superhydrophobic properties and superior robustness therein, while wear, anticorrosion (pH = 1-14, 72 h), and UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  8. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeon, Bup Ju; Hudaya, Chairul; Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, includingmore » a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.« less

  9. Physical and photoelectrochemical properties of Sb-doped SnO2 thin films deposited by chemical vapor deposition: application to chromate reduction under solar light

    NASA Astrophysics Data System (ADS)

    Outemzabet, R.; Doulache, M.; Trari, M.

    2015-05-01

    Sb-doped SnO2 thin films (Sb-SnO2) are prepared by chemical vapor deposition. The X-ray diffraction indicates a rutile phase, and the SEM analysis shows pyramidal grains whose size extends up to 200 nm. The variation of the film thickness shows that the elaboration technique needs to be optimized to give reproducible layers. The films are transparent over the visible region. The dispersion of the optical indices is evaluated by fitting the diffuse reflectance data with the Drude-Lorentz model. The refractive index ( n) and absorption coefficient ( k) depend on both the conditions of preparation and of the doping concentration and vary between 1.4 and 2.0 and 0.2 and 0.01, respectively. Tin oxide is nominally non-stoichiometric, and the conduction is dominated by thermally electrons jump with an electron mobility of 12 cm2 V-1 s-1 for Sb-SnO2 (1 %). The ( C 2- V) characteristic in aqueous electrolyte exhibits a linear behavior from which an electrons density of 4.15 × 1018 cm-3 and a flat-band potential of -0.83 V SCE are determined. The electrochemical impedance spectroscopy shows a semicircle attributed to a capacitive behavior with a low density of surface states. The center lies below the real axis with a depletion angle (12°), due to a constant phase element, i.e., a deviation from a pure capacitive behavior, presumably attributed to the roughness and porosity of the film. The straight line at low frequencies is attributed to the Warburg diffusion. The energy diagram reveals the photocatalytic feasibility of Sb-SnO2. As application, 90 % of the chromate concentration (20 mg L-1, pH ~3) disappears after 6 h of exposure to solar light.

  10. Deposition And Characterization of (Ti,Zr)N Thin Films Grown Through PAPVD By The Pulsed Arc Technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marulanda, D. M.; Trujillo, O.; Devia, A.

    The Plasma Assisted Physic Vapor Deposition (PAPVD) by the pulsed arc technique has been used for deposition of Titanium Zirconium Nitride (Ti,Zr)N coatings, using a segmented target of TiZr. The deposition was performed in a vacuum chamber with two faced electrodes (target and substrate) using nitrogen as working gas, and a power-controlled source used to produce the arc discharges. Films were deposited on stainless steel 304, and they were characterized using the X-Ray Photoelectron Spectroscopy (XPS), X-Ray Diffraction (XRD), Energy Dispersion Spectroscopy (EDS) and Scanning Probe Microscopy (SPM) techniques. The XRD patterns show different planes in which the film grows.more » Through SPM, using Atomic Force Microscopy (AFM) and Lateral Force Microscopy (LFM) modes, a nanotribologic study of the thin film was made, determining hardness and friction coefficient.« less

  11. Atmospheric-Pressure-Spray, Chemical- Vapor-Deposited Thin-Film Materials Being Developed for High Power-to- Weight-Ratio Space Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Harris, Jerry D.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Smith, Mark A.; Cowen, Jonathan E.

    2001-01-01

    The key to achieving high specific power (watts per kilogram) space photovoltaic arrays is the development of high-efficiency thin-film solar cells that are fabricated on lightweight, space-qualified substrates such as Kapton (DuPont) or another polymer film. Cell efficiencies of 20 percent air mass zero (AM0) are required. One of the major obstacles to developing lightweight, flexible, thin-film solar cells is the unavailability of lightweight substrate or superstrate materials that are compatible with current deposition techniques. There are two solutions for working around this problem: (1) develop new substrate or superstrate materials that are compatible with current deposition techniques, or (2) develop new deposition techniques that are compatible with existing materials. The NASA Glenn Research Center has been focusing on the latter approach and has been developing a deposition technique for depositing thin-film absorbers at temperatures below 400 C.

  12. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  13. Organometallic chemical vapor deposition and characterization of ZnGeP2/GaP multiple heterostructures on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.

    1993-01-01

    The growth of ZnGeP2/GaP double and multiple heterostructures on GaP substrates by organometallic chemical vapor deposition is reported. These epitaxial films were deposited at a temperature of 580 C using dimethylzinc, trimethylgallium, germane, and phosphine as source gases. With appropriate deposition conditions, mirror smooth epitaxial GaP/ZnGeP2 multiple heterostructures were obtained on (001) GaP substrates. Transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) studies of the films showed that the interfaces are sharp and smooth. Etching study of the films showed dislocation density on the order of 5x10(exp 4)cm(sup -2). The growth rates of the GaP layers depend linearly on the flow rates of trimethylgallium. While the GaP layers crystallize in zinc-blende structure, the ZnGeP2 layers crystallize in the chalcopyrite structure as determined by (010) electron diffraction pattern. This is the first time that multiple heterostructures combining these two crystal structures were made.

  14. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  15. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  16. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  17. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  18. Physical Vapor Deposition and Defect Engineering of Europium Doped Lutetium Oxide

    NASA Astrophysics Data System (ADS)

    Gillard, Scott James

    Lutetium oxide doped with europium (Lu2O3:Eu 3+) has been established as a promising scintillator material with properties that are advantageous when compared to other scintillators such as cesium iodide doped with thallium (CsI:Tl). Due to high X-ray attenuation characteristics, Lu2O3:Eu3+ is an attractive material for use in high resolution digital X-ray imaging systems. However, challenges still remain especially in the area of light output for Lu 2O3:Eu3+. Processing by physical vapor deposition (PVD) and manipulation of oxygen defect structure was explored in order to better understand the effect on the scintillation phenomena. PVD results were obtained using high temperature radio frequency sputtering (RF) and pulsed laser deposition (PLD) systems. Characterization of light output by radial noise power spectrum density measurements revealed that high temperature RF films were superior to those obtained using PLD. Optimization of sputtered films based on light output over a range of process parameters, namely temperature, power, pressure, and substrate orientation was investigated. Parameterization of deposition conditions revealed that: 75 watts, 10.00 mtorr, and 800°C were optimum conditions for Lu2O3:Eu 3+ films. Manipulation of anionic defect structure in similar material systems has been shown to improve scintillation response. Similar methods for Lu 2O3:Eu3+ were explored for hot pressed samples of Lu2O3:Eu3+; via controlled atmosphere annealing, and use of extrinsic co-doping with calcium. The controlled atmosphere experiments established the importance of oxygen defect structure within Lu 2O3:Eu3+ and showed that fully oxidized samples were preferred for light output. The second method utilized co-doping by the addition of calcium which induced oxygen vacancies and by Frenkel equilibrium changed the oxygen interstitial population within the Lu2O 3:Eu3+ structure. The addition of calcium was investigated and revealed that scintillation was improved

  19. Transparent and robust siloxane-based hybrid lamella film as a water vapor barrier coating.

    PubMed

    Tokudome, Yasuaki; Hara, Takaaki; Abe, Risa; Takahashi, Masahide

    2014-11-12

    Water vapor barriers are important in various application fields, such as food packaging and sealants in electronic devices. Polymer/clay composites are well-studied water vapor barrier materials, but their transparency and mechanical strength degrade with increasing clay loading. Herein, we demonstrate films with good water vapor barrier properties, high transparency, and mechanical/thermal stability. Water vapor barrier films were prepared by the solution crystallization of siloxane hybrid lamellae. The films consist of highly crystallized organic/inorganic hybrid lamellae, which provide high transparency, hardness, and thermal stability and inhibit the permeation of water vapor. The water permeability of a 6 μm thick hybrid film is comparable to that of a 200 μm thick silicon rubber film.

  20. Process-Structure-Property Relationships of Micron Thick Gadolinium Oxide Films Deposited by Reactive Electron Beam-Physical Vapor Deposition (EB-PVD)

    DTIC Science & Technology

    2014-12-01

    surface roughness on film properties must be considered. Stability at the interface between the film and the substrate becomes critical with...etc.). Addition of atoms to the growing surface creates additional surface energy. Therefore, nuclei of a critical size 23 must be formed in order... critical nuclei size and a lower nucleation rate. Higher deposition rates result in a decreased critical nuclei size which leads to an increase in

  1. Ionized cluster beam deposition

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.

    1983-01-01

    Ionized Cluster Beam (ICB) deposition, a new technique originated by Takagi of Kyoto University in Japan, offers a number of unique capabilities for thin film metallization as well as for deposition of active semiconductor materials. ICB allows average energy per deposited atom to be controlled and involves impact kinetics which result in high diffusion energies of atoms on the growth surface. To a greater degree than in other techniques, ICB involves quantitative process parameters which can be utilized to strongly control the characteristics of films being deposited. In the ICB deposition process, material to be deposited is vaporized into a vacuum chamber from a confinement crucible at high temperature. Crucible nozzle configuration and operating temperature are such that emerging vapor undergoes supercondensation following adiabatic expansion through the nozzle.

  2. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    PubMed

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  3. In-space fabrication of thin-film structures

    NASA Technical Reports Server (NTRS)

    Lippman, M. E.

    1972-01-01

    A conceptual study of physical vapor-deposition processes for in-space fabrication of thin-film structures is presented. Potential advantages of in-space fabrication are improved structural integrity and surface reflectivity of free-standing ultra-thin films and coatings. Free-standing thin-film structures can find use as photon propulsion devices (solar sails). Other applications of the concept involve free-standing shadow shields, or thermal control coatings of spacecraft surfaces. Use of expendables (such as booster and interstage structures) as source material for the physical vapor deposition process is considered. The practicability of producing thin, textured, aluminum films by physical vapor deposition and subsequent separation from a revolving substrate is demonstrated by laboratory experiments. Heating power requirement for the evaporation process is estimated for a specific mission.

  4. Structural properties of nitrogenated amorphous carbon films: Influence of deposition temperature and radiofrequency discharge power

    NASA Astrophysics Data System (ADS)

    Lazar, G.; Bouchet-Fabre, B.; Zellama, K.; Clin, M.; Ballutaud, D.; Godet, C.

    2008-10-01

    The structural properties of nitrogenated amorphous carbon deposited by radiofrequency magnetron sputtering of graphite in pure N2 plasma are investigated as a function of the substrate temperature and radiofrequency discharge power. The film composition is derived from x-ray photoemission spectroscopy, nuclear reaction analysis and elastic recoil detection measurements and the film microstructure is discussed using infrared, Raman, x-ray photoemission and near edge x-ray absorption fine structure spectroscopic results. At low deposition temperature and low radiofrequency power, the films are soft, porous, and easily contaminated with water vapor and other atmospheric components. The concentration of nitrogen in the films is very large for low deposition temperatures (˜33.6at.% N at 150°C) but decreases strongly when the synthesis temperature increases (˜15at.% N at 450°C). With increasing deposition temperature and discharge power values, the main observed effects in amorphous carbon nitride alloys are a loss of nitrogen atoms, a smaller hydrogen and oxygen contamination related to the film densification, an increased order of the aromatic sp2 phase, and a strong change in the nitrogen distribution within the carbon matrix. Structural changes are well correlated with modifications of the optical and transport properties.

  5. Characteristics of WN{sub x}C{sub y} films deposited using remote plasma atomic layer deposition with ({sup Me}Cp)W(CO){sub 2}(NO) for Cu diffusion barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyunjung; Park, Jingyu; Jeon, Heeyoung

    Diffusion barrier characteristics of tungsten–nitride–carbide (WN{sub x}C{sub y}) thin films interposed between Cu and SiO{sub 2} layers were studied. The WN{sub x}C{sub y} films were deposited by remote plasma atomic layer deposition (RPALD) using a metal organic source, ({sup Me}Cp)W(CO){sub 2}(NO), and ammonia. Auger electron spectroscopy analysis indicated the WN{sub x}C{sub y} films consisted of tungsten, nitrogen, carbon, and oxygen. X-ray diffraction (XRD) analysis showed that the film deposited at 350 °C was nanocrystalline. The resistivity of WN{sub x}C{sub y} film deposited by RPALD was very low compared to that in previous research because of the lower nitrogen content and differentmore » crystal structures of the WN{sub x}C{sub y}. To verify the diffusion barrier characteristics of the WN{sub x}C{sub y} film, Cu films were deposited by physical vapor deposition after WN{sub x}C{sub y} film was formed by RPALD on Si substrate. The Cu/WN{sub x}C{sub y}/Si film stack was annealed in a vacuum by rapid thermal annealing at 500 °C. Cu diffusion through the barrier layer was verified by XRD. Stable film properties were observed up to 500 °C, confirming that WN{sub x}C{sub y} film is suitable as a Cu diffusion barrier in microelectronic circuits.« less

  6. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    NASA Astrophysics Data System (ADS)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  7. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    NASA Astrophysics Data System (ADS)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  8. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  9. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, Rointan; Nath, Prem

    1982-01-01

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment.

  10. Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar; Stirn, Richard J.

    1987-01-01

    In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.

  11. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor.

    PubMed

    Efremov, Mikhail Yu; Nealey, Paul F

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  12. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor

    NASA Astrophysics Data System (ADS)

    Efremov, Mikhail Yu.; Nealey, Paul F.

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  13. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  14. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Amorphous alumina thin films deposited on titanium: Interfacial chemistry and thermal oxidation barrier properties

    DOE PAGES

    Baggetto, Loic; Charvillat, Cedric; Thebault, Yannick; ...

    2015-12-02

    Ti/Al 2O 3 bilayer stacks are used as model systems to investigate the role of atomic layer deposition (ALD) and chemical vapor deposition (CVD) to prepare 30-180 nm thick amorphous alumina films as protective barriers for the medium temperature oxidation (500-600⁰C) of titanium, which is employed in aeronautic applications. X-ray diffraction (XRD), transmission electron microscopy (TEM) with selected area electron diffraction (SAED), and X-ray photoelectron spectroscopy (XPS) results show that the films produced from the direct liquid injection (DLI) CVD of aluminum tri-isopropoxide (ATI) are poor oxygen barriers. The films processed using the ALD of trimethylaluminum (TMA) show good barriermore » properties but an extensive intermixing with Ti which subsequently oxidizes. In contrast, the films prepared from dimethyl aluminum isopropoxide (DMAI) by CVD are excellent oxygen barriers and show little intermixing with Ti. Overall, these measurements correlate the effect of the alumina coating thickness, morphology, and stoichiometry resulting from the preparation method to the oxidation barrier properties, and show that compact and stoichiometric amorphous alumina films offer superior barrier properties.« less

  16. Modeling of metal thin film growth: Linking angstrom-scale molecular dynamics results to micron-scale film topographies

    NASA Astrophysics Data System (ADS)

    Hansen, U.; Rodgers, S.; Jensen, K. F.

    2000-07-01

    A general method for modeling ionized physical vapor deposition is presented. As an example, the method is applied to growth of an aluminum film in the presence of an ionized argon flux. Molecular dynamics techniques are used to examine the surface adsorption, reflection, and sputter reactions taking place during ionized physical vapor deposition. We predict their relative probabilities and discuss their dependence on energy and incident angle. Subsequently, we combine the information obtained from molecular dynamics with a line of sight transport model in a two-dimensional feature, incorporating all effects of reemission and resputtering. This provides a complete growth rate model that allows inclusion of energy- and angular-dependent reaction rates. Finally, a level-set approach is used to describe the morphology of the growing film. We thus arrive at a computationally highly efficient and accurate scheme to model the growth of thin films. We demonstrate the capabilities of the model predicting the major differences on Al film topographies between conventional and ionized sputter deposition techniques studying thin film growth under ionized physical vapor deposition conditions with different Ar fluxes.

  17. Effect of the carrier gas flow rate on the microstructure evolution and the generation of the charged nanoparticles during silicon chemical vapor deposition.

    PubMed

    Youn, Woong-Kyu; Kim, Chan-Soo; Hwang, Nong-Moon

    2013-10-01

    The generation of charged nanoparticles in the gas phase has been continually reported in many chemical vapor deposition processes. Charged silicon nanoparticles in the gas phase were measured using a differential mobility analyzer connected to an atmospheric-pressure chemical vapor deposition reactor at various nitrogen carrier gas flow rates (300-1000 standard cubic centimeter per minute) under typical conditions for silicon deposition at the reactor temperature of 900 degrees C. The carrier gas flow rate affected not only the growth behavior of nanostructures but also the number concentration and size distribution of both negatively and positively charged nanoparticles. As the carrier gas flow rate decreased, the growth behavior changed from films to nanowires, which grew without catalytic metal nanoparticles on a quartz substrate.

  18. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  19. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, R.; Nath, P.

    1982-06-22

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation is disclosed. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment. 1 fig.

  20. Atomic layer deposition of zirconium silicate films using zirconium tetrachloride and tetra-n-butyl orthosilicate

    NASA Astrophysics Data System (ADS)

    Kim, Won-Kyu; Kang, Sang-Woo; Rhee, Shi-Woo; Lee, Nae-In; Lee, Jong-Ho; Kang, Ho-Kyu

    2002-11-01

    Atomic layer chemical vapor deposition of zirconium silicate films with a precursor combination of ZrCl4 and tetra-n-butyl orthosilicate (TBOS) was studied for high dielectric gate insulators. The effect of deposition conditions, such as deposition temperature, pulse time for purge and precursor injection on the deposition rate per cycle, and composition of the film were studied. At 400 °C, the growth rate saturated to 1.35 Å/cycle above 500 sccm of the argon purge flow rate. The growth rate, composition ratio ((Zr/Zr+Si)), and impurity contents (carbon and chlorine) saturated with the increase of the injection time of ZrCl4 and TBOS and decreased with the increased deposition temperature from 300 to 500 °C. The growth rate, composition ratio, carbon, and chlorine contents of the Zr silicate thin films deposited at 500 °C were 1.05 Å/cycle, 0.23, 1.1 at. %, and 2.1 at. %, respectively. It appeared that by using only zirconium chloride and silicon alkoxide sources, the content of carbon and chlorine impurities could not be lowered below 1%. It was also found that the incorporation rate of metal from halide source was lower than alkoxide source.

  1. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  2. Smooth diamond films as low friction, long wear surfaces

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Erdemir, Ali; Bindal, Cuma; Zuiker, Christopher D.

    1999-01-01

    An article and method of manufacture of a nanocrystalline diamond film. The nanocrystalline film is prepared by forming a carbonaceous vapor, providing an inert gas containing gas stream and combining the gas stream with the carbonaceous containing vapor. A plasma of the combined vapor and gas stream is formed in a chamber and fragmented carbon species are deposited onto a substrate to form the nanocrystalline diamond film having a root mean square flatness of about 50 nm deviation from flatness in the as deposited state.

  3. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  4. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  5. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  6. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  7. Laser patterning of diamond films

    NASA Astrophysics Data System (ADS)

    Narayan, J.; Chen, X.

    1992-04-01

    Selective deposition and fine-scale patterning of hot filament deposited diamond films by the use of pulsed laser irradiation on silicon and copper substrates are reported. The substrates were abraded with diamond and alumina powders before hot-filament chemical vapor deposition. A drastic enhancement in diamond nucleation (using hot-filament chemical vapor deposition) was observed on specimens treated with diamond powder, whereas enhancement on specimens pretreated with alumina powder was relatively insignificant. It is found that the seeding of diamond crystals was substantially reduced by pulsed laser annealing/melting which removes the plastic damage as well as the seed crystals introduced by diamond powder pretreatment. The selective deposition or fine-scale patterning of diamond films was achieved either by a shadow masking or by scanning a focused laser beam to generate desired patterns. The nucleation can also be enhanced by laser deposition of thin films, such as diamond-like carbon and tungsten carbide (WC), and selective deposition and patterning achieved by controlled removal or deposition of the above films.

  8. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  9. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  10. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    NASA Astrophysics Data System (ADS)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  11. Optimization and testing of solid thin film lubrication deposition processes

    NASA Astrophysics Data System (ADS)

    Danyluk, Michael J.

    A novel method for testing solid thin films in rolling contact fatigue (RCF) under ultra-high vacuum (UHV) and high rotational speeds (130 Hz) is presented in this thesis. The UHV-RCF platform is used to quantify the adhesion and lubrication aspects of two thin film coatings deposited on ball-bearings using a physical vapor deposition ion plating process. Plasma properties during ion plating were measured using a Langmuir probe and there is a connection between ion flux, film stress, film adhesion, process voltage, pressure, and RCF life. The UHV-RCF platform and vacuum chamber were constructed using off-the-shelf components and 88 RCF tests in high vacuum have been completed. Maximum RCF life was achieved by maintaining an ion flux between 10 13 to 1015 (cm-2 s-1) with a process voltage and pressure near 1.5 kV and 15 mTorr. Two controller schemes were investigated to maintain optimal plasma conditions for maximum RCF life: PID and LQR. Pressure disturbances to the plasma have a detrimental effect on RCF life. Control algorithms that mitigate pressure and voltage disturbances already exist. However, feedback from the plasma to detect disturbances has not been explored related to deposition processes in the thin-film science literature. Manometer based pressure monitoring systems have a 1 to 2 second delay time and are too slow to detect common pressure bursts during the deposition process. Plasma diagnostic feedback is much faster, of the order of 0.1 second. Plasma total-current feedback was used successfully to detect a typical pressure disturbance associated with the ion plating process. Plasma current is related to ion density and process pressure. A real-time control application was used to detect the pressure disturbance by monitoring plasma-total current and converting it to feedback-input to a pressure control system. Pressure overshoot was eliminated using a nominal PID controller with feedback from a plasma-current diagnostic measurement tool.

  12. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  13. Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.

    PubMed

    Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng

    2016-11-29

    Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.

  14. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  15. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  16. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    NASA Astrophysics Data System (ADS)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  17. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  18. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  19. Optical properties of nanocrystalline Y2O3 thin films grown on quartz substrates by electron beam deposition

    NASA Astrophysics Data System (ADS)

    Wiktorczyk, Tadeusz; Biegański, Piotr; Serafińczuk, Jarosław

    2016-09-01

    Yttrium oxide thin films of a thickness 221-341 nm were formed onto quartz substrates by reactive physical vapor deposition in an oxygen atmosphere. An electron beam gun was applied as a deposition source. The effect of substrate temperature during film deposition (in the range of 323-673 K) on film structure, surface morphology and optical properties was investigated. The surface morphology studies (with atomic force microscopy and diffuse spectra reflectivity) show that the film surface was relatively smooth with RMS surface roughness in the range of 1.7-3.8 nm. XRD analysis has revealed that all diffraction lines belong to a cubic Y2O3 structure. The films consisted of small nanocrystals. Their average grain size increases from 1.6 nm to 22 nm, with substrate temperature rising from 323 K to 673 K. Optical examinations of transmittance and reflectance were performed in the spectral range of 0.2-2.5 μm. Optical constants and their dispersion curves were determined. Values of the refractive index of the films were in the range of n = 1.79-1.90 (at 0.55 μm) for substrate temperature during film deposition of 323-673 K. The changes in the refractive index upon substrate temperature correspond very well with the increase in the nanocrystals grain diameter and with film porosity.

  20. Atomic layer deposition of Cu( i ) oxide films using Cu( ii ) bis(dimethylamino-2-propoxide) and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Avila, J. R.; Peters, A. W.; Li, Zhanyong

    2017-01-01

    To grow fIlms of Cu2O, bis-(dimethylamino-2-propoxide)Cu(II), or Cu(dmap), is used as an atomic layer deposition precursor using only water vapor as a co-reactant. Between 110 and 175 °C, a growth rate of 0.12 ± 0.02 Å per cycle was measured using an in situ quartz crystal microbalance (QCM). X-ray photoelectron spectroscopy (XPS) confirms the growth of metal– oxide films featuring Cu(I).

  1. Mid-infrared laser-absorption diagnostic for vapor-phase fuel mole fraction and liquid fuel film thickness

    NASA Astrophysics Data System (ADS)

    Porter, J. M.; Jeffries, J. B.; Hanson, R. K.

    2011-02-01

    A novel two-wavelength mid-infrared laser-absorption diagnostic has been developed for simultaneous measurements of vapor-phase fuel mole fraction and liquid fuel film thickness. The diagnostic was demonstrated for time-resolved measurements of n-dodecane liquid films in the absence and presence of n-decane vapor at 25°C and 1 atm. Laser wavelengths were selected from FTIR measurements of the C-H stretching band of vapor n-decane and liquid n-dodecane near 3.4 μm (3000 cm-1). n-Dodecane film thicknesses <20 μm were accurately measured in the absence of vapor, and simultaneous measurements of n-dodecane liquid film thickness and n-decane vapor mole fraction (300 ppm) were measured with <10% uncertainty for film thicknesses <10 μm. A potential application of the measurement technique is to provide accurate values of vapor mole fraction in combustion environments where strong absorption by liquid fuel or oil films on windows make conventional direct absorption measurements of the gas problematic.

  2. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    NASA Astrophysics Data System (ADS)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  3. Reducing the surface roughness beyond the pulsed-laser-deposition limit.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2009-10-01

    Here, we outline the theoretical fundamentals of a promising growth kinetics of films from the vapor phase, in which pulsed fluxes are combined with temperature transients to enable short-range surface relaxations (e.g., species rearrangements) and to inhibit long-range relaxations (atomic exchange between species). A group of physical techniques (fully pulsed thermal and/or laser depositions) based on this kinetics is developed that can be used to prepare films with roughnesses even lower than those obtained with pulsed-laser deposition, which is the physical vapor-phase deposition technique that has produced the flattest films reported so far.

  4. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  5. Permeability of starch gel matrices and select films to solvent vapors.

    PubMed

    Glenn, Gregory M; Klamczynski, Artur P; Ludvik, Charles; Shey, Justin; Imam, Syed H; Chiou, Bor-Sen; McHugh, Tara; DeGrandi-Hoffman, Gloria; Orts, William; Wood, Delilah; Offeman, Rick

    2006-05-03

    Volatile agrochemicals such as 2-heptanone have potential in safely and effectively controlling important agricultural pests provided that they are properly delivered. The present study reports the permeability of starch gel matrices and various coatings, some of which are agricultural-based, that could be used in controlled release devices. Low-density, microcellular starch foam was made from wheat, Dent corn, and high amylose corn starches. The foam density ranged from 0.14 to 0.34 g/cm3, the pore volume ranged from 74 to 89%, and the loading capacity ranged from 2.3 to 7.2 times the foam weight. The compressive properties of the foam were not markedly affected by saturating the pore volume with silicone oil. The vapor transmission rate (VTR) and vapor permeability (VP) were measured in dry, porous starch foam and silicone-saturated starch gels. VTR values were highest in foam samples containing solvents with high vapor pressures. Silicone oil-saturated gels had lower VTR and VP values as compared to the dry foam. However, the silicone oil gel did not markedly reduce the VP for 2-heptanone and an additional vapor barrier or coating was needed to adequately reduce the evaporation rate. The VP of films of beeswax, paraffin, ethylene vinyl alcohol, a fruit film, and a laminate comprised of beeswax and fruit film was measured. The fruit film had a relatively high VP for polar solvents and a very low VP for nonpolar solvents. The laminate film provided a low VP for polar and nonpolar solvents. Perforating the fruit film portion of the laminate provided a method of attaining the target flux rate of 2-heptanone. The results demonstrate that the vapor flux rate of biologically active solvents can be controlled using agricultural materials.

  6. Diamond film growth from fullerene precursors

    DOEpatents

    Gruen, Dieter M.; Liu, Shengzhong; Krauss, Alan R.; Pan, Xianzheng

    1997-01-01

    A method and system for manufacturing diamond film. The method involves forming a fullerene vapor, providing a noble gas stream and combining the gas with the fullerene vapor, passing the combined fullerene vapor and noble gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the fullerene and deposition of a diamond film on a substrate.

  7. Structural transition in sputter-deposited amorphous germanium films by aging at ambient temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okugawa, M.; Nakamura, R., E-mail: nakamura@mtr.osakafu-u.ac.jp; Numakura, H.

    The structure of amorphous Ge (a-Ge) films prepared by sputter-deposition and the effects of aging at ambient temperature and pressure were studied by pair-distribution-function (PDF) analysis from electron scattering and molecular dynamics simulations. The PDFs of the as-deposited and aged samples for 3–13 months showed that the major peaks for Ge-Ge bonds decrease in intensity and broaden with aging for up to 7 months. In the PDFs of a-Ge of molecular dynamics simulation obtained by quenching liquid at different rates, the major peak intensities of a slowly cooled model are higher than those of a rapidly cooled model. Analyses onmore » short- and medium-range configurations show that the slowly cooled model includes a certain amount of medium-range ordered (MRO) clusters, while the rapidly cooled model includes liquid-like configurations rather than MRO clusters. The similarity between experimental and computational PDFs implies that as-deposited films are similar in structure to the slowly cooled model, whereas the fully aged films are similar to the rapidly cooled model. It is assumed that as they undergo room-temperature aging, the MRO clusters disintegrate and transform into liquid-like regions in the same matrix. This transition in local configurations is discussed in terms of instability and the non-equilibrium of nanoclusters produced by a vapor-deposition process.« less

  8. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  9. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  10. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  11. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  12. Observation of positive and small electron affinity of Si-doped AlN films grown by metalorganic chemical vapor deposition on n-type 6H-SiC

    NASA Astrophysics Data System (ADS)

    Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du

    2016-05-01

    We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).

  13. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  14. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  15. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  16. Growth behavior of carbon nanotubes on multilayered metal catalyst film in chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cui, H.; Eres, G.; Howe, J. Y.; Puretkzy, A.; Varela, M.; Geohegan, D. B.; Lowndes, D. H.

    2003-06-01

    The temperature and time dependences of carbon nanotube (CNT) growth by chemical vapor deposition are studied using a multilayered Al/Fe/Mo catalyst on silicon substrates. Within the 600-1100 °C temperature range of these studies, narrower temperature ranges were determined for the growth of distinct types of aligned multi-walled CNTs and single-walled CNTs by using high-resolution transmission electron microscopy and Raman spectroscopy. At 900 °C, in contrast to earlier work, double-walled CNTs are found more abundant than single-walled CNTs. Defects also are found to accumulate faster than the ordered graphitic structure if the growth of CNTs is extended to long durations.

  17. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    NASA Astrophysics Data System (ADS)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials.Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary

  18. Infrared control coating of thin film devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berland, Brian Spencer; Stowell, Jr., Michael Wayne; Hollingsworth, Russell

    Systems and methods for creating an infrared-control coated thin film device with certain visible light transmittance and infrared reflectance properties are disclosed. The device may be made using various techniques including physical vapor deposition, chemical vapor deposition, thermal evaporation, pulsed laser deposition, sputter deposition, and sol-gel processes. In particular, a pulsed energy microwave plasma enhanced chemical vapor deposition process may be used. Production of the device may occur at speeds greater than 50 Angstroms/second and temperatures lower than 200.degree. C.

  19. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  20. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  1. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    NASA Astrophysics Data System (ADS)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  2. Sensitive coating for water vapors detection based on thermally sputtered calcein thin films.

    PubMed

    Kruglenko, I; Shirshov, Yu; Burlachenko, J; Savchenko, A; Kravchenko, S; Manera, M G; Rella, R

    2010-09-15

    In this paper the adsorption properties of thermally sputtered calcein thin films towards water and other polar molecules vapors are studied by different characterization techniques: quartz crystal microbalance, surface plasmon resonance and visible spectroscopy. Sensitivity of calcein thin films to water vapors resulted much higher as compared with those of a number of dyes whose structure was close to that of calcein. All types of sensors with calcein coatings have demonstrated linear concentration dependences in the wide range of water vapor pressure from low concentrations up to 27,000 ppm (close to saturation). At higher concentrations of water vapor all sensors demonstrate the abrupt increase of the response (up to two orders). A theoretical model is advanced explaining the adsorption properties of calcein thin films taking into account their chemical structure and peculiarities of molecular packing. The possibility of application of thermally sputtered calcein films in sensing technique is discussed. Copyright (c) 2010 Elsevier B.V. All rights reserved.

  3. Hot-filament chemical vapor deposition chamber and process with multiple gas inlets

    DOEpatents

    Deng, Xunming; Povolny, Henry S.

    2004-06-29

    A thin film deposition method uses a vacuum confinement cup that employs a dense hot filament and multiple gas inlets. At least one reactant gas is introduced into the confinement cup both near and spaced apart from the heated filament. An electrode inside the confinement cup is used to generate plasma for film deposition. The method is used to deposit advanced thin films (such as silicon based thin films) at a high quality and at a high deposition rate.

  4. Diamond film growth argon-carbon plasmas

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Liu, Shengzhong; Pan, Xianzheng; Zuiker, Christopher D.

    1998-01-01

    A method and system for manufacturing diamond film. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrogen and hydrocarbon and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous and deposition of a diamond film on a substrate.

  5. Diamond film growth from fullerene precursors

    DOEpatents

    Gruen, D.M.; Liu, S.; Krauss, A.R.; Pan, X.

    1997-04-15

    A method and system are disclosed for manufacturing diamond film. The method involves forming a fullerene vapor, providing a noble gas stream and combining the gas with the fullerene vapor, passing the combined fullerene vapor and noble gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the fullerene and deposition of a diamond film on a substrate. 10 figs.

  6. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  7. New precursors and chemistry for the growth of transition metal films by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Knisley, Thomas Joseph

    The advancing complexity of advanced microelectronic devices is placing rigorous demands on currently used PVD and CVD deposition techniques. The ALD deposition method is proposed to meet the film thickness and conformality constraints needed by the semiconductor industry in future manufacturing processes. Unfortunately, there is a limited number of chemical precursors available that have high thermal stability, reactivity, and vapor pressure suitable for ALD film growth to occur. These properties collectively contribute to the lack of suitable transition metal precursors available for use in ALD. In this thesis, we report the discovery of a series of novel transition metal diazadienate precursors that promising properties deemed suitable for ALD. The volatility and thermal stability of the new transition metal diazadienyl compounds were studied by preparative sublimation and capillary tube melting point/decomposition experiments. Thermogravimetric analyses (TGA) demonstrate precursor residues of less than 4% at 500 °C. In addition, sublimation data, melting points, and decomposition temperatures for all complexes are presented. The manganese diazadienyl complex has the highest decomposition temperature of the series of complexes produced (325 °C). During preparative sublimations, the product recoveries of all transition metal diazadienyl complexes were greater than 92.0% with nonvolatile residues of less than 7.0%. This is an excellent indication that these complexes may be suitable candidates as metal precursors for ALD. Nickel nitride (NixN) films have been studied as an intermediate material for the formation of both nickel metal and nickel silicide using chemical vapor deposition. Herein, we describe the ALD growth of nickel nitride thin films from bis(1,4-di-tert-butyl-1,3-diazabutadiene) nickel(II) (Ni(tBu2DAD)2) and 1,1-dimethylhydrazine. An ALD window for the deposition of nickel nitride films on 500 nm thermal SiO2 substrates was observed between 225

  8. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    PubMed

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  9. Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor

    NASA Astrophysics Data System (ADS)

    Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan

    2018-05-01

    Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.

  10. Photoreflectance study of the near-band-edge transitions of chemical vapor deposition-grown mono- and few-layer MoS{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw; Chen, Yen-Jen; Wang, Bo-Yan

    2016-03-21

    Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp,more » derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.« less

  11. Initiated chemical vapor deposited nanoadhesive for bonding National Ignition Facility's targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Tom

    Currently, the target fabrication scientists in National Ignition Facility Directorate at Lawrence Livermore National Laboratory (LLNL) is studying the propagation force resulted from laser impulses impacting a target. To best study this, they would like the adhesive used to glue the target substrates to be as thin as possible. The main objective of this research project is to create adhesive glue bonds for NIF’s targets that are ≤ 1 μm thick. Polyglycidylmethacrylate (PGMA) thin films were coated on various substrates using initiated chemical vapor deposition (iCVD). Film quality studies using white light interferometry reveal that the iCVD PGMA films weremore » smooth. The coated substrates were bonded at 150 °C under vacuum, with low inflow of Nitrogen. Success in bonding most of NIF’s mock targets at thicknesses ≤ 1 μm indicates that our process is feasible in bonding the real targets. Key parameters that are required for successful bonding were concluded from the bonding results. They include inert bonding atmosphere, sufficient contact between the PGMA films, and smooth substrates. Average bond strength of 0.60 MPa was obtained from mechanical shearing tests. The bonding failure mode of the sheared interfaces was observed to be cohesive. Future work on this project will include reattempt to bond silica aerogel to iCVD PGMA coated substrates, stabilize carbon nanotube forests with iCVD PGMA coating, and kinetics study of PGMA thermal crosslinking.« less

  12. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    PubMed

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  13. Atomic layer deposition of zirconium silicate films using zirconium tetra-tert-butoxide and silicon tetrachloride

    NASA Astrophysics Data System (ADS)

    Kim, Won-Kyu; Kang, Sang-Woo; Rhee, Shi-Woo

    2003-09-01

    A new precursor combination (SiCl4 and Zr(OtC4H9)4) was used to deposit Zr silicate with Zr(OtC4H9)4 as a zirconium source and oxygen source at the same time. SiCl4 and Zr(OtC4H9)4 have higher vapor pressures than their counterpart, ZrCl4 and tetra-n-butyl orthosilicate (TBOS), and it was expected that the cycle time would be shorter. The deposition temperature of the new combination was about 150 °C lower than that of ZrCl4 and TBOS. The film was zirconium rich while it was silicon rich with ZrCl4 and TBOS. Growth rate (nm/cycle), composition ratio [Zr/(Zr+Si)], and chlorine impurity were decreased with increasing deposition temperature from 125 to 225 °C. The composition ratio of the film deposited at 225 °C was 0.53 and the chlorine content was about 0.4 at. %. No carbon was detected by x-ray photoelectron spectroscopy.

  14. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  15. New Water Vapor Barrier Film Based on Lamellar Aliphatic-Monoamine-Bridged Polysilsesquioxane.

    PubMed

    Zhang, Cong; Zhang, Ce; Ding, Ruimin; Cui, Xinmin; Wang, Jing; Zhang, Qinghua; Xu, Yao

    2016-06-15

    Siloxane-based hybrid lamellar materials with ordered nanostructure units paralleling to the substrate have been widely used for water vapor barrier. However, it is very difficult to control the orientation of the lamellar units at molecular level. In this Research Article, a new lamellar bridged polysilsesquioxane (BPSQ) film, whose voids between lamellae were filled by pendant alkyl chains in the organic bridge, was prepared via the stoichiometric reaction between 3-glycidoxypropyltrimethoxysilane and aliphatic monoamine at 60 °C without catalyst. Experimental evidence obtained from FT-IR, MS, NMR, and GIXRD techniques suggested that the as-prepared BPSQ films were constructed by lamellar units with disordered orientation. Nonetheless, they possessed satisfactory water vapor barrier performance for potassium dihydrogen phosphate (KDP) and deuterated potassium dihydrogen phosphate (DKDP) optical crystals, and the water vapor transmission rate through BPSQ film with thickness of 25 μm was as low as 20.3 g·m(-2)·d(-1). Those results proved that filling the voids between molecular lamellae with alkyl chains greatly weakened the effect of lamellar unit orientation on the vapor barrier property of BPSQ film.

  16. Tungsten Doped TiO2 with Enhanced Photocatalytic and Optoelectrical Properties via Aerosol Assisted Chemical Vapor Deposition

    PubMed Central

    Sathasivam, Sanjayan; Bhachu, Davinder S.; Lu, Yao; Chadwick, Nicholas; Althabaiti, Shaeel A.; Alyoubi, Abdulrahman O.; Basahel, Sulaiman N.; Carmalt, Claire J.; Parkin, Ivan P.

    2015-01-01

    Tungsten doped titanium dioxide films with both transparent conducting oxide (TCO) and photocatalytic properties were produced via aerosol-assisted chemical vapor deposition of titanium ethoxide and dopant concentrations of tungsten ethoxide at 500 °C from a toluene solution. The films were anatase TiO2, with good n-type electrical conductivities as determined via Hall effect measurements. The film doped with 2.25 at.% W showed the lowest resistivity at 0.034 Ω.cm and respectable charge carrier mobility (14.9 cm3/V.s) and concentration (×1019 cm−3). XPS indicated the presence of both W6+ and W4+ in the TiO2 matrix, with the substitutional doping of W4+ inducing an expansion of the anatase unit cell as determined by XRD. The films also showed good photocatalytic activity under UV-light illumination, with degradation of resazurin redox dye at a higher rate than with undoped TiO2. PMID:26042724

  17. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  18. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

    NASA Astrophysics Data System (ADS)

    Grill, A.; Patel, V.; Rodbell, K. P.; Huang, E.; Baklanov, M. R.; Mogilnikov, K. P.; Toney, M.; Kim, H.-C.

    2003-09-01

    The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7-2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%-39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.

  19. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  20. Heteroepitaxial growth of ɛ-(AlxGa1-x)2O3 alloy films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2018-04-01

    In this study, ɛ-(AlxGa1-x)2O3 alloy films were grown on c-plane AlN templates by mist chemical vapor deposition. The Al content of two samples was determined by Rutherford backscattering analysis. The lattice constant of the ɛ-(AlxGa1-x)2O3 alloy films followed Vegard's law, and the Al contents of other samples were determined to be as high as x = 0.395 by Vegard's law. The direct bandgap was obtained in the range of 5.0-5.9 eV by transmittance measurements. The valence-band offset between ɛ-(Al0.395Ga0.605)2O3 and ɛ-Ga2O3 was analyzed to be 0.2 eV, and the conduction-band offset was calculated to be 0.7 eV by X-ray photoelectron spectroscopy. The ɛ-(AlxGa1-x)2O3/ɛ-Ga2O3 interface band discontinuity was type I. Our experimental results will be important for the actual application of ɛ-(AlxGa1-x)2O3/ɛ-Ga2O3 heterojunction devices.