Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples
2002-01-01
vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not
In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD
NASA Technical Reports Server (NTRS)
Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.
1991-01-01
Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.
NASA Astrophysics Data System (ADS)
Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik
2007-04-01
We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.
In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD
NASA Technical Reports Server (NTRS)
Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.
1990-01-01
Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.
Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications
NASA Astrophysics Data System (ADS)
Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.
2017-02-01
In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.
Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane
NASA Astrophysics Data System (ADS)
Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu
2018-03-01
Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.
NASA Astrophysics Data System (ADS)
Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang
2018-05-01
High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.
NASA Astrophysics Data System (ADS)
Izumiya, T.; Ishikawa, H.; Mashita, M.
1994-12-01
InGaAlP epilayers and double-hetero structure light emitting diodes (LEDs) were grown by metalorganic chemical vapor deposition (MOCVD) using tertiarybutylphosphine (TBP). The photoluminescence (PL) intensities were low compared with the epilayer grown using PH 3, and depended markedly on the TBP synthesis lots. Deep levels, were studied and two oxygen related levels were observed in the epilayers with small PL intensities. An intimate relation between the deep levels and the photoluminescence (PL) intensity has been found. A larger TBP flow rate reduced the deep level concentrations and improved the PL intensity.
NASA Technical Reports Server (NTRS)
Lewis, C. R.; Ford, C. W.; Werthen, J. G.
1984-01-01
Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.
NASA Astrophysics Data System (ADS)
Watkins, S. P.; Haacke, G.
1991-10-01
Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.
Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sharma, P.; Bond, J.; Westmore, T.
1995-12-01
Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less
Suppression of Mg propagation into subsequent layers grown by MOCVD
NASA Astrophysics Data System (ADS)
Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh
2017-01-01
Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.
Radiation effects on p+n InP junctions grown by MOCVD
NASA Technical Reports Server (NTRS)
Messenger, Scott R.; Walters, Robert J.; Panunto, M. J.; Summers, Geoffrey P.
1994-01-01
The superior radiation resistance of InP over other solar cell materials such as Si or GaAs has prompted the development of InP cells for space applications. The early research on radiation effects in InP was performed by Yamaguchi and co-workers who showed that, in diffused p-InP junctions, radiation-induced defects were readily annealed both thermally and by injection, which was accompanied by significant cell recovery. More recent research efforts have been made using p-InP grown by metalorganic chemical vapor deposition (MOCVD). While similar deep level transient spectroscopy (DLTS) results were found for radiation induced defects in these cells and in diffused junctions, significant differences existed in the annealing characteristics. After injection annealing at room temperature, Yamaguchi noticed an almost complete recovery of the photovoltaic parameters, while the MOCVD samples showed only minimal annealing. In searching for an explanation of the different annealing behavior of diffused junctions and those grown by MOCVD, several possibilities have been considered. One possibility is the difference in the emitter structure. The diffused junctions have S-doped graded emitters with widths of approximately 0.3 micrometers, while the MOCVD emitters are often doped with Si and have widths of approximately 300A (0.03 micrometers). The difference in the emitter thickness can have important effects, e.g. a larger fraction of the total photocurrent is generated in the n-type material for thicker emitters. Therefore the properties of the n-InP material may explain the difference in the observed overall annealing behavior of the cells.
NASA Astrophysics Data System (ADS)
Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.
2009-10-01
The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.
Temperature coefficients and radiation induced DLTS spectra of MOCVD grown n(+)p InP solar cells
NASA Technical Reports Server (NTRS)
Walters, Robert J.; Statler, Richard L.; Summers, Geoffrey P.
1991-01-01
The effects of temperature and radiation on n(+)p InP solar cells and mesa diodes grown by metallorganic chemical vapor deposition (MOCVD) were studied. It was shown that MOCVD is capable of consistently producing good quality InP solar cells with Eff greater than 19 percent which display excellent radiation resistance due to minority carrier injection and thermal annealing. It was also shown that universal predictions of InP device performance based on measurements of a small group of test samples can be expected to be quite accurate, and that the degradation of an InP device due to any incident particle spectrum should be predictable from a measurement following a single low energy proton irradiation.
NASA Technical Reports Server (NTRS)
Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.
1988-01-01
Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.
NASA Astrophysics Data System (ADS)
Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.
2018-06-01
We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.
The Chemical Vapor Deposition of Thin Metal Oxide Films
NASA Astrophysics Data System (ADS)
Laurie, Angus Buchanan
1990-01-01
Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).
NASA Astrophysics Data System (ADS)
Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang
2018-01-01
Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.
2015-04-01
studies on flow and thermal fields in MOCVD reactor. Chinese Science Bulletin. 2010;55:560–566. 36. Hampdensmith MJ, Kodas TT. Chemical vapor...Chemistry. 1995;19727–750. 47. Xu CY, Hampdensmith MJ, Kodas TT. Aerosol-assisted chemical-vapor- deposition (AACVD) of binary alloy (AGXPD1-X, CUXPD1-X
NASA Astrophysics Data System (ADS)
Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi
2018-04-01
In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.
Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro
2013-03-01
This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.
NASA Astrophysics Data System (ADS)
Yamamoto, Norio; Uwai, Kunihiko; Takahei, Kenichiro
1989-04-01
Deep levels in high-purity InP crystal grown by metalorganic chemical vapor deposition (MOCVD) have been measured by deep level transient spectroscopy. While no electron traps are observed in the samples grown at 600 °C with a [PH3]/[In(C2H5)3] of 170, three electron traps with activation energies of 0.80, 0.44, and 0.24 eV were observed in the samples grown at 500 °C with the same [PH3]/[In(C2H5)3]. The 0.44-eV trap, whose capture cross section is 1.5×10-18 cm2, observed at a low [PH3]/[In(C2H5)3] shows a decrease in concentration as [PH3]/[In(C2H5)3] is increased, and becomes less than 5×1012 cm-3 at a [PH3]/[In(C2H5)3] of more than 170. The comparison of annealing behavior of this trap in MOCVD InP and that in liquid-encapsulated Czochralski InP suggests that the 0.44-eV trap is related to a complex formed from residual impurities and native defects related to a phosphorus deficiency such as phosphorus vacancies or indium interstitials. This trap is found to show configurational bistability similar to that observed for the trap in an Fe-doped InP, MFe center.
Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor
NASA Astrophysics Data System (ADS)
Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan
2018-05-01
Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.
NASA Astrophysics Data System (ADS)
Andrzejewski, D.; Marx, M.; Grundmann, A.; Pfingsten, O.; Kalisch, H.; Vescan, A.; Heuken, M.; Kümmell, T.; Bacher, G.
2018-07-01
Fabrication of transition metal dichalcogenides (TMDCs) via metalorganic chemical vapor deposition (MOCVD) represents one of the most attractive routes to large-scale 2D material layers. Although good homogeneity and electrical conductance have been reported recently, the relation between growth parameters and photoluminescence (PL) intensity—one of the most important parameters for optoelectronic applications—has not yet been discussed for MOCVD TMDCs. In this work, MoS2 is grown via MOCVD on sapphire (0001) substrates using molybdenum hexacarbonyl (Mo(CO)6, MCO) and di-tert-butyl sulphide as precursor materials. A prebake step under H2 atmosphere combined with a reduced MCO precursor flow increases the crystal grain size by one order of magnitude and strongly enhances PL intensity with a clear correlation to the grain size. A decrease of the linewidth of both Raman resonances and PL spectra down to full width at half maxima of 3.2 cm‑1 for the E 2g Raman mode and 60 meV for the overall PL spectrum indicate a reduced defect density at optimized growth conditions.
Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN
NASA Astrophysics Data System (ADS)
Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.
2017-11-01
This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.
All-MOCVD-grown BH laser on P-InP substrates
NASA Astrophysics Data System (ADS)
Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao
1993-07-01
A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.
NASA Astrophysics Data System (ADS)
Jabri, S.; Souissi, H.; Sallet, V.; Lusson, A.; Meftah, A.; Galtier, P.; Oueslati, M.
2017-07-01
We have investigated the optical properties of ZnO nanowires grown by metalorganic chemical vapor deposition (MOCVD) with nitrous oxide (N2O) as oxygen precursor. Photoluminescence (PL) and Raman measurements showed the influence of adsorbed molecules on the optical properties. Low-temperature (4 K) PL studies on the surface exciton (SX) at 3.3660 eV elucidated the nature and origin of this emission. In particular, surface treatment by annealing at high temperature under inert gas reduced the emission intensity of SX. Raman vibrational spectra proved that presence of a considerable amount of adsorbed molecules on the surface of ZnO nanowires plays a key role in the occurrence of surface excitons.
NASA Astrophysics Data System (ADS)
Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.
1998-08-01
The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.
Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology
NASA Astrophysics Data System (ADS)
Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.
2016-09-01
In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.
Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD
NASA Astrophysics Data System (ADS)
Bryan, Isaac; Bryan, Zachary; Washiyama, Shun; Reddy, Pramod; Gaddy, Benjamin; Sarkar, Biplab; Breckenridge, M. Hayden; Guo, Qiang; Bobea, Milena; Tweedie, James; Mita, Seiji; Irving, Douglas; Collazo, Ramon; Sitar, Zlatko
2018-02-01
In order to understand the influence of dislocations on doping and compensation in Al-rich AlGaN, thin films were grown by metal organic chemical vapor deposition (MOCVD) on different templates on sapphire and low dislocation density single crystalline AlN. AlGaN grown on AlN exhibited the highest conductivity, carrier concentration, and mobility for any doping concentration due to low threading dislocation related compensation and reduced self-compensation. The onset of self-compensation, i.e., the "knee behavior" in conductivity, was found to depend only on the chemical potential of silicon, strongly indicating the cation vacancy complex with Si as the source of self-compensation. However, the magnitude of self-compensation was found to increase with an increase in dislocation density, and consequently, AlGaN grown on AlN substrates demonstrated higher conductivity over the entire doping range.
NASA Astrophysics Data System (ADS)
Huang, Yong; Ryou, Jae-Hyun; Dupuis, Russell D.; Zuo, Daniel; Kesler, Benjamin; Chuang, Shun-Lien; Hu, Hefei; Kim, Kyou-Hyun; Ting Lu, Yen; Hsieh, K. C.; Zuo, Jian-Min
2011-07-01
We propose and demonstrate strain-balanced InAs/GaSb type-II superlattices (T2SLs) grown on InAs substrates employing GaAs-like interfacial (IF) layers by metalorganic chemical vapor deposition (MOCVD) for effective strain management, simplified growth scheme, improved materials crystalline quality, and reduced substrate absorption. The in-plane compressive strain from the GaSb layers in the T2SLs on the InAs was completely balanced by the GaAs-like IF layers formed by controlled precursor carry-over and anion exchange effects, avoiding the use of complicated IF layers and precursor switching schemes that were used for the MOCVD growth of T2SLs on GaSb. An infrared (IR) p-i-n photodiode structure with 320-period InAs/GaSb T2SLs on InAs was grown and the fabricated devices show improved performance characteristics with a peak responsivity of ˜1.9 A/W and a detectivity of ˜6.78 × 109 Jones at 8 μm at 78 K. In addition, the InAs buffer layer and substrate show a lower IR absorption coefficient than GaSb substrates in most of the mid- and long-IR spectral range.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu
2016-05-01
Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less
Metalorganic chemical vapor deposition and characterization of ZnO materials
NASA Astrophysics Data System (ADS)
Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet
2006-04-01
Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.
NASA Astrophysics Data System (ADS)
Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.
2014-03-01
Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.
NASA Astrophysics Data System (ADS)
Cunningham, Brian Thomas
1990-01-01
A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.
Hubert, Brian N.; Wu, Xin Di
1998-01-01
A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metalorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition.
2012-01-01
InAs/GaSb type II superlattices were grown on (100) GaSb substrates by metalorganic chemical vapor deposition (MOCVD). A plane of mixed As and Sb atoms connecting the InAs and GaSb layers was introduced to compensate the tensile strain created by the InAs layer in the SL. Characterizations of the samples by atomic force microscopy and high-resolution X-ray diffraction demonstrate flat surface morphology and good crystalline quality. The lattice mismatch of approximately 0.18% between the SL and GaSb substrate is small compared to the MOCVD-grown supperlattice samples reported to date in the literature. Considerable optical absorption in 2- to 8-μm infrared region has been realized. PACS: 78.67.Pt; 81.15.Gh; 63.22.Np; 81.05.Ea PMID:22373387
Growth of indium gallium arsenide thin film on silicon substrate by MOCVD technique
NASA Astrophysics Data System (ADS)
Chowdhury, Sisir; Das, Anish; Banerji, Pallab
2018-05-01
Indium gallium arsenide (InGaAs) thin film with indium phosphide (InP) buffer has been grown on p-type silicon (100) by Metal Organic Chemical Vapor Deposition (MOCVD) technique. To get a lattice matched substrate an Indium Phosphide buffer thin film is deposited onto Si substrate prior to InGaAs growth. The grown films have been investigated by UV-Vis-NIR reflectance spectroscopy. The band gap energy of the grown InGaAs thin films determined to be 0.82 eV from reflectance spectrum and the films are found to have same thickness for growth between 600 °C and 650 °C. Crystalline quality of the grown films has been studied by grazing incidence X-ray diffractometry (GIXRD).
Hubert, B.N.; Wu, X.D.
1998-10-13
A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metallorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition. 13 figs.
Chemical Vapor Deposition of Turbine Thermal Barrier Coatings
NASA Technical Reports Server (NTRS)
Haven, Victor E.
1999-01-01
Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.
Profiling of MOCVD- and MBE-grown VCSEL wafers for WDM sources
NASA Astrophysics Data System (ADS)
Sze, Theresa; Mahbobzadeh, A. M.; Cheng, Julian; Hersee, Stephen D.; Osinski, Marek; Brueck, Steven R. J.; Malloy, Kevin J.
1993-06-01
We compare vertical-cavity surface emitting lasers grown by molecular beam epitaxial methods to those grown by metal organic chemical vapor deposition methods as sources for wavelength-division multiplexing systems.
Room temperature electroluminescence from the n-ZnO/p-GaN heterojunction device grown by MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, T.P.; Zhu, H.C.; Bian, J.M.
2008-12-01
The heterojunction light-emitting diode with n-ZnO/p-GaN structure was grown on (0 0 0 1) sapphire substrate by metalorganic chemical vapor deposition (MOCVD) technique. The heterojunction structure was consisted of an Mg-doped p-type GaN layer with a hole concentration of {approx}10{sup 17} cm{sup -3} and a unintentionally doped n-type ZnO layer with an electron concentration of {approx}10{sup 18} cm{sup -3}. A distinct blue-violet electroluminescence with a dominant emission peak centered at {approx}415 nm was observed at room temperature from the heterojunction structure under forward bias conditions. The origins of the electroluminescence (EL) emissions are discussed in comparison with the photoluminescence spectra,more » and it was supposed to be attributed to a radiative recombination in both n-ZnO and p-GaN sides.« less
Growth and characterization of CdS buffer layers by CBD and MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Morrone, A.A.; Huang, C.; Li, S.S.
1999-03-01
Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less
NASA Astrophysics Data System (ADS)
Kaufman, David Y.
Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle
NASA Astrophysics Data System (ADS)
Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.
2015-03-01
We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.
NASA Astrophysics Data System (ADS)
Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.
2003-10-01
SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.
GaN epitaxial layers grown on multilayer graphene by MOCVD
NASA Astrophysics Data System (ADS)
Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe
2018-04-01
In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.
BiVO4 thin film photoanodes grown by chemical vapor deposition.
Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W
2014-01-28
BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.
NASA Astrophysics Data System (ADS)
Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru
2016-02-01
HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.
NASA Astrophysics Data System (ADS)
Böhrer, J.; Krost, A.; Heitz, R.; Heinrichsdorff, F.; Eckey, L.; Bimberg, D.; Cerva, H.
1996-02-01
The optical and structural properties of the normal InAlAs on InP and the inverted InP on the InAlAs staggered band lineup interface grown by metalorganic chemical vapor deposition (MOCVD) are compared by use of transmission electron microscopy (TEM), time integrated, and time resolved photoluminescence. TEM images show that both interfaces are dissimilar. The normal interface is very abrupt. The inverted interface shows an additional graded layer of about 2.5 nm in width of In1-xAlxAsyP1-y with x (0.48-0) and y (1.0-0.0). A large optical anisotropy exists because of the inequivalence of the two interfaces. The larger spatial separation of the carriers at the inverted interface is responsible for a smaller overlap of the electron and hole wave functions and for that reason a one order of magnitude longer e-h luminescence decay time of 45 ns is observed. The normal interface transition shifts approximately to the third root of excitation while the inverted interface transition shifts logarithmically.
Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy
NASA Astrophysics Data System (ADS)
Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun
2014-12-01
Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.
Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.
The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses andmore » compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.« less
NASA Astrophysics Data System (ADS)
Consiglio, Steven P.
To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of
Gallium Nitride (GaN) High Power Electronics (FY11)
2012-01-01
GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP
NASA Astrophysics Data System (ADS)
Surender, S.; Pradeep, S.; Ramesh, R.; Baskar, K.
2016-05-01
In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3 nm for a scan area of 5×5 µm2 which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×1017cm-3 and resistivity of 1.7 Ωcm at room temperature.
Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Raupp, Gregory B.
1993-01-01
The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.
NASA Astrophysics Data System (ADS)
Lin, Jia-Yong; Pei, Yan-Li; Zhuo, Yi; Chen, Zi-Min; Hu, Rui-Qin; Cai, Guang-Shuo; Wang, Gang
2016-11-01
In this study, the high performance of InGaN/GaN multiple quantum well light-emitting diodes (LEDs) with Al-doped ZnO (AZO) transparent conductive layers (TCLs) has been demonstrated. The AZO-TCLs were fabricated on the n+-InGaN contact layer by metal organic chemical vapor deposition (MOCVD) using H2O as an oxidizer at temperatures as low as 400 °C without any post-deposition annealing. It shows a high transparency (98%), low resistivity (510-4 Ω·cm), and an epitaxial-like excellent interface on p-GaN with an n+-InGaN contact layer. A forward voltage of 2.82 V @ 20 mA was obtained. Most importantly, the power efficiencies can be markedly improved by 53.8%@20 mA current injection and 39.6%@350 mA current injection compared with conventional LEDs with indium tin oxide TCL (LED-III), and by 28.8%@20 mA current injection and 4.92%@350 mA current injection compared with LEDs with AZO-TCL prepared by MOCVD using O2 as an oxidizer (LED-II), respectively. The results indicate that the AZO-TCL grown by MOCVD using H2O as an oxidizer is a promising TCL for a low-cost and high-efficiency GaN-based LED application. Project supported by the National Natural Science Foundation of China (Grant Nos. 61204091, 61404177, 51402366, and U1201254) and the Science and Technology Planning Project of Guangdong Province, China (Grant No. 2015B010132006).
Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond
NASA Technical Reports Server (NTRS)
Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.
1996-01-01
Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.
NASA Astrophysics Data System (ADS)
Edleman, Nikki Lynn
A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism
MOCVD growth of vertically aligned InGaN nanowires
NASA Astrophysics Data System (ADS)
Kuo, H. C.; Su Oh, Tae; Ku, P.-C.
2013-05-01
In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.
Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition
NASA Technical Reports Server (NTRS)
Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.
2000-01-01
Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.
Minority carrier diffusion and defects in InGaAsN grown by molecular beam epitaxy
NASA Astrophysics Data System (ADS)
Kurtz, Steven R.; Klem, J. F.; Allerman, A. A.; Sieg, R. M.; Seager, C. H.; Jones, E. D.
2002-02-01
To gain insight into the nitrogen-related defects of InGaAsN, nitrogen vibrational mode spectra, Hall mobilities, and minority carrier diffusion lengths are examined for InGaAsN (1.1 eV band gap) grown by molecular beam epitaxy (MBE). Annealing promotes the formation of In-N bonding, and lateral carrier transport is limited by large scale (≫mean free path) material inhomogeneities. Comparing solar cell quantum efficiencies with our earlier results for devices grown by metalorganic chemical vapor deposition (MOCVD), we find significant electron diffusion in the MBE material (reversed from the hole diffusion in MOCVD material), and minority carrier diffusion in InGaAsN cannot be explained by a "universal," nitrogen-related defect.
Method of making AlInSb by metal-organic chemical vapor deposition
Biefeld, Robert M.; Allerman, Andrew A.; Baucom, Kevin C.
2000-01-01
A method for producing aluminum-indium-antimony materials by metal-organic chemical vapor deposition (MOCVD). This invention provides a method of producing Al.sub.X In.sub.1-x Sb crystalline materials by MOCVD wherein an Al source material, an In source material and an Sb source material are supplied as a gas to a heated substrate in a chamber, said Al source material, In source material, and Sb source material decomposing at least partially below 525.degree. C. to produce Al.sub.x In.sub.1-x Sb crystalline materials wherein x is greater than 0.002 and less than one.
NASA Technical Reports Server (NTRS)
Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.
1974-01-01
Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.
Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...
2014-09-01
The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less
NASA Astrophysics Data System (ADS)
Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.
2007-07-01
Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Surender, S.; Pradeep, S.; Ramesh, R.
2016-05-23
In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3more » nm for a scan area of 5×5 µm{sup 2} which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×10{sup 17}cm{sup −3} and resistivity of 1.7 Ωcm at room temperature.« less
Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment
NASA Technical Reports Server (NTRS)
Levy, Moises; Sarma, Bimal K.
1994-01-01
Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.
All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).
Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko
2018-04-30
Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.
Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN
DOE Office of Scientific and Technical Information (OSTI.GOV)
Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.
2015-12-28
We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less
Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O; Taylor, Aidan; Isaac, Brandon; Bowers, John E; Klamkin, Jonathan
2018-02-26
We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO₂) stripes and oriented along the [110] direction. Undercut at the Si/SiO₂ interface was used to reduce the propagation of defects into the III-V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 10⁸/cm² and 1.2 nm; respectively and 7.8 × 10⁷/cm² and 10.8 nm for the GaAs-on-Si layer.
Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O.; Taylor, Aidan; Isaac, Brandon; Klamkin, Jonathan
2018-01-01
We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2) stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer. PMID:29495381
Effect of the Cu/Ba ratio for the YBCO deposition onto IBAD template by the MOCVD method
NASA Astrophysics Data System (ADS)
Choi, J. K.; Kim, H. J.; Jun, B. H.; Kim, C. J.
2005-10-01
YBa2Cu3O7-x (YBCO) thin films were fabricated by the metal organic chemical vapor deposition (MOCVD) using a single liquid source. The copper/barium (Cu/Ba) ratio was varied from 1.26 to 1.38 to optimize the deposition condition. The IBAD template (CeO2/YSZ/stainless steel) was used as a substrate. The growth features of the YBCO films were not significantly influenced by the Cu/Ba ratio, while the superconducting transition temperature (Tc) and critical current (Ic) depended on the Cu/Ba ratio. When Cu/Ba ratio was between 1.26 and 1.29, Tc was as low as 80 K, while as Cu/Ba ratio increased to 1.38, it increased to above 85 K. The highest Tc (89.0 K) and Ic (46.3 A/cm-width) were achieved at the Cu/Ba ratio of 1.38 (Y:Ba:Cu = 1:2.1:2.9). It indicates that the optimum Cu/Ba ratio which differs from stoichiometric balance exists for the formation of the superconducting phase with a high Tc and Ic in MOCVD method.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.
Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance ofmore » the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.« less
Modeling of InP metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.
1991-01-01
The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.
The controlled growth of GaN microrods on Si(111) substrates by MOCVD
NASA Astrophysics Data System (ADS)
Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael
2015-03-01
In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.
Li, Linqiu; Long, Run; Prezhdo, Oleg V
2018-06-13
Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.
Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)
1990-01-01
A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.
Papadimitropoulos, G; Davazoglou, D
2011-09-01
In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.
Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P
2007-01-01
Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.
Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition
NASA Astrophysics Data System (ADS)
Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.
2016-04-01
In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.
NASA Astrophysics Data System (ADS)
Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao
2014-08-01
A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.
NASA Astrophysics Data System (ADS)
Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.
2018-01-01
Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10-5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.
Top-gated chemical vapor deposition grown graphene transistors with current saturation.
Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng
2011-06-08
Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.
Influence of Natural Convection and Thermal Radiation Multi-Component Transport in MOCVD Reactors
NASA Technical Reports Server (NTRS)
Lowry, S.; Krishnan, A.; Clark, I.
1999-01-01
The influence of Grashof and Reynolds number in Metal Organic Chemical Vapor (MOCVD) reactors is being investigated under a combined empirical/numerical study. As part of that research, the deposition of Indium Phosphide in an MOCVD reactor is modeled using the computational code CFD-ACE. The model includes the effects of convection, conduction, and radiation as well as multi-component diffusion and multi-step surface/gas phase chemistry. The results of the prediction are compared with experimental data for a commercial reactor and analyzed with respect to the model accuracy.
NASA Astrophysics Data System (ADS)
Menou, Nicolas; Funakubo, Hiroshi
2007-12-01
(111)-textured Pb(Zr0.4Ti0.6)O3 films (thickness of ˜120nm) were deposited on (111)-oriented SrRuO3 bottom electrodes by pulse metal organic chemical vapor deposition (MOCVD). PZT single phase was evidenced over a large range of Pb precursor input rate into the MOCVD chamber. In this process window, the good control of the (111) texture of PZT films was confirmed. It is shown that the control of both the composition and orientation of PZT films leads to reproducible electric properties (Pr, Vc, resistance to fatigue) across the process window. Furthermore, the impact of the top electrode chemical nature, elaboration process, and annealing process upon the electric properties was studied systematically.
NASA Astrophysics Data System (ADS)
Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.
2018-05-01
Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.
Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)
1988-01-01
A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.
Engineering of InN epilayers by repeated deposition of ultrathin layers in pulsed MOCVD growth
NASA Astrophysics Data System (ADS)
Mickevičius, J.; Dobrovolskas, D.; Steponavičius, T.; Malinauskas, T.; Kolenda, M.; Kadys, A.; Tamulaitis, G.
2018-01-01
Capabilities of repeated deposition of ultrathin layers by pulsed metalorganic chemical vapor deposition (MOCVD) for improvement of structural and luminescence properties of InN thin films on GaN/sapphire templates were studied by varying the growth temperature and the durations of pulse and pause in the delivery of In precursor. X-ray diffraction, atomic force microscopy, and spatially-resolved photoluminescence (PL) spectroscopy were exploited to characterize the structural quality, surface morphology and luminescence properties. Better structural quality is achieved by using longer trimethylindium pulses. However, it is shown that the luminescence properties of InN epilayers correlate with the pause and pulse ratio rather than with their absolute lengths, and the deposition of 1.5-2 monolayers of InN during one growth cycle is optimal to achieve the highest PL intensity. Moreover, the use of temperature ramping enabled achieving the highest PL intensity and the smallest blue shift of the PL band. The luminescence parameters are linked with the structural properties, and domain-like patterns of InN layers are revealed.
RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition
NASA Astrophysics Data System (ADS)
Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.
2013-11-01
Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.
NASA Astrophysics Data System (ADS)
Bather, Wayne Anthony
The metalorganic chemical vapor deposition (MOCVD) growth of compound semiconductors has become important in producing many high performance electronic and optoelectronic devices from the wide bandgaps III-V nitrides, for example, aluminum nitride (AlN). A systematic theoretical and experimental investigation of the chemistry and mass transport process in a MOCVD system can yield predictive models of the deposition process. The chemistries and fluid dynamics of the MOCVD growth of AlN in a vertical reactor is analyzed and characterized in order to parameterize and model the deposition process. A Fourier Transform Infrared (FTIR) spectroscopic study of the predeposition reactions between trimethylaluminum (TMAl) and ammonia (NHsb3) is carried out in a static gas cell to examine the primary homogeneous gas phase reactions, pyrolysis of the reactants, and adduct formation, possibly accompanied by elimination reactions. A series of reactions, based on laboratory studies and literature review, is then proposed to model the deposition process. All pertinent kinetic, thermochemical, and transport properties were obtained. Utilizing a mass transport model, we performed computational fluid dynamics calculations using the FLUENT software package. We determined temperature, velocity, and concentration profiles, along with deposition rates inside the experimental vertical CVD reactor in the Howard University Material Science Research Center of Excellence. Experimental deposition rate data were found to be in good agreement with those predicted from the simulations, thus validating the proposed model. The control of the homogeneous gas phase reaction leading to the formation and subsequent decomposition of the adduct is critical to the formation of device-grade AlN films. Many basic processes occurring during MOCVD of AlN are still not completely understood, and none of the detailed surface reaction mechanisms are known.
Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition
Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin
2017-01-01
Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964
Strain transfer across grain boundaries in MoS2 monolayers grown by chemical vapor deposition
NASA Astrophysics Data System (ADS)
Niehues, Iris; Blob, Anna; Stiehm, Torsten; Schmidt, Robert; Jadriško, Valentino; Radatović, Borna; Čapeta, Davor; Kralj, Marko; Michaelis de Vasconcellos, Steffen; Bratschitsch, Rudolf
2018-07-01
Monolayers of transition metal dichalcogenides (TMDC) mechanically exfoliated from bulk crystals have exceptional mechanical and optical properties. They are extremely flexible, sustaining mechanical strain of about 10% without breaking. Their optical properties dramatically change with applied strain. However, the fabrication of a large number of mechanical devices is tedious due to the micromechanical exfoliation process. Alternatively, monolayers can be grown by chemical vapor deposition (CVD) on the wafer scale, with the drawback of cracks and grain boundaries in the material. Therefore, it is important to investigate the mechanical properties of CVD-grown material and its potential as a material for mass production of nanomechanical devices. Here, we measure the optical absorption of CVD-grown MoS2 monolayers with applied uniaxial tensile strain. We derive a strain-dependent shift for the A exciton of ‑42 meV/%. This value is identical to MoS2 monolayers, which are mechanically exfoliated from natural molybdenite crystals. Using angle-resolved second-harmonic generation spectroscopy, we find that the applied uniaxial tensile strain is fully transferred across grain boundaries of the CVD-grown monolayer. Our work demonstrates that large-area artificially grown MoS2 monolayers are promising for mass-produced nanomechanical devices.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.
Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less
NASA Astrophysics Data System (ADS)
Varanasi, Venu Gopal
The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004
Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN
NASA Astrophysics Data System (ADS)
Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro
1999-11-01
Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.
Abrupt GaN/p-GaN:Mg junctions grown via metalorganic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Agarwal, Anchal; Gupta, Chirag; Alhassan, Abdullah; Mates, Tom; Keller, Stacia; Mishra, Umesh
2017-11-01
An improvement in the suppression of surface riding of magnesium from p-GaN:Mg into subsequent layers was achieved via low temperature flow modulation epitaxy. In particular, the slope of the Mg concentration drop was reduced to 5 nm/dec for a growth temperature of 620 °C — the lowest value ever reported for metalorganic chemical vapor deposition. The electrical quality of the top layer was verified by creating a two-dimensional electron gas on top of the buried p-GaN layer, which exhibited a mobility of 1300 cm2 V-1 s-1. In addition, layers grown using flow modulation epitaxy were shown to block the propagation of Mg more efficiently than samples in which an ex situ wet etch was used.
Greatly improved 3C-SiC p-n junction diodes grown by chemical vapor deposition
NASA Technical Reports Server (NTRS)
Neudeck, Philip G.; Larkin, David J.; Starr, Jonathan E.; Powell, J. A.; Salupo, Carl S.; Matus, Lawrence G.
1993-01-01
This paper reports the fabrication and initial electrical characterization of greatly improved 3C-SiC (beta-SiC) p-n junction diodes. These diodes, which were grown on commercially available 6H-SiC substrates by chemical vapor deposition, demonstrate rectification to -200 V at room temperature, representing a fourfold improvement in reported 3C-SiC diode blocking voltage. The reverse leakage currents and saturation current densities measured on these diodes also show significant improvement compared to previously reported 3C-SiC p-n junction diodes. When placed under sufficient forward bias, the diodes emit significantly bright green-yellow light. These results should lead to substantial advancements in 3C-SiC transistor performance.
Microstructure study of ZnO thin films on Si substrate grown by MOCVD
NASA Astrophysics Data System (ADS)
Huang, Jingyun; Ye, Zhizhen; Lu, Huanming; Wang, Lei; Zhao, Binghui; Li, Xianhang
2007-08-01
The microstructure of zinc oxide thin films on silicon substrates grown by metalorganic chemical vapour deposition (MOCVD) was characterized. The cross-sectional bright-field transmission electron microscopy (TEM) image showed that small ZnO columnar grains were embedded into large columnar grains, and the selected-area electron diffraction pattern showed that the ZnO/Si thin films were nearly c-axis oriented. The deviation angle along the ZnO (0 0 0 1) direction with respect to the growth direction of Si (1 0 0) was no more than 5°. The [0 0 0 1]-tilt grain boundaries in ZnO/Si thin films were investigated symmetrically by plan-view high resolution TEM. The boundaries can be classified into three types: low-angle boundaries described as an irregular array of edge dislocations, boundaries of near 30° angle with (1\\,0\\,\\bar{1}\\,0) facet structures and large-angle boundaries with symmetric structure which could be explained by a low Σ coincident site lattice structure mode. The research was useful to us for finding optimized growth conditions to improve ZnO/Si thin film quality.
Weng, Xiaojun; Burke, Robert A; Redwing, Joan M
2009-02-25
The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.
NASA Astrophysics Data System (ADS)
Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.
2018-04-01
We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.
NASA Astrophysics Data System (ADS)
Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko
2016-09-01
In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.
NASA Astrophysics Data System (ADS)
Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Lee, Ching Ting; Chang, Edward Yi
2018-05-01
A GaSb epilayer is grown on a GaAs/Si(001) epitaxial substrate via metalorganic chemical vapor deposition. High-resolution transmission electron microscopy micrographs and high-resolution X-ray reciprocal space mapping indicate an entirely relaxed interfacial misfit (IMF) array GaSb epilayer. The valence-band offset and conduction-band offset of the Al2O3/GaSb/GaAs/Si structure are estimated to be 2.39 and 3.65 eV, respectively. The fabricated Al2O3/p-GaSb/GaAs/Si MOS capacitors exhibited good capacitance–voltage characteristics with a small accumulation frequency dispersion of approximately 1.05% per decade. These results imply that the GaSb epilayer grown on the GaAs/Si platform in the IMF mode can be used for future complementary metal–oxide semiconductor applications.
Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates
NASA Astrophysics Data System (ADS)
Fenwick, William Edward
GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen
DOE Office of Scientific and Technical Information (OSTI.GOV)
Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho
2014-08-04
We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less
Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD
NASA Astrophysics Data System (ADS)
O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.
2018-04-01
High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.
Wafer scale BN on sapphire substrates for improved graphene transport.
Vangala, Shivashankar; Siegel, Gene; Prusnick, Timothy; Snure, Michael
2018-06-11
Wafer scale (2") BN grown by metal organic chemical vapor deposition (MOCVD) on sapphire was examined as a weakly interacting dielectric substrate for graphene, demonstrating improved transport properties over conventional sapphire and SiO 2 /Si substrates. Chemical vapor deposition grown graphene was transferred to BN/sapphire substrates for evaluation of more than 30 samples using Raman and Hall effects measurements. A more than 2x increase in Hall mobility and 10x reduction in sheet carrier density was measured for graphene on BN/sapphire compared to sapphire substrates. Through control of the MOCVD process, BN films with roughness ranging from <0.1 nm to >1 nm were grown and used to study the effects of substrate roughness on graphene transport. Arrays of graphene field effect transistors were fabricated on 2" BN/sapphire substrates demonstrating scalability and device performance enhancement.
NASA Astrophysics Data System (ADS)
Bjelkevig, Cameron; Mi, Zhou; Xiao, Jie; Dowben, P. A.; Wang, Lu; Mei, Wai-Ning; Kelber, Jeffry A.
2010-08-01
A significant BN-to-graphene charge donation is evident in the electronic structure of a graphene/h-BN(0001) heterojunction grown by chemical vapor deposition and atomic layer deposition directly on Ru(0001), consistent with density functional theory. This filling of the lowest unoccupied state near the Brillouin zone center has been characterized by combined photoemission/k vector resolved inverse photoemission spectroscopies, and Raman and scanning tunneling microscopy/spectroscopy. The unoccupied σ*(Γ1 +) band dispersion yields an effective mass of 0.05 me for graphene in the graphene/h-BN(0001) heterostructure, in spite of strong perturbations to the graphene conduction band edge placement.
NASA Technical Reports Server (NTRS)
Lee, H. C.; Hariz, A.; Dapkus, P. D.; Kost, A.; Kawase, M.
1987-01-01
This paper reports the study of growth conditions for achieving the sharp exciton resonances and low-intensity saturation of these resonances in AlGaAs-GaAs multiple quantum well structures grown by metalorganic chemical vapor deposition. Low growth temperature is necessary to observe this sharp resonance feature at room temperature. The optimal growth conditions are a tradeoff between the high temperatures required for high quality AlGaAs and low temperatures required for high-purity GaAs. A strong optical saturation of the excitonic absorption has been observed. A saturation density as low as 250 W/sq cm is reported.
NASA Technical Reports Server (NTRS)
Pollak, Fred H.
1990-01-01
A contactless electromodulation technique of photoreflectance (PR) was developed for in-situ monitoring of metal-organic chemical vapor deposition (MOCVD) semiconductor growth for micro-gravity applications. PR can be employed in a real MOCVD reactor including rotating substrate (approximately 500 rev/min) in flowing gases and through a diffuser plate. Measurements on GaAs and Ga(0.82)Al(0.18)As were made up to 690 C. The direct band gaps of In(x)Ga(1-x)As (x = 0.07 and 0.16) were evaluated up to 600 C. In order to address the question of real time measurement, the spectra of the direct gap of GaAs at 650 C was obtained in 30 seconds and 15 seconds seems feasible.
Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu
Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less
Characterization of an Mg-implanted GaN p-i-n Diode
2016-03-31
unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J
Origin of the relatively low transport mobility of graphene grown through chemical vapor deposition
Song, H. S.; Li, S. L.; Miyazaki, H.; Sato, S.; Hayashi, K.; Yamada, A.; Yokoyama, N.; Tsukagoshi, K.
2012-01-01
The reasons for the relatively low transport mobility of graphene grown through chemical vapor deposition (CVD-G), which include point defect, surface contamination, and line defect, were analyzed in the current study. A series of control experiments demonstrated that the determinant factor for the low transport mobility of CVD-G did not arise from point defects or surface contaminations, but stemmed from line defects induced by grain boundaries. Electron microscopies characterized the presence of grain boundaries and indicated the polycrystalline nature of the CVD-G. Field-effect transistors based on CVD-G without the grain boundary obtained a transport mobility comparative to that of Kish graphene, which directly indicated the detrimental effect of grain boundaries. The effect of grain boundary on transport mobility was qualitatively explained using a potential barrier model. Furthermore, the conduction mechanism of CVD-G was also investigated using the temperature dependence measurements. This study can help understand the intrinsic transport features of CVD-G. PMID:22468224
NASA Technical Reports Server (NTRS)
Ouazzani, Jalil; Rosenberger, Franz
1990-01-01
A systematic numerical study of the MOCVD of GaAs from trimethylgallium and arsine in hydrogen or nitrogen carrier gas at atmospheric pressure is reported. Three-dimensional effects are explored for CVD reactors with large and small cross-sectional aspect ratios, and the effects on growth rate uniformity of tilting the susceptor are investigated for various input flow rates. It is found that, for light carrier gases, thermal diffusion must be included in the model. Buoyancy-driven three-dimensional flow effects can greatly influence the growth rate distribution through the reactor. The importance of the proper design of the lateral thermal boundary conditions for obtaining layers of uniform thickness is emphasized.
Patole, Shashikant P; Shin, Dong Wook; Fugetsu, Bunshi; Yoo, Ji-Beom
2013-11-01
Transparent conducting films (TCF) made up from carbon nanotubes (CNTs) have a tremendous potential in replacing the indium tin oxide films. Compare to single wall CNTs multiwall CNTs are more metallic and are more suitable candidate for the TCF. In this letter we report the use of selectively grown mm-scale, few-wall, vertically aligned CNTs for the fabrication of TCF. Water-assisted chemical vapor deposition was used to grow the mm-scale CNTs within short growth time. A special post-growth water-vapor treatment allowed us to remove the catalyst-free CNT forest very easily from the substrate and use it for the further process. A filtration-wet transfer process was used to form the TCF. The TCF shows sheet resistance of 228 omega/sq. at 72% transparency (at 550 nm). The ratio of optical conductivity to dc conductivity was observed in between 0.21 to 0.25 for below 80% transmission.
NASA Astrophysics Data System (ADS)
Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.
2008-06-01
This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.
NASA Astrophysics Data System (ADS)
Choi, Sungwoo
1992-01-01
This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results
Sheng, Yuewen; Wang, Xiaochen; Fujisawa, Kazunori; Ying, Siqi; Elias, Ana Laura; Lin, Zhong; Xu, Wenshuo; Zhou, Yingqiu; Korsunsky, Alexander M; Bhaskaran, Harish; Terrones, Mauricio; Warner, Jamie H
2017-05-03
We show that hexagonal domains of monolayer tungsten disulfide (WS 2 ) grown by chemical vapor deposition (CVD) with powder precursors can have discrete segmentation in their photoluminescence (PL) emission intensity, forming symmetric patterns with alternating bright and dark regions. Two-dimensional maps of the PL reveal significant reduction within the segments associated with the longest sides of the hexagonal domains. Analysis of the PL spectra shows differences in the exciton to trion ratio, indicating variations in the exciton recombination dynamics. Monolayers of WS 2 hexagonal islands transferred to new substrates still exhibit this PL segmentation, ruling out local strain in the regions as the dominant cause. High-power laser irradiation causes preferential degradation of the bright segments by sulfur removal, indicating the presence of a more defective region that is higher in oxidative reactivity. Atomic force microscopy (AFM) images of topography and amplitude modes show uniform thickness of the WS 2 domains and no signs of segmentation. However, AFM phase maps do show the same segmentation of the domain as the PL maps and indicate that it is caused by some kind of structural difference that we could not clearly identify. These results provide important insights into the spatially varying properties of these CVD-grown transition metal dichalcogenide materials, which may be important for their effective implementation in fast photo sensors and optical switches.
Role of Co-Vapors in Vapor Deposition Polymerization
Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok
2015-01-01
Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422
NASA Astrophysics Data System (ADS)
Yang, Yi-Bin; Liu, Ming-Gang; Chen, Wei-Jie; Han, Xiao-Biao; Chen, Jie; Lin, Xiu-Qi; Lin, Jia-Li; Luo, Hui; Liao, Qiang; Zang, Wen-Jie; Chen, Yin-Song; Qiu, Yun-Ling; Wu, Zhi-Sheng; Liu, Yang; Zhang, Bai-Jun
2015-09-01
In this work, the wafer bowing during growth can be in-situ measured by a reflectivity mapping method in the 3×2″ Thomas Swan close coupled showerhead metal organic chemical vapor deposition (MOCVD) system. The reflectivity mapping method is usually used to measure the film thickness and growth rate. The wafer bowing caused by stresses (tensile and compressive) during the epitaxial growth leads to a temperature variation at different positions on the wafer, and the lower growth temperature leads to a faster growth rate and vice versa. Therefore, the wafer bowing can be measured by analyzing the discrepancy of growth rates at different positions on the wafer. Furthermore, the wafer bowings were confirmed by the ex-situ wafer bowing measurement. High-resistivity and low-resistivity Si substrates were used for epitaxial growth. In comparison with low-resistivity Si substrate, GaN grown on high-resistivity substrate shows a larger wafer bowing caused by the highly compressive stress introduced by compositionally graded AlGaN buffer layer. This transition of wafer bowing can be clearly in-situ measured by using the reflectivity mapping method. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274039 and 51177175), the National Basic Research Program of China (Grant No. 2011CB301903), the Ph.D. Programs Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Science and Technology Collaboration Program of China (Grant No. 2012DFG52260), the International Science and Technology Collaboration Program of Guangdong Province, China (Grant No. 2013B051000041), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), the National High Technology Research and Development Program of China (Grant No. 2014AA032606), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics, China (Grant No. IOSKL2014KF17).
Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications
NASA Astrophysics Data System (ADS)
Faÿ, S.; Shah, A.
Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.
Wang, Rui; Lu, Fen; Fan, Wei Jun; Liu, Chong Yang; Loh, Ter-Hoe; Nguyen, Hoai Son; Narayanan, Balasubramanian
2007-01-01
Si/Si0.66Ge0.34coupled quantum well (CQW) structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD) system. The samples were characterized using high resolution x-ray diffraction (HRXRD), cross-sectional transmission electron microscopy (XTEM) and photoluminescence (PL) spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.
Vapor-deposited porous films for energy conversion
Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.
2005-07-05
Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya
2015-03-02
A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.
NASA Astrophysics Data System (ADS)
Okamoto, Shoji; Sankara Rama Krishnan, P. S.; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi
2017-10-01
In-plane orientation-controlled Pb(Zr x ,Ti1- x )O3 (PZT) films with a thickness of approximately 2 µm and a Zr/(Zr + Ti) ratio of 0.39-0.65 were grown on (100) Si substrates by pulsed metal-organic chemical vapor deposition (MOCVD). In-plane-oriented epitaxial PZT films and in-plane random fiber-textured PZT films with {100} out-of-plane orientation were grown on (100)c SrRuO3//(100)c LaNiO3//(100) CeO2//(100) YSZ//(100) Si and (100)c SrRuO3/(100)c LaNiO3/(111) Pt/TiO2/SiO2/(100) Si substrates, respectively. The effects of Zr/(Zr + Ti) ratio and in-plane orientation on the crystal structure, dielectric, ferroelectric, and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that the epitaxial PZT films had a higher volume fraction of (100) orientation than the fiber-textured PZT films in the tetragonal Zr/(Zr + Ti) ratio region. A large difference was not detected between the epitaxial films and the fiber-textured films for Zr/(Zr + Ti) ratio dependence of the dielectric constant, and remanent polarization. However, in the rhombohedral phase region [Zr/(Zr + Ti) = 0.65], coercive field was found to be 1.5-fold different between the epitaxial and fiber-textured PZT films. The maximum field-induced strains measured at 0-100 kV/cm by scanning atomic force microscopy were obtained at approximately Zr/(Zr + Ti) = 0.50 and were about 0.5 and 0.3% for the epitaxial and fiber-textured PZT films, respectively.
Egberts, Philip; Han, Gang Hee; Liu, Xin Z; Johnson, A T Charlie; Carpick, Robert W
2014-05-27
Single asperity friction experiments using atomic force microscopy (AFM) have been conducted on chemical vapor deposited (CVD) graphene grown on polycrystalline copper foils. Graphene substantially lowers the friction force experienced by the sliding asperity of a silicon AFM tip compared to the surrounding oxidized copper surface by a factor ranging from 1.5 to 7 over loads from the adhesive minimum up to 80 nN. No damage to the graphene was observed over this range, showing that friction force microscopy serves as a facile, high contrast probe for identifying the presence of graphene on Cu. Consistent with studies of epitaxially grown, thermally grown, and mechanically exfoliated graphene films, the friction force measured between the tip and these CVD-prepared films depends on the number of layers of graphene present on the surface and reduces friction in comparison to the substrate. Friction results on graphene indicate that the layer-dependent friction properties result from puckering of the graphene sheet around the sliding tip. Substantial hysteresis in the normal force dependence of friction is observed with repeated scanning without breaking contact with a graphene-covered region. Because of the hysteresis, friction measured on graphene changes with time and maximum applied force, unless the tip slides over the edge of the graphene island or contact with the surface is broken. These results also indicate that relatively weak binding forces exist between the copper foil and these CVD-grown graphene sheets.
Microstructure of thermally grown and deposited alumina films probed with positrons
NASA Astrophysics Data System (ADS)
Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki
1999-03-01
Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.
NASA Astrophysics Data System (ADS)
Ya-Chao, Zhang; Xiao-Wei, Zhou; Sheng-Rui, Xu; Da-Zheng, Chen; Zhi-Zhe, Wang; Xing, Wang; Jin-Feng, Zhang; Jin-Cheng, Zhang; Yue, Hao
2016-01-01
Pulsed metal organic chemical vapor deposition is introduced into the growth of InGaN channel heterostructure for improving material qualities and transport properties. High-resolution transmission electron microscopy imaging shows the phase separation free InGaN channel with smooth and abrupt interface. A very high two-dimensional electron gas density of approximately 1.85 × 1013 cm-2 is obtained due to the superior carrier confinement. In addition, the Hall mobility reaches 967 cm2/V·s, owing to the suppression of interface roughness scattering. Furthermore, temperature-dependent Hall measurement results show that InGaN channel heterostructure possesses a steady two-dimensional electron gas density over the tested temperature range, and has superior transport properties at elevated temperatures compared with the traditional GaN channel heterostructure. The gratifying results imply that InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition is a promising candidate for microwave power devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61306017, 61334002, 61474086, and 11435010) and the Young Scientists Fund of the National Natural Science Foundation of China (Grant No. 61306017).
Room temperature chemical vapor deposition of c-axis ZnO
NASA Astrophysics Data System (ADS)
Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.
2005-02-01
Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.
NASA Astrophysics Data System (ADS)
Ribeiro-Palau, Rebeca; Lafont, Fabien; Kazazis, Dimitris; Michon, Adrien; Couturaud, Olivier; Consejo, Christophe; Jouault, Benoit; Poirier, Wilfrid; Schopfer, Felicien
2015-03-01
Replace GaAs-based quantum Hall resistance standards (GaAs-QHRS) by a more convenient one, based on graphene (Gr-QHRS), is an ongoing goal in metrology. The new Gr-QHRS are expected to work in less demanding experimental conditions than GaAs ones. It will open the way to a broad dissemination of quantum standards, potentially towards industrial end-users, and it will support the implementation of a new International System of Units based on fixed fundamental constants. Here, we present accurate quantum Hall resistance measurements in large graphene Hall bars, grown by the hybrid scalable technique of propane/hydrogen chemical vapor deposition (CVD) on silicon carbide (SiC). This new Gr-QHRS shows a relative accuracy of 1 ×10-9 of the Hall resistance under the lowest magnetic field ever achieved in graphene. These experimental conditions surpass those of the most wildely used GaAs-QHRS. These results confirm the promises of graphene for resistance metrology applications and emphasizes the quality of the graphene produced by the CVD on SiC for applications as demanding as the resistance metrology.
High pressure studies using two-stage diamond micro-anvils grown by chemical vapor deposition
Vohra, Yogesh K.; Samudrala, Gopi K.; Moore, Samuel L.; ...
2015-06-10
Ultra-high static pressures have been achieved in the laboratory using a two-stage micro-ball nanodiamond anvils as well as a two-stage micro-paired diamond anvils machined using a focused ion-beam system. The two-stage diamond anvils’ designs implemented thus far suffer from a limitation of one diamond anvil sliding past another anvil at extreme conditions. We describe a new method of fabricating two-stage diamond micro-anvils using a tungsten mask on a standard diamond anvil followed by microwave plasma chemical vapor deposition (CVD) homoepitaxial diamond growth. A prototype two stage diamond anvil with 300 μm culet and with a CVD diamond second stage ofmore » 50 μm in diameter was fabricated. We have carried out preliminary high pressure X-ray diffraction studies on a sample of rare-earth metal lutetium sample with a copper pressure standard to 86 GPa. Furthermore, the micro-anvil grown by CVD remained intact during indentation of gasket as well as on decompression from the highest pressure of 86 GPa.« less
NASA Astrophysics Data System (ADS)
Suhandi, A.; Tayubi, Y. R.; Arifin, P.
2016-04-01
Metal Organic Chemical Vapor Deposition (MOCVD) is a method for growing a solid material (in the form of thin films, especially for semiconductor materials) using vapor phase metal organic sources. Studies on the growth mechanism of GaAs1-xSbx ternary alloy thin solid film in the range of miscibility-gap using metal organic sources trimethylgallium (TMGa), trisdimethylaminoarsenic (TDMAAs), and trisdimethylaminoantimony (TDMASb) on MOCVD reactor has been done to understand the physical and chemical processes involved. Knowledge of the processes that occur during alloy formation is very important to determine the couple of growth condition and growth parameters are appropriate for yield high quality GaAs1-xSbx alloy. The mechanism has been studied include decomposition of metal organic sources and chemical reactions that may occur, the incorporation of the alloy elements forming and the contaminants element that are formed in the gown thin film. In this paper presented the results of experimental data on the growth of GaAs1-xSbx alloy using Vertical-MOCVD reactor to demonstrate its potential in growing GaAs1-xSbx alloy in the range of its miscibility gap.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori
2015-08-15
This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less
Weng, Jiaxin; Zhao, Shichao; Li, Zhiting; Ricardo, Karen B; Zhou, Feng; Kim, Hyojeong; Liu, Haitao
2017-10-19
Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD)-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN) was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.
Investigation of kinetics of MOCVD systems
NASA Astrophysics Data System (ADS)
Anderson, Timothy J.
1991-12-01
Several issues related to epitaxy of III-V semiconductors by hydride VPE and MOCVD were investigated. A complex chemical equilibrium analysis was performed in order to investigate the controllability of hydride VPE. The critical control parameters for the deposition of InGaAsP Lattice matched to InP are deposition temperature, system pressure, Group III Molar Ratio, Group V Molar Ratio. An experimental characterization of the Ga and In source reactors was accomplished. A MOCVD System was constructed for the deposition of AlGaAs. An investigation was performed to determine the controlling parameters of laser-enhanced deposition of GaAs and AlGaAs using an argon ion laser. Enhancement of deposition was observed when the system was operated in the reaction limited regime. The use of a Ga/In alloy source was studied for the deposition of GaInAs by the Hydride method. The system was used to produce state-of-the-art P-I-N photo-detectors.
Process in manufacturing high efficiency AlGaAs/GaAs solar cells by MO-CVD
NASA Technical Reports Server (NTRS)
Yeh, Y. C. M.; Chang, K. I.; Tandon, J.
1984-01-01
Manufacturing technology for mass producing high efficiency GaAs solar cells is discussed. A progress using a high throughput MO-CVD reactor to produce high efficiency GaAs solar cells is discussed. Thickness and doping concentration uniformity of metal oxide chemical vapor deposition (MO-CVD) GaAs and AlGaAs layer growth are discussed. In addition, new tooling designs are given which increase the throughput of solar cell processing. To date, 2cm x 2cm AlGaAs/GaAs solar cells with efficiency up to 16.5% were produced. In order to meet throughput goals for mass producing GaAs solar cells, a large MO-CVD system (Cambridge Instrument Model MR-200) with a susceptor which was initially capable of processing 20 wafers (up to 75 mm diameter) during a single growth run was installed. In the MR-200, the sequencing of the gases and the heating power are controlled by a microprocessor-based programmable control console. Hence, operator errors can be reduced, leading to a more reproducible production sequence.
NASA Astrophysics Data System (ADS)
Kaplan, D.; Mills, K.; Lee, J.; Torrel, S.; Swaminathan, V.
2016-06-01
Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS2 grown by chemical vapor deposition (CVD) on SiO2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150-250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS2 monolayers can be leveraged for various device applications.
NASA Astrophysics Data System (ADS)
Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki
2006-04-01
Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.
Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki
2017-06-01
Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.
Vacuum MOCVD fabrication of high efficience cells
NASA Technical Reports Server (NTRS)
Partain, L. D.; Fraas, L. M.; Mcleod, P. S.; Cape, J. A.
1985-01-01
Vacuum metal-organic-chemical-vapor-deposition (MOCVD) is a new fabrication process with improved safety and easier scalability due to its metal rather than glass construction and its uniform multiport gas injection system. It uses source materials more efficiently than other methods because the vacuum molecular flow conditions allow the high sticking coefficient reactants to reach the substrates as undeflected molecular beams and the hot chamber walls cause the low sticking coefficient reactants to bounce off the walls and interact with the substrates many times. This high source utilization reduces the materials costs power device and substantially decreases the amounts of toxic materials that must be handled as process effluents. The molecular beams allow precise growth control. With improved source purifications, vacuum MOCVD has provided p GaAs layers with 10-micron minority carrier diffusion lengths and GaAs and GaAsSb solar cells with 20% AMO efficiencies at 59X and 99X sunlight concentration ratios. Mechanical stacking has been identified as the quickest, most direct and logical path to stacked multiple-junction solar cells that perform better than the best single-junction devices. The mechanical stack is configured for immediate use in solar arrays and allows interconnections that improve the system end-of-life performance in space.
Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin
2017-12-21
In this research, the Zn(C₅H₇O₂)₂·xH₂O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N₂/O₂, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.
Hu, Po-Sheng; Wu, Cheng-En; Chen, Guan-Lin
2017-01-01
In this research, the Zn(C5H7O2)2·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM), and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002) and (101) as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL. PMID:29267196
Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.
Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro
2013-04-07
We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).
Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD
NASA Astrophysics Data System (ADS)
Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro
2013-03-01
We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).
NASA Astrophysics Data System (ADS)
Zhao, Jin Shi; Lee, Hyun Ju; Sim, Joon Seop; Lee, Keun; Hwang, Cheol Seong
2006-04-01
Ferroelectric reliability of Pb(Zr0.15Ti0.85)O3 films grown by metal-organic chemical vapor deposition at 570°C on an Ir electrode in the self-regulation process window [constant Pb concentration irrespective of the precursor input ratio (Pb /(Zr+Ti), PIR)] was studied. Although the Pb composition and crystallinity of the films grown under different PIR were almost identical, the film grown under a PIR which was near the center of the process window showed the best ferroelectric performance. X-ray photoelectron spectroscopy showed that the films grown at lower and higher PIR have residual ZrO2 and metallic Pb, respectively, which resulted in reduced remanent polarization and reliability.
Sangiovanni, D G; Gueorguiev, G K; Kakanakova-Georgieva, A
2018-06-19
Metal organic chemical vapor deposition (MOCVD) of group III nitrides on graphene heterostructures offers new opportunities for the development of flexible optoelectronic devices and for the stabilization of conceptually-new two-dimensional materials. However, the MOCVD of group III nitrides is regulated by an intricate interplay of gas-phase and surface reactions that are beyond the resolution of experimental techniques. We use density-functional ab initio molecular dynamics (AIMD) with van der Waals corrections to identify atomistic pathways and associated electronic mechanisms driving precursor/surface reactions during metal organic vapor phase epitaxy at elevated temperatures of aluminum nitride on graphene, considered here as model case study. The results presented provide plausible interpretations of atomistic and electronic processes responsible for delivery of Al, C adatoms, and C-Al, CHx, AlNH2 admolecules on pristine graphene via precursor/surface reactions. In addition, the simulations reveal C adatom permeation across defect-free graphene, as well as exchange of C monomers with graphene carbon atoms, for which we obtain rates of ∼0.3 THz at typical experimental temperatures (1500 K), and extract activation energies Eexca = 0.28 ± 0.13 eV and attempt frequencies Aexc = 2.1 (×1.7±1) THz via Arrhenius linear regression. The results demonstrate that AIMD simulations enable understanding complex precursor/surface reaction mechanisms, and thus propose AIMD to become an indispensable routine prediction-tool toward more effective exploitation of chemical precursors and better control of MOCVD processes during synthesis of functional materials.
Optimization of GaN thin films via MOCVD
NASA Technical Reports Server (NTRS)
Dickens, Corey; Wilson, Sylvia L.
1995-01-01
A unique characteristic of every semiconductor is the amount of energy required to break an electron bond in the lowest band of allowed states, the valence band. The energy necessary to set an electron free and allow it to conduct in the material is termed the energy gap (Eg). Semiconductors with wide bandgap energies have been shown to possess properties for high power, high temperature, radiation resistance damage, and short wavelength optoelectronic applications. Gallium nitride, which has a wide gap of 3.39 eV, is a material that has demonstrated these characteristics. Various growth conditions are being investigated for quality gallium nitride heteroepitaxy growth via the technique of low pressure metal organic chemical vapor deposition (MOCVD) that can be used for device development.
Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE
DOE Office of Scientific and Technical Information (OSTI.GOV)
Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.
We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less
Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan
2018-06-22
Centimeter-scale continuous monolayer WS 2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS 2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS 2 for specific applications in (opto)electronics.
NASA Astrophysics Data System (ADS)
Yan, Long; Zhang, Yuantao; Han, Xu; Deng, Gaoqiang; Li, Pengchong; Yu, Ye; Chen, Liang; Li, Xiaohang; Song, Junfeng
2018-04-01
Polarization-induced doping has been shown to be effective for wide-bandgap III-nitrides. In this work, we demonstrated a significantly enhanced hole concentration via linearly grading an N-polar AlxGa1-xN (x = 0-0.3) layer grown by metal-organic chemical vapor deposition. The hole concentration increased by ˜17 times compared to that of N-polar p-GaN at 300 K. The fitting results of temperature-dependent hole concentration indicated that the holes in the graded p-AlGaN layer comprised both polarization-induced and thermally activated ones. By optimizing the growth conditions, the hole concentration was further increased to 9.0 × 1017 cm-3 in the graded AlGaN layer. The N-polar blue-violet light-emitting device with the graded p-AlGaN shows stronger electroluminescence than the one with the conventional p-GaN. The study indicates the potential of the polarization doping technique in high-performance N-polar light-emitting devices.
NASA Astrophysics Data System (ADS)
Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan
2018-06-01
Centimeter-scale continuous monolayer WS2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS2 for specific applications in (opto)electronics.
Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition
NASA Astrophysics Data System (ADS)
Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing
2017-10-01
High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.
NASA Astrophysics Data System (ADS)
Tomatsu, Masakazu; Hiramatsu, Mineo; Foord, John S.; Kondo, Hiroki; Ishikawa, Kenji; Sekine, Makoto; Takeda, Keigo; Hori, Masaru
2017-06-01
Fabrication of an electrochemical sensor for hydrogen peroxide (H2O2) detection was demonstrated. H2O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.
MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires
NASA Astrophysics Data System (ADS)
Burke, Robert Alan
Group-III nitride and group-III antimonide thin films have been used for years in optoelectronic, high-speed applications, and high power/high temperature applications such as light emitting diodes (LEDs), microwave power devices, and thermovoltaics. In recent years, nanowires have gained interest due to the ability to take advantage of their geometry for increased light absorption and the synthesis of radial heterostructures. Several growth techniques have been explored for the growth of GaN and GaSb nanowires. Metal-organic chemical vapor deposition (MOCVD) is of particular interest due to its use in the commercial growth and fabrication of GaN-based and GaSb-based devices. The first part of this thesis focused on addressing several key issues related to the growth of GaN nanowires by MOCVD. Preliminary studies investigated the effect of growth conditions on GaN nanowire formation in a hot wall MOCVD reactor. A computational fluid dynamics-based model was developed to predict the gas phase velocity, temperature and concentration profiles in the reactor. The results demonstrate a strong dependence of GaN nanowire growth on substrate position within the reactor which is due to the rapid reaction and depletion of precursors near the gas inlet of the reactor. Ni-catalyzed GaN nanowire growth was observed to occur over the temperature range of 800-900°C, which is significantly lower than typical GaN thin film temperatures. The nanowires, however, exhibited a tapered diameter due to thin film deposition which occurred simultaneously with nanowire growth. Based on the low growth temperatures, TEM characterization was carried out to investigate the nature of the catalyst. Through these studies, the catalyst was found to consist of Ni3Ga, indicating the presence of a vapor-solid-solid growth mechanism. In an attempt to improve the nanowire growth selectivity, GeCl4 was added during growth resulting in a drastic increase in nanowire density and a reduction in the tapering
NASA Astrophysics Data System (ADS)
Hansen, Robin Paul
Several roadblocks prevent the large-scale commercialization of hydrogen fuel cells, including the stability of the Pt catalysts and their substrates, as well as the high cost of Pt. This is particularly true for the cathode, which requires a higher Pt loading because of the slow kinetics of the oxygen reduction reaction (ORR). The problem with the stability of the substrate can be solved by replacing the traditional carbon support with a conductive metal oxide such as reduced TiO2, which will not easily corrode and should result in longer lasting fuel cells. In this study, Pt was deposited either by atomic layer deposition (ALD) or physical vapor deposition (PVD). The typical size of the Pt islands that were grown using these deposition techniques was 3-8 nm. One factor that can inhibit the catalytic activity of a metal catalyst on a metal oxide is the strong metal support interaction (SMSI). This is where a metal on a reducible metal oxide can be encapsulated by a layer of the metal oxide support material at elevated temperatures. The processing of materials through atomic layer deposition can exceed this temperature. The TiO2 substrates used in this study were either grown by ALD, which results in a polycrystalline anatase film, or were single-crystal rutile TiO2(110) samples prepared in ultra-high vacuum (UHV). The Pt/TiO2 samples were tested electrochemically using cyclic voltammetry (CV) to determine the level of catalytic activity. To determine the effect of the SMSI interaction on the catalytic activity of the PVD grown samples, CV was performed on samples that were annealed in high vacuum after Pt deposition. Additional characterization was performed with scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS), and four point probe analysis. Platinum that was deposited by PVD was used as a standard since it is not affected by the SMSI at the low temperature of the substrate during deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yachao; Zhou, Xiaowei; Xu, Shengrui
Pulsed metal organic chemical vapor deposition (P-MOCVD) is introduced into the growth of high quality InGaN channel heterostructures. The effects of InGaN channel growth temperature on the structural and transport properties of the heterostructures are investigated in detail. High resolution x-ray diffraction (HRXRD) and Photoluminescence (PL) spectra indicate that the quality of InGaN channel strongly depends on the growth temperature. Meanwhile, the atomic force microscopy (AFM) results show that the interface morphology between the InGaN channel and the barrier layer also relies on the growth temperature. Since the variation of material properties of InGaN channel has a significant influence onmore » the electrical properties of InAlN/InGaN heterostructures, the optimal transport properties can be achieved by adjusting the growth temperature. A very high two dimension electron gas (2DEG) density of 1.92 × 10{sup 13} cm{sup −2} and Hall electron mobility of 1025 cm{sup 2}/(V⋅s) at room temperature are obtained at the optimal growth temperature around 740 °C. The excellent transport properties in our work indicate that the heterostructure with InGaN channel is a promising candidate for the microwave power devices, and the results in this paper will be instructive for further study of the InGaN channel heterostructures.« less
Metalorganic chemical vapor deposition of AlGaAs and InGaP heterojunction bipolar transistors
NASA Astrophysics Data System (ADS)
Pan, N.; Welser, R. E.; Lutz, C. R.; DeLuca, P. M.; Han, B.; Hong, K.
2001-05-01
Heterojunction bipolar transistors (HBT) are now beginning to be widely incorporated as power amplifiers, laser drivers, multiplexers, clock data recovery circuits, as well as transimpedance and broadband amplifiers in high performance millimeter wave circuits (MMICs). The increasing acceptance of this device is principally due to advancements in metalorganic chemical vapor deposition (MOCVD), device processing, and circuit design technologies. Many of the DC electrical characteristics of large area devices can be directly correlated to the DC performance of small area RF devices. A precise understanding of the growth parameters and their relationship to device characteristics is critical for ensuring the high degree of reproducibility required for low cost high-yield volume manufacturing. Significant improvements in the understanding of the MOCVD growth process have been realized through the implementation of statistical process control on the key HBT device parameters. This tool has been successfully used to maintain the high quality of the device characteristics in high-volume production of 4″ GaAs-based HBTs. There is a growing demand to migrate towards 6″ diameter wafer size due to the potential cost reductions and increased volume production that can be realized. Preliminary results, indicating good heterostructure layer characteristics, demonstrate the feasibility of 6″ InGaP-based HBT devices.
Vapor deposition routes to conformal polymer thin films
Moni, Priya; Al-Obeidi, Ahmed
2017-01-01
Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816
Characteristics of indium-gallium-nitride multiple-quantum-well blue laser diodes grown by MOCVD
NASA Astrophysics Data System (ADS)
Mack, M. P.; Abare, A. C.; Hansen, M.; Kozodoy, P.; Keller, S.; Mishra, U.; Coldren, L. A.; DenBaars, S. P.
1998-06-01
Room temperature (RT) pulsed operation of blue (420 nm) nitride-based multi-quantum well (MQW) laser diodes grown on c-plane sapphire substrates has been demonstrated. Atmospheric pressure MOCVD was used to grow the active region of the device which consisted of a 10 pair In 0.21Ga 0.79N (2.5 nm)/In 0.07Ga 0.93N (5 nm) InGaN MQW. Threshold current densities as low as 12.6 kA/cm 2 were observed for 10×1200 μm lasers with uncoated reactive ion etched (RIE) facets. The emission is strongly TE polarized and has a sharp transition in the far-field pattern above threshold. Laser diodes were tested under pulsed conditions lasted up to 6 h at room temperature.
Adhikari, Prashanta Dhoj; Jeon, Seunghan; Cha, Myoung-Jun; Jung, Dae Sung; Kim, Yooseok; Park, Chong-Yun
2014-02-01
We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT-G). Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT-G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT-G structure and p-n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT-G hybrids with the present technique could provide an efficient, novel route to device fabrication.
Vapor Wall Deposition in Chambers: Theoretical Considerations
NASA Astrophysics Data System (ADS)
McVay, R.; Cappa, C. D.; Seinfeld, J.
2014-12-01
In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.
Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics
DOE Office of Scientific and Technical Information (OSTI.GOV)
Boettcher, Shannon; Greenaway, Ann; Boucher, Jason
2016-02-10
Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kaplan, D.; Swaminathan, V.; Mills, K.
2016-06-07
Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS{sub 2} grown by chemical vapor deposition (CVD) on SiO{sub 2}/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappearsmore » for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS{sub 2} monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS{sub 2} monolayers can be leveraged for various device applications.« less
High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.
Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan
2018-06-08
Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Mohan, Arun Ram
Solid deposit formation from jet fuel compromises the fuel handling system of an aviation turbine engine and increases the maintenance downtime of an aircraft. The deposit formation process depends upon the composition of the fuel, the nature of metal surfaces that come in contact with the heated fuel and the operating conditions of the engine. The objective of the study is to investigate the effect of substrate surfaces on the amount and nature of solid deposits in the intermediate regime where both autoxidation and pyrolysis play an important role in deposit formation. A particular focus has been directed to examining the effectiveness of barrier coatings produced by metal organic chemical vapor deposition (MOCVD) on metal surfaces for inhibiting the solid deposit formation from jet fuel degradation. In the first part of the experimental study, a commercial Jet-A sample was stressed in a flow reactor on seven different metal surfaces: AISI316, AISI 321, AISI 304, AISI 347, Inconel 600, Inconel 718, Inconel 750X and FecrAlloy. Examination of deposits by thermal and microscopic analysis shows that the solid deposit formation is influenced by the interaction of organosulfur compounds and autoxidation products with the metal surfaces. The nature of metal sulfides was predicted by Fe-Ni-S ternary phase diagram. Thermal stressing on uncoated surfaces produced coke deposits with varying degree of structural order. They are hydrogen-rich and structurally disordered deposits, spherulitic deposits, small carbon particles with relatively ordered structures and large platelets of ordered carbon structures formed by metal catalysis. In the second part of the study, environmental barrier coatings were deposited on tube surfaces to inhibit solid deposit formation from the heated fuel. A new CVD system was configured by the proper choice of components for mass flow, pressure and temperature control in the reactor. A bubbler was designed to deliver the precursor into the reactor
Perspective: Highly stable vapor-deposited glasses
NASA Astrophysics Data System (ADS)
Ediger, M. D.
2017-12-01
This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.
Perspective: Highly stable vapor-deposited glasses
Ediger, M. D.
2017-12-07
This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less
Perspective: Highly stable vapor-deposited glasses
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ediger, M. D.
This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less
NASA Astrophysics Data System (ADS)
Christensen, Steven Thomas
This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle
NASA Astrophysics Data System (ADS)
Ma, Jingrui; Tang, Kun; Mao, Haoyuan; Ye, Jiandong; Zhu, Shunming; Xu, Zhonghua; Yao, Zhengrong; Gu, Shulin; Zheng, Youdou
2018-03-01
Highly mismatched ZnO1-xSx:N alloy films with various x were deposited on c-plane sapphire substrates by a near-equilibrium method, metal-organic chemical vapor deposition. The sulfur concentration in the films could be tuned by changing the flow rate of H2S during the growth process. The films that could maintain single phase have an upper limit for x ∼ 0.15, which is smaller than the x values obtained from other non-equilibrium-grown samples (x ∼ 0.23). When x > 0.15, phases other than the wurtzite ZnO (W-ZnO) one appeared. Those phases were ascribed to the sulfur-diluted W-ZnO like phase, low x W-ZnO like phase, and high x W-ZnS like phase. The S contents in different phase has been determined by using Vegard's law and the X-ray photoelectron spectroscopy. Meanwhile, the compositional dependence of the bandgap energy in the ZnO1-xSx alloyed material has been investigated and studied comparing with other reported results. The dispersed bowing parameter b and the mechanism of the phase separation in samples grown by both the near-equilibrium method and the non-equilibrium one have also been discussed based on the difference of the atomic radius and electronegativity of the oxygen and sulfur atoms. Furthermore, the Raman and photoluminescence spectra have shown that the sulfur incorporation may suppress zinc interstitials related defects, while the oxygen vacancies related defects may be easily formed at the same time. These results indicate that ZnO1-xSx films could be beneficial to the realization of p-type doping in ZnO, although no obvious p-type characteristic has been attained in the work yet.
Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.
Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A
2009-04-15
Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.
Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae
2015-07-01
Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.
NASA Astrophysics Data System (ADS)
Wu, D. H.; Zhang, Y. Y.; Razeghi, M.
2018-03-01
We demonstrate room temperature operation of In0.5Ga0.5Sb/InAs type-II quantum well photodetectors on an InAs substrate grown by metal-organic chemical vapor deposition. At 300 K, the detector exhibits a dark current density of 0.12 A/cm2 and a peak responsivity of 0.72 A/W corresponding to a quantum efficiency of 23.3%, with the calculated specific detectivity of 2.4 × 109 cm Hz1/2/W at 3.81 μm.
Preparation Of Sources For Plasma Vapor Deposition
NASA Technical Reports Server (NTRS)
Waters, William J.; Sliney, Hal; Kowalski, D.
1993-01-01
Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.
NASA Astrophysics Data System (ADS)
Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du
2016-05-01
We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).
Advanced deposition model for thermal activated chemical vapor deposition
NASA Astrophysics Data System (ADS)
Cai, Dang
reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.
NASA Astrophysics Data System (ADS)
Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.
2003-08-01
Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.
Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD
NASA Astrophysics Data System (ADS)
Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun
2012-01-01
The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.
What controls deposition rate in electron-beam chemical vapor deposition?
White, William B; Rykaczewski, Konrad; Fedorov, Andrei G
2006-08-25
The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.
NASA Astrophysics Data System (ADS)
Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua
2014-10-01
Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate
NASA Astrophysics Data System (ADS)
Jang, Jisu; Son, Myungwoo; Chung, Sunki; Kim, Kihyeun; Cho, Chunhum; Lee, Byoung Hun; Ham, Moon-Ho
2015-12-01
There is significant interest in synthesizing large-area graphene films at low temperatures by chemical vapor deposition (CVD) for nanoelectronic and flexible device applications. However, to date, low-temperature CVD methods have suffered from lower surface coverage because micro-sized graphene flakes are produced. Here, we demonstrate a modified CVD technique for the production of large-area, continuous monolayer graphene films from benzene on Cu at 100-300 °C at ambient pressure. In this method, we extended the graphene growth step in the absence of residual oxidizing species by introducing pumping and purging cycles prior to growth. This led to continuous monolayer graphene films with full surface coverage and excellent quality, which were comparable to those achieved with high-temperature CVD; for example, the surface coverage, transmittance, and carrier mobilities of the graphene grown at 300 °C were 100%, 97.6%, and 1,900-2,500 cm2 V-1 s-1, respectively. In addition, the growth temperature was substantially reduced to as low as 100 °C, which is the lowest temperature reported to date for pristine graphene produced by CVD. Our modified CVD method is expected to allow the direct growth of graphene in device manufacturing processes for practical applications while keeping underlying devices intact.
Chen, Tingfang; Wang, Aiji; Kong, Lingrui; Li, Yongliang; Wang, Yinshu
2016-04-01
Pure and Cl- incorporated ZnO nanofilms were grown by the ultrasonic spray-assisted chemical vapor deposition (CVD) method. The properties of the nanofilms were investigated. The effects of growth temperature and Cl- concentration on the crystal structure, morphology, and optical properties of the nanofilms were studied. Temperature plays an important role in the growth mode and morphology of the pure nanofilms. Preferential growth along the c-axis occurs only at modulating temperature. Lower temperature suppresses the preferential growth, and higher temperature suppresses the growth of the nanofilms. The morphologies of the nanofilms change from lamellar and spherical structures into hexagonal platelets, then into separated nanoparticles with an increase in the temperature. Incorporating Cl- results in the lattice contracting gradually along with c-axis. Grains composing the nanofilms refine, and the optical gap broadens with increasing of Cl- concentration in growth precursor. Incorporating Cl- could reduce oxygen vacancies and passivate the non-irradiated centers, thus enhancing the UV emission and suppressing the visible emission of ZnO nanofilms.
2015-01-27
The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi
2015-07-15
Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less
MOCVD of aluminium oxide films using aluminium β-diketonates as precursors
NASA Astrophysics Data System (ADS)
Devi, A.; Shivashankar, S. A.; Samuelson, A. G.
2002-06-01
Deposition of Al203 coatings by CVD is of importance because they are often used as abrading material in cemented carbide cutting tools. The conventionally used CVD process for Al203 involves the corrosive reactant AICl3. In this paper, we report on the thermal characterisation of the metalorganic precursors namely aluminium tris-tetramethyl-heptanedionate [ Al(thd)3] and aluminium tris-acetylacetonate [ Al(acac)3] and their application to the CVD of Al203 films. Crystalline A1203 films were deposited by MOCVD at low temperatures by the pyrolysis of Al(thd)3 and AI(acac)3. The films were deposited on a TiN-coated tungsten carbide (TiN/WC) and Si(100) substrates in the temperature range 500-1100 °C. The as-deposited films were characterised by x-ray diffraction, optical microscopy, scanning and transmission electron microscopy, Auger electron spectroscopy. The observed crystallinity of films grown at low temperatures, their microstructure, and composition may be interpreted in terms of a growth process that involves the melting of the metalorganic precursor on the hot growth surface.
Semiconductor Laser Diode Arrays by MOCVD (Metalorganic Chemical Vapor Deposition)
1987-09-01
laser diode arrays are intended to be used as an optical pump for solid state yttrium aluminum garnet (YAG) lasers. In particular, linear uniform...corresponds to about . , 8080A. Such thin layer structures, while difficult to grow by such conventional growth methods as liquid phase epitaxy ( LPE ...lower yet than for DH lasers grown by LPE . , - Conventional self-aligned stripe laser This structure is formed by growing (on an n-type GaAs substrate
Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate
Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan
2014-01-01
GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601
NASA Astrophysics Data System (ADS)
Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.
2017-04-01
In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.
Rigosi, Albert F; Hill, Heather M; Glavin, Nicholas R; Pookpanratana, Sujitra J; Yang, Yanfei; Boosalis, Alexander G; Hu, Jiuning; Rice, Anthony; Allerman, Andrew A; Nguyen, Nhan V; Hacker, Christina A; Elmquist, Randolph E; Hight Walker, Angela R; Newell, David B
2018-01-01
Monolayer epitaxial graphene (EG), grown on the Si face of SiC, is an advantageous material for a variety of electronic and optical applications. EG forms as a single crystal over millimeter-scale areas and consequently, the large scale single crystal can be utilized as a template for growth of other materials. In this work, we present the use of EG as a template to form millimeter-scale amorphous and hexagonal boron nitride ( a -BN and h -BN) films. The a -BN is formed with pulsed laser deposition and the h -BN is grown with triethylboron (TEB) and NH 3 precursors, making it the first metal organic chemical vapor deposition (MOCVD) process of this growth type performed on epitaxial graphene. A variety of optical and non-optical characterization methods are used to determine the optical absorption and dielectric functions of the EG, a -BN, and h -BN within the energy range of 1 eV to 8.5 eV. Furthermore, we report the first ellipsometric observation of high-energy resonant excitons in EG from the 4H polytype of SiC and an analysis on the interactions within the EG and h -BN heterostructure.
Electron-spin-resonance studies of vapor-grown carbon fibers
NASA Technical Reports Server (NTRS)
Marshik, B.; Meyer, D.; Apple, T.
1987-01-01
The effects of annealing temperature and fiber diameter on the degree of disorder of vapor-grown carbon fibers were investigated by analyzing the electron-spin-resonance (ESR) line shapes of fibers annealed at six various temperatures up to 3375 K. The diameter of fibers, grown from methane gas, ranged from 10 to 140 microns with most fibers between 20 and 50 microns. It was found that the degree of disorder of vapor-grown fibers decreases upon annealing to higher temperature; standard angular deviation between the fiber axis and the crystallite basal planes could vary from 35 deg (for annealing temperature of 2275 K) to 12 deg (for 3375 K). With respect to fiber diameter, order parameters were found to be higher for fibers of smaller diameters.
Chemical vapor deposition growth
NASA Technical Reports Server (NTRS)
Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.
1976-01-01
A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.
The metalorganic chemical vapor deposition of III-V nitrides for optoelectronic device applications
NASA Astrophysics Data System (ADS)
Grudowski, Paul Alexander
Nitride-based light-emitting diodes (LEDs) and laser diodes are important for large-area LED displays, flat-panel displays, traffic signals, and optical data storage, due to their characteristic ultraviolet and visible light emission. However, much of the research and development addressing material related problems is recent. The room-temperature continuous wave (CW) operation of nitride-based laser diodes remains a major milestone because the material quality requirements for these devices are extremely high. This study investigates nitride material development by the metalorganic chemical vapor deposition (MOCVD) and characterization of GaN, AlGaN, and InGaN, and by qualifying these materials with fabricated devices. The ultimate goal was to develop a working laser diode. The nitride epitaxial films were characterized by 300K Hall effect, x-ray diffraction (XRD), photoluminescence (PL), cathodoluminescence (CL), secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). GaN grown heteroepitaxially on (0001) sapphire substrates was first optimized. A low-temperature GaN nucleation layer was developed that gave subsequent high-temperature GaN layers with low background carrier concentrations (n < 1×10sp{17}\\ cmsp{-3}). Intentional p-type hole concentrations up to 2× 10sp{18} cmsp{-3} and n-type electron concentrations up to 1× 10sp{19} cmsp{-3} were achieved at 300K with magnesium and silicon, respectively. The ternary alloy Insb{x}Gasb{1-x}N was grown with indium compositions up to x = 0.25. These films exhibited strong and narrow 300K PL bandedge peaks. Multiple-quantum-well structures with Insb{0.13}Gasb{0.87}N wells and Insb{0.03}Gasb{0.97}N barriers were grown and gave enhanced PL intensity compared to single InGaN layers. Modulation-doped MQW's produced enhanced PL intensity compared to uniformly-doped MQW's. 300K photopumping experiments produced stimulated emission from a five-period MQW
Vapor deposition of hardened niobium
Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.
1983-04-19
A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.
NASA Astrophysics Data System (ADS)
Zeng, J. M.; Wang, H.; Shang, S. X.; Wang, Z.; Wang, M.
1996-12-01
Magnesium oxide (MgO) thin films have been prepared on Si(100), {SiO2(100) }/{Si} and {Pt(111) }/{Si} substrates by atmospheric-pressure metalorganic chemical vapor deposition (AP-MOCVD) for the first time. The relationship between the temperature of substrates ( Ts) and crystallographic orientations was also investigated. Magnesium acetylacetonate [Mg(CH 2COCH 2COCH 3) 2] was used as the metalorganic source. The relatively low temperature of substrates is about 480°C and the MgO thin films obtained were uniform, dense and well-ordered single crystal. X-ray diffraction experiments provided evidence that the MgO thin films on Si(100) ( Ts ≈ 400-680°C), {SiO2}/{Si} and {Pt}/{Si} were fully textured with (100) orientation. The deliquescent character of MgO thin films was also studied.
Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor
NASA Technical Reports Server (NTRS)
Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus
2004-01-01
In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.
Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor
NASA Technical Reports Server (NTRS)
Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus
2004-01-01
In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.
NASA Technical Reports Server (NTRS)
Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)
1995-01-01
A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.
NASA Astrophysics Data System (ADS)
Li, Haoran; Mazumder, Baishakhi; Bonef, Bastien; Keller, Stacia; Wienecke, Steven; Speck, James S.; Denbaars, Steven P.; Mishra, Umesh K.
2017-11-01
In GaN/(Al,Ga)N high-electron-mobility transistors (HEMT), AlN interlayer between GaN channel and AlGaN barrier suppresses alloy scattering and significantly improves the electron mobility of the two-dimensional electron gas. While high concentrations of gallium were previously observed in Al-polar AlN interlayers grown by metal-organic chemical vapor deposition, the N-polar AlN (Al x Ga1-x N) films examined by atom probe tomography in this study exhibited aluminum compositions (x) equal to or higher than 95% over a wide range of growth conditions. The also investigated AlN interlayer in a N-polar GaN/AlN/AlGaN/ S.I. GaN HEMT structure possessed a similarly high x content.
NASA Astrophysics Data System (ADS)
Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.
2000-01-01
Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.
Influence of vapor deposition on structural and charge transport properties of ethylbenzene films
Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...
2017-04-14
Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less
Influence of vapor deposition on structural and charge transport properties of ethylbenzene films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan
Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less
Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films
2017-01-01
Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203
NASA Astrophysics Data System (ADS)
Zhao, Ruipeng; Liu, Qing; Xia, Yudong; Tao, Bowan; Li, Yanrong
2017-12-01
We have successfully applied metal organic chemical vapor deposition (MOCVD) to synthesize biaxially textured YBa2Cu3O7-δ (YBCO) superconducting films on the templates of LaMnO3/epitaxial MgO/IBAD-MgO/solution deposition planarization (SDP) Y2O3/Hastelloy tape. The YBCO films have obtained dense and smooth surface with good structure and performance. A new self-heating method, which replaced the conventional heating-wire radiation heating method, has been used to heat the Hastelloy metal tapes by us. Compared with the heating-wire radiation heating method, the self-heating method shows higher energy efficiency and lower power consumption, which has good advantage to simplify the structure of the MOCVD system. Meanwhile, the utilization ratio of metal organic sources can be increased from 6% to 20% through adopting the new self-heating method. Then the preparation cost of the YBCO films can be also greatly reduced.
Heteroepitaxial growth of Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Nouhi, Akbar; Stirn, Richard J.
1987-01-01
In this letter, preliminary results are reported of heteroepitaxial growth of the dilute magnetic semiconductor alloy Cd(1-x)Mn(x)Te on GaAs by metalorganic chemical vapor deposition. Dimethylcadmium (DMCd), diethyltellurium (DETe), and tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) were used as source materials. The TCPMn had to be heated to as high as 140 C to provide the required vapor pressure. Films with Mn atomic fractions up to 30 percent have been grown over the temperature range 410-450 C. Results of optical absorption/transmission, photoluminescence, and X-ray diffraction measurements are presented along with a scanning electron micrograph showing good surface morphology of the grown layers.
Utama, M Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua
2014-11-07
Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.
Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs
NASA Technical Reports Server (NTRS)
Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.
1989-01-01
Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.
Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I
2017-01-01
In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117
A kinetic model for stress generation in thin films grown from energetic vapor fluxes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chason, E.; Karlson, M.; Colin, J. J.
We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on themore » grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.« less
NASA Technical Reports Server (NTRS)
Lee, Hsing-Chung; Kost, A.; Kawase, M.; Hariz, A.; Dapkus, P. Daniel
1988-01-01
The nonlinear absorption properties of the excitonic resonances associated with multiple quantum wells (MQWs) in AlGaAs/GaAs grown by metalorganic chemical vapor deposition are reported. The dependence of the saturation properties on growth parameters, especially growth temperature, and the well width are described. The minimum measured saturation intensity for these materials is 250 W/sq cm, the lowest reported value to date. The low saturation intensities are the result of excellent minority carrier properties. A systematic study of minority carrier lifetimes in quantum wells are reported. Lifetimes range from 50-350 ns depending on growth temperature and well width. When corrected for lateral diffusion effects and the measured minority carrier lifetime, the saturation data suggest that saturation intensities as low as 2.3 W/sq cm can be achieved in this system. The first measurements of the dependence of the exciton area and the magnitude of the excitonic absorption on well width are prsented. The growth of MQW structures on transparent GaP substrates is demonstrated and the electroabsorption properties of these structures are reviewed.
NASA Astrophysics Data System (ADS)
Hu, Haiyang; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Yin, Haiying; Fan, Yibing; Ma, Xing; Huang, Yongqing; Ren, Xiaomin
2018-04-01
In this work, a technique for the growth of GaAs epilayers on Si, combining an ultrathin amorphous Si buffer layer and a three-step growth method, has been developed to achieve high crystalline quality for monolithic integration. The influences of the combined technique for the crystalline quality of GaAs on Si are researched in this article. The crystalline quality of GaAs epilayer on Si with the combined technique is investigated by scanning electron microscopy, double crystal X-ray diffraction (DCXRD), photoluminescence, and transmission electron microscopy measurements. By means of this technique, a 1.8-µm-thick high-quality GaAs/Si epilayer was grown by metal-organic chemical vapor deposition. The full-width at half-maximum of the DCXRD rocking curve in the (400) reflection obtained from the GaAs/Si epilayers is about 163 arcsec. Compared with only using three-step growth method, the current technique reduces etch pit density from 3 × 106 cm-2 to 1.5 × 105 cm-2. The results demonstrate that the combined technique is an effective approach for reducing dislocation density in GaAs epilayers on Si.
Progress in MOCVD growth of HgCdTe epilayers for HOT infrared detectors
NASA Astrophysics Data System (ADS)
Kebłowski, A.; Gawron, W.; Martyniuk, P.; Stepień, D.; Kolwas, K.; Piotrowski, J.; Madejczyk, P.; Kopytko, M.; Piotrowski, A.; Rogalski, A.
2016-05-01
In this paper we present progress in MOCVD growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool in fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping and without post grown annealing. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for long wavelength infrared photoconductors operated in HOT conditions. The (100) HgCdTe photoconductor optimized for 13-μm attain detectivity equal to 6.5x109 Jones and therefore outperform its (111) counterpart. The paper also presents technological progress in fabrication of MOCVD-grown (111) HgCdTe barrier detectors. The barrier device performance is comparable with state-of-the-art of HgCdTe photodiodes. The detectivity of HgCdTe detectors is close to the value marked HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07".
Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.
Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi
2017-05-02
The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.
Physical Vapor Deposition of Thin Films
NASA Astrophysics Data System (ADS)
Mahan, John E.
2000-01-01
A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam
Luminescence of III-IV-V thin film alloys grown by metalorganic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Jia, Roger; Zhu, Tony; Bulović, Vladimir; Fitzgerald, Eugene A.
2018-05-01
III-IV-V heterovalent alloys have the potential to satisfy the need for infrared bandgap materials that also have lattice constants near GaAs. In this work, significant room temperature photoluminescence is reported for the first time in high quality III-IV-V alloys grown by metalorganic chemical vapor deposition. Pronounced phase separation, a characteristic suspected to quench luminescence in the alloys in the past, was successfully inhibited by a modified growth process. Small scale composition fluctuations were observed in the alloys; higher growth temperatures resulted in fluctuations with a striated morphology, while lower growth temperatures resulted in fluctuations with a speckled morphology. The composition fluctuations cause bandgap narrowing in the alloys—measurements of various compositions of (GaAs)1-x(Ge2)x alloys reveal a maximum energy transition of 0.8 eV under 20% Ge composition rather than a continuously increasing transition with the decreasing Ge composition. Additionally, luminescence intensity decreased with the decreasing Ge composition. The alloys appear to act as a Ge-like solid penetrating a GaAs lattice, resulting in optical properties similar to those of Ge but with a direct-bandgap nature; a decrease in the Ge composition corresponds to a reduction in the light-emitting Ge-like material within the lattice. An energy transition larger than 0.8 eV was obtained through the addition of silicon to the (GaAs)1-x(Ge2)x alloy. The results indicate significant promise for III-IV-V alloys as potential materials for small bandgap optical devices with previously unachievable lattice constants.
NASA Astrophysics Data System (ADS)
Gaffney, Monique Suzanne
1998-11-01
Metalorganic chemical vapor deposition (MOCVD) is a process used to manufacture electronic and optoelectronic devices that has traditionally lacked real-time growth monitoring and control. Controlling the growth rate and composition using the existing sensors, as well as advanced monitoring systems developed in-house, is shown to improve device quality. Specific MOCVD growth objectives are transformed into controller performance goals. Group III bubbler concentration variations, which perturb both growth rate and composition precision, are identified to be the primary disturbances. First a feed forward control system was investigated, which used an ultrasonic concentration monitor, located upstream in the process. This control strategy resulted in improved regulation of the gallium delivery rate by cancelling the sensed gallium bubbler concentration disturbances via the injection mass flow controller. The controller performance is investigated by growing GaInAs/InP superlattices. Results of growths performed under normal operating conditions and also under large perturbations include X-ray diffraction from the samples as well as real-time sensor signal data. High quality superlattices that display up to eight orders of satellite peaks are obtained under the feed forward compensation scheme, demonstrating improved layer-to-layer reproducibility of thickness and composition. The success of the feed forward control demonstration led to the development of a more complex downstream feedback control system. An ultraviolet absorption monitor was fabricated and retrofitted as a feedback control signal. A control-oriented model of the downstream process was developed for the feedback controller synthesis. Although challenged with both the photolysis and multi-gas detection issues common to UV absorption monitors, closed loop control with the UV sensor was performed and proved to be an effective method of disturbance rejection. An InP/GaInAs test structure was grown under
Effect of in situ Al doping on structure and optical properties of ZnO nanowires grown by MOCVD
NASA Astrophysics Data System (ADS)
Souissi, H.; Jabri, S.; Souissi, A.; Lusson, A.; Galtier, P.; Meftah, A.; Sallet, V.; Oueslati, M.
2018-01-01
Al-doped ZnO nanowires (NWs) were grown on C-axis oriented sapphire by metal organic chemical vapor deposition using dimethylzinc-triethylamine (DMZn-TEN), nitrogen dioxide (NO2) and TMAl as zinc, oxygen and aluminum doping sources respectively. The NWs morphology has been characterized by scanning electron microscopy and transmission electron microscopy. The photoluminescence (PL) spectra exhibit a strong excitonic transition bond that confirms the Al incorporation in the ZnO NWs. Raman results support PL conclusion by showing additional modes in Al-doped ZnO NWs at nearly 270, 510, 579 and 641 cm-1. The micro-Raman scattering analysis along a single Al-doped ZnO needle-like NW shows an increase of the Al concentration from the basis to the tip of the wire.
Advanced light-scattering materials: Double-textured ZnO:B films grown by LP-MOCVD
NASA Astrophysics Data System (ADS)
Addonizio, M. L.; Spadoni, A.; Antonaia, A.
2013-12-01
Double-textured ZnO:B layers with enhanced optical scattering in both short and long wavelength regions have been successfully fabricated using MOCVD technique through a three step process. Growth of double-textured structures has been induced by wet etching on polycrystalline ZnO surface. Our double-layer structure consists of a first ZnO:B layer wet etched and subsequently used as substrate for a second ZnO:B layer deposition. Polycrystalline ZnO:B layers were etched by utilizing diluted solutions of fluoridic acid (HF), chloridric acid (HCl) and phosphoric acid (H3PO4) and their effect on surface morphology modification was systematically investigated. The morphology of the second deposited ZnO layer strongly depended on the surface properties of the etched ZnO first layer. Growth of cauliflower-like texture was induced by protrusions presence on the HCl etched surface. Optimized double-layer structure shows a cauliflower-like double texture with higher RMS roughness and increased spectral haze values in both short and long wavelength regions, compared to conventional pyramidal-like single texture. Furthermore, this highly scattering structure preserves excellent optical and electrical properties.
Vapor Phase Deposition Using Plasma Spray-PVD™
NASA Astrophysics Data System (ADS)
von Niessen, K.; Gindrat, M.; Refke, A.
2010-01-01
Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.
Formation of ohmic contacts to MOCVD grown p-GaN by controlled activation of Mg
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kaminska, E.; Piotrowska, A.; Barcz, A.
2000-11-27
We report on the formation of low resistivity ohmic contacts to p-GaN, r{sub c} < 10{sup {minus}4}{Omega}cm{sup 2}, by increasing the concentration of the active Mg in the subcontact zone, via Zr-mediated release of hydrogen. We have investigated the process of evolution of hydrogen from MOCVD grown p-GaN via Zr-based metallization, and determined the optimum processing conditions (temperature and gas ambient) for fabrication of low resistance ohmic contacts. When the process is conducted in N{sub 2} flow, the metallization remains stable at temperatures required to achieve the ohmic behavior, and the morphology of the metal/semiconductor interface is unaltered by suchmore » a heat treatment. The processing in O{sub 2}, on the contrary, causes the interdiffusion of metallization constituents and the incorporation of oxygen into the semiconductor subcontact region, which could be responsible for increased resistivity of these contacts.« less
NASA Astrophysics Data System (ADS)
Chen, G. C.; Lee, S.-B.; Boo, J.-H.
A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.
Automatic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Kennedy, B. W.
1981-01-01
Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.
Orange a-plane InGaN/GaN light-emitting diodes grown on r-plane sapphire substrates.
Seo, Yong Gon; Baik, Kwang Hyeon; Song, Hooyoung; Son, Ji-Su; Oh, Kyunghwan; Hwang, Sung-Min
2011-07-04
We report on orange a-plane light-emitting diodes (LEDs) with InGaN single quantum well (SQW) grown on r-plane sapphire substrates by metal organic chemical vapor deposition (MOCVD). The peak wavelength and the full-width at half maximum (FWHM) at a drive current of 20mA were 612.2 nm and 72 nm, respectively. The device demonstrated a blue shift in emission wavelength from 614.6 nm at 10 mA to 607.5 nm at 100 mA, representing a net shift of 7.1 nm over a 90 mA range, which is the longest wavelength compared with reported values in nonpolar LEDs. The polarization ratio values obtained from the orange LED varied between 0.36 and 0.44 from 10 to 100mA and a weak dependence of the polarization ratio on the injection current was observed.
Vapor deposition of thin films
Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.
1992-01-01
A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.
Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition
NASA Astrophysics Data System (ADS)
Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi
2009-07-01
Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.
NASA Astrophysics Data System (ADS)
Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.
1994-06-01
Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.
Controllable growth of aluminum nanorods using physical vapor deposition
2014-01-01
This letter proposes and experimentally demonstrates that oxygen, through action as a surfactant, enables the growth of aluminum nanorods using physical vapor deposition. Based on the mechanism through which oxygen acts, the authors show that the diameter of aluminum nanorods can be controlled from 50 to 500 nm by varying the amount of oxygen present, through modulating the vacuum level, and by varying the substrate temperature. When grown under medium vacuum, the nanorods are in the form of an aluminum metal - aluminum oxide core-shell. The thickness of the oxide shell is ~2 nm as grown and is stable when maintained in ambient for 30 days or annealed in air at 475 K for 1 day. As annealing temperature is increased, the nanorod morphology remains stable while the ratio of oxide shell to metallic core increases, resulting in a fully aluminum oxide nanorod at 1,475 K. PMID:25170334
A comparison of the bromination dynamics of pitch-based and vapor-grown graphite fibers
NASA Technical Reports Server (NTRS)
Gaier, J. R.
1986-01-01
The electrical resistance of pitch based P-100 fibers and experimental organic vapor grown fibers was recorded in-situ during bromination and subsequent exposure to ambient laboratory air. The results indicate that the bromination and debromination reactions proceed much slower for vapor grown fibers than for pitch based. While this may be due in part to the larger diameter of the vapor grown fibers, the majority of the effect can probably be attributed to the differences in graphene plane orientation between the fiber types. Although the reactions are slower in the vapor grown than in the pitch based fibers, the extent of reaction as measured by the change in electrical resistance is essentially the same, with comparable (or larger) decreases in resistivity. The bromination reaction proceeds with one or more plateaus in the resistance versus time curves, which suggests staging and strengthens the argument that these fibers produce true intercalation compounds.
Ellipsometric study of metal-organic chemically vapor deposited III-V semiconductor structures
NASA Technical Reports Server (NTRS)
Alterovitz, Samuel A.; Sekula-Moise, Patricia A.; Sieg, Robert M.; Drotos, Mark N.; Bogner, Nancy A.
1992-01-01
An ellipsometric study of MOCVD-grown layers of AlGaAs and InGaAs in thick films and strained layer complex structures is presented. It is concluded that the ternary composition of thick nonstrained layers can be accurately determined to within experimental errors using numerical algorithms. In the case of complex structures, thickness of all layers and the alloy composition of nonstrained layers can be determined simultaneously, provided that the correlations between parameters is no higher than 0.9.
NASA Astrophysics Data System (ADS)
Messham, R. L.; Tucker, W. K.
1986-09-01
A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any
High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.
Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng
2018-02-16
In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.
Dielectric Spectroscopy Study of ZnSe Grown by Physical Vapor Transport
NASA Technical Reports Server (NTRS)
Kokan, J.; Gerhardt, R.; Su, Ching-Hua
1997-01-01
The dielectric properties of ZnSe samples grown by physical vapor transport were measured as a function of frequency. Differences can be seen in the dielectric properties of samples grown under different conditions. The spectra of heat treated samples were also acquired and were found to exhibit significant deviations from those of the as grown crystals.
Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.
1982-01-01
Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.
NASA Technical Reports Server (NTRS)
Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.
1990-01-01
In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectric having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writting capability, complex device structures like three-terminal hybrid semiconductors/superconductors transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray defraction, electron microscopy, and energy dispersive x-ray analysis are discussed.
NASA Technical Reports Server (NTRS)
Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.
1991-01-01
In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectrics having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writing capability, complex device structures like three terminal hybrid semiconductor/superconductor transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray deffraction, electron microscopy, and energy dispersive x-ray analysis are discussed.
Method and apparatus for conducting variable thickness vapor deposition
Nesslage, G.V.
1984-08-03
A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.
Trade-offs of the opto-electrical properties of a-Si:H solar cells based on MOCVD BZO films.
Chen, Ze; Zhang, Xiao-dan; Liang, Jun-hui; Fang, Jia; Liang, Xue-jiao; Sun, Jian; Zhang, De-kun; Chen, Xin-liang; Huang, Qian; Zhao, Ying
2015-01-07
Boron-doped zinc oxide (BZO) films, deposited by metal-organic chemical vapor deposition (MOCVD), have been widely used as front electrodes in thin-film solar cells due to their native pyramidal surface structure, which results in efficient light trapping. This light trapping effect can enhance the short-circuit current density (Jsc) of solar cells. However, nanocracks or voids in the silicon active layer may form when the surface morphology of the BZO is too sharp; this usually leads to degraded electrical properties of the cells, such as open-circuit voltage (Voc) and the fill factor (FF), which in turn decreases efficiency (Eff) [Bailat et al., Photovoltaic Energy Conversion, Conference Record of the 2006 IEEE 4th World Conference on. IEEE, 2006, vol. 2, pp. 1533-1536]. In this paper, an etching and coating method was proposed to modify the sharp "pyramids" on the surface of the BZO films. As a result, an evident enhancement was achieved for these modified, BZO-based cells' Voc, FF, and Eff, although the Jsc exhibited a small decrease. In order to increase the Jsc and maintain the improved electrical properties (Voc, FF) of the cell, a thin BZO coating, deposited by MOCVD, was introduced to coat the sputtering-treated BZO film. Finally, we optimized the trade-off among the Voc, FF, and Jsc, that is, we identified a regime with an increase of the Jsc as well as a further improvement of the other electrical properties.
NASA Technical Reports Server (NTRS)
Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.
2002-01-01
The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.
Thin film solar cells grown by organic vapor phase deposition
NASA Astrophysics Data System (ADS)
Yang, Fan
Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.
Incorporation of zinc in MOCVD growth of Ga 0.5In 0.5P
NASA Astrophysics Data System (ADS)
Kurtz, Sarah R.; Olson, J. M.; Kibbler, A. E.; Bertness, K. A.
1992-11-01
Data are presented for the Zn doping of Ga 0.5In 0.5P, showing that the hole and zinc concentrations increase almost linearly with zinc flow, and also increase with the V/III ratio and with growth rate at a fixed V/III ratio. These observations are consistent with other reports that show the incorporation of zinc to increase with V/III ratio for both GaAs and Ga 0.5In 0.5P deposition by metalorganic chemical vapor deposition (MOCVD). The growth-rate dependence of the zinc incorporation in Ga 0.5In 0.5P has not previously been reported. A model based on varying group V coverage of the step where zinc is most strongly bound is presented and compared with the data. The model predicts that the zinc incorporation increases with increasing phosphorus overpressure, but should be independent of group III overpressure in the parameter space investigated here.
Thermal emission from large area chemical vapor deposited graphene devices
NASA Astrophysics Data System (ADS)
Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.
2013-09-01
The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.
NASA Astrophysics Data System (ADS)
Ritums, Dwight Lenards
A materials system has been developed for advanced oxide high permittivity capacitors for use in Dynamic Random Access Memory (DRAM) applications. A capacitor test structure has been fabricated, demonstrating the integration of this materials system onto Si. It is a 3-D stacked electrode structure which uses the high-K dielectric material Ba1- xSrxTiO 3 (BST) and a novel Ni/TiN bottom electrode system. The structure was grown using pulsed laser deposition (PLD), photo-assisted metal-organic chemical vapor deposition (PhA-MOCVD), and electron beam deposition, and resulted in thin film capacitors with dielectric constants over 500. Other advanced oxides, principally SrVO3, were also investigated for use as electrode materials. The fabricated test structure is 3 μgm wide and 1 μm thick. RIE was used to generate the 3-D structure, and an etch gas recipe was developed to pattern the 3-D electrode structure onto the TiN. The Ni was deposited by electron beam deposition, and the BST was grown by PLD and PhA-MOCVD. Conformal coating of the electrode by the BST was achieved. The film structure was analyzed with XRD, SEM, EDS, XPS, AES, and AFM, and the electronic properties of the devices were characterized. Permittivites of up to 500 were seen in the PLD-grown films, and values up to 700 were seen in the MOCVD- deposited films. The proof of concept of a high permittivity material directly integrated onto Si has been demonstrated for this capacitor materials system. With further lithographic developments, this system can be applied toward gigabit device fabrication.
Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition
NASA Astrophysics Data System (ADS)
Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.
2015-11-01
Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.
Synthesis and characterization of heteroleptic titanium MOCVD precursors for TiO2 thin films.
Kim, Euk Hyun; Lim, Min Hyuk; Lah, Myoung Soo; Koo, Sang Man
2018-02-13
Heteroleptic titanium alkoxides with three different ligands, i.e., [Ti(O i Pr)(X)(Y)] (X = tridentate, Y = bidentate ligands), were synthesized to find efficient metal organic chemical vapor deposition (MOCVD) precursors for TiO 2 thin films. Acetylacetone (acacH) or 2,2,6,6-tetramethyl-3,5-heptanedione (thdH) was employed as a bidentate ligand, while N-methyldiethanolamine (MDEA) was employed as a tridentate ligand. It was expected that the oxygen and moisture susceptibility of titanium alkoxides, as well as their tendency to form oligomers, would be greatly reduced by placing multidentate and bulky ligands around the center Ti atom. The synthesized heteroleptic titanium alkoxides were characterized both physicochemically and crystallographically, and their thermal behaviors were also investigated. [Ti(O i Pr)(MDEA)(thd)] was found to be monomeric and stable against moisture; it also showed good volatility in the temperature window between volatilization and decomposition. This material was used as a single-source precursor during MOCVD to generate TiO 2 thin films on silicon wafers. The high thermal stability of [Ti(O i Pr)(MDEA)(thd)] enabled the fabrication of TiO 2 films over a wide temperature range, with steady growth rates between 500 and 800 °C.
NASA Astrophysics Data System (ADS)
Alshahrie, Ahmed; Juodkazis, S.; Al-Ghamdi, A. A.; Hafez, M.; Bronstein, L. M.
2017-10-01
Nanocrystalline In1-xCuxP thin films (0 ≤ x ≤ 0.5) have been deposited on quartz substrates by a Metal-Organic Chemical Vapor Deposition (MOCVD) technique. The effect of the copper ion content on the structural crystal lattice, morphology and optical behavior of the InP thin films was assessed using X-ray diffraction, scanning electron microscopy, atomic force microscopy, Raman spectroscopy and spectrophotometry. All films exhibited a crystalline cubic zinc blende structure, inferring the solubility of the Cu atoms in the InP crystal structure. The XRD patterns demonstrated that the inclusion of Cu atoms into the InP films forced the nanoparticles in the films to grow along the (1 1 1) direction. The AFM topography showed that the Cu ions reduce the surface roughness of deposited films. The Raman spectra of the deposited films contain the first and second order anti-stoke ΓTO, ΓLO, ΧLO + ΧTO, 2ΓTO, and ΓLO + ΓTO bands which are characteristic of the InP crystalline structure. The intensities of these bands decreased with increasing the content of the Cu atoms in the InP crystals implying the creation of a stacking fault density in the InP crystal structure. The In1-xCuxP thin films have shown high optical transparency of 90%. An increase of the optical band gap from 1.38 eV to 1.6 eV was assigned to the increase of the amount of Cu ions in the InP films. The In0.5Cu0.5P thin film exhibited remarkable optical conductivity with very low dissipation factor which makes it a promising buffer window for solar energy applications.
NASA Astrophysics Data System (ADS)
Hidouri, Tarek; Saidi, Faouzi; Maaref, Hassen; Rodriguez, Philippe; Auvray, Laurent
2016-10-01
In this work, BxGa1-xAs/GaAs epilayers with three different boron compositions were elaborated by metal organic chemical vapor deposition (MOCVD) on GaAs (001) substrate. Structural study using High resolution X-ray diffraction (HRXRD) spectroscopy and Atomic Force Microscopy (AFM) have been used to estimate the boron fraction. The luminescence keys were carried out as functions of temperature in the range 10-300 K, by the techniques of photoluminescence (PL). The low PL temperature has shown an abnormal emission appeared at low energy side witch attributed to the recombination through the deep levels. In all samples, the PL peak energy and the full width at half maximum (FWHM), present an anomalous behavior as a result of the competition process between localized and delocalized carriers. We propose the Localized-state Ensemble model to explain the unusual photoluminescence behaviors. Electrical carriers generation, thermal escape, recapture, radiative and non-radiative lifetime are taken into account. The temperature-dependent photoluminescence measurements were found to be in reasonable agreement with the model of localized states. We controlled the evolution of such parameters versus composition by varying the V/III ratio to have a quantitative and qualitative understanding of the recombination mechanisms. At high temperature, the model can be approximated to the band-tail-state emission.
Method for Improving Mg Doping During Group-III Nitride MOCVD
Creighton, J. Randall; Wang, George T.
2008-11-11
A method for improving Mg doping of Group III-N materials grown by MOCVD preventing condensation in the gas phase or on reactor surfaces of adducts of magnesocene and ammonia by suitably heating reactor surfaces between the location of mixing of the magnesocene and ammonia reactants and the Group III-nitride surface whereon growth is to occur.
Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication
NASA Technical Reports Server (NTRS)
Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.
1991-01-01
Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.
Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee
2011-08-01
Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.
Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials
2016-04-27
Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced
NASA Astrophysics Data System (ADS)
Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.
2005-07-01
We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.
High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.
Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing
2013-06-17
High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.
Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B
2011-01-01
Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.
Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties
2010-04-01
observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b
NASA Astrophysics Data System (ADS)
Stockman, S. A.; Fresina, M. T.; Hartmann, Q. J.; Hanson, A. W.; Gardner, N. F.; Baker, J. E.; Stillman, G. E.
1994-04-01
The incorporation of residual carbon has been studied for InP grown at low temperatures using TMIn and PH3 by low-pressure metalorganic chemical vapor deposition. n-type conduction is observed with electron concentrations as high as 1×1018 cm-3, and the electrical activation efficiency is 5%-15%. Carbon incorporation is found to be highly dependent on substrate temperature, suggesting that the rate-limiting step is desorption of CHy (0≤y≤3) from the surface during growth. Hydrogen is also incorporated in the layers during growth. The electron mobilities are lower for C-doped InP than for Si-doped InP. InP/InGaAs heterojunction bipolar transistors with C as the p-type base dopant and either Si or C as the n-type emitter dopant have been fabricated and compared. Devices with a carbon-doped base and emitter showed degraded performance, likely as a result of deep levels incorporated during growth of the emitter.
Structural Characterization of Vapor-deposited Organic Glasses
NASA Astrophysics Data System (ADS)
Gujral, Ankit
Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited
Chemical-Vapor-Deposited Diamond Film
NASA Technical Reports Server (NTRS)
Miyoshi, Kazuhisa
1999-01-01
This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.
NASA Astrophysics Data System (ADS)
Lai, Billy; Li, Qiang; Lau, Kei May
2018-02-01
InAs/GaSb nanoridge heterostructures were grown on V-grooved (0 0 1) Si by metal organic chemical vapor deposition. Combining the aspect ratio trapping process and a low temperature GaAs buffer, we demonstrated high quality GaSb nanoridge templates for InAs/GaSb heterostructure growth. Two different interfaces, a transitional GaAsSb and an InSb-like interface, were investigated when growing these heterostructures. A 500 °C growth temperature in conjunction with a GaAsSb interface was determined to produce the optimal interface, properly compensating for the tensile strain accumulated when growing InAs on GaSb. Without the need for a complicated switching sequence, this GaAsSb-like interface utilized at the optimized temperature is the initial step towards InAs/GaSb type II superlattice and other device structures integrated onto Si.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Shen, X. Q., E-mail: xq-shen@aist.go.jp; Takahashi, T.; Ide, T.
2015-09-28
We investigate the generation mechanisms of micro-cracks (MCs) in an ultra-thin AlN/GaN superlattice (SL) structure grown on Si(110) substrates by metalorganic chemical vapor deposition. The SL is intended to be used as an interlayer (IL) for relaxing tensile stress and obtaining high-quality crack-free GaN grown on Si substrates. It is found that the MCs can be generated by two different mechanisms, where large mismatches of the lattice constant (LC) and the coefficient of thermal expansion (CTE) play key roles in the issue. Different MC configurations (low-density and high-density MCs) are observed, which are considered to be formed during the differentmore » growth stages (SL growth and cooling down processes) due to the LC and the CTE effects. In-situ and ex-situ experimental results support the mechanism interpretations of the MCs generation. The mechanism understanding makes it possible to optimize the SL IL structure for growing high-quality crack-free GaN films on Si substrates for optical and electronic device applications.« less
Strain and structure heterogeneity in MoS 2 atomic layers grown by chemical vapour deposition
Liu, Zheng; Amani, Matin; Najmaei, Sina; ...
2014-11-18
Monolayer molybdenum disulfide (MoS 2) has attracted tremendous attention due to its promising applications in high-performance field-effect transistors, phototransistors, spintronic devices, and nonlinear optics. The enhanced photoluminescence effect in monolayer MoS 2 was discovered and, as a strong tool, was employed for strain and defect analysis in MoS 2. Recently, large-size monolayer MoS 2 has been produced by chemical vapor deposition but has not yet been fully explored. Here we systematically characterize chemical vapor deposition grown MoS 2 by PL spectroscopy and mapping, and demonstrate non-uniform strain in single-crystalline monolayer MoS 2 and strain-induced band gap engineering. We also evaluatemore » the effective strain transferred from polymer substrates to MoS 2 by three-dimensional finite element analysis. In addition, our work demonstrates that PL mapping can be used as a non-contact approach for quick identification of grain boundaries in MoS 2.« less
Effects of etchants in the transfer of chemical vapor deposited graphene
NASA Astrophysics Data System (ADS)
Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.
2018-05-01
The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.
Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun
Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less
Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition
Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...
2016-01-29
Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less
Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition
Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.
2016-01-01
Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604
Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films
NASA Astrophysics Data System (ADS)
Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.
2014-01-01
Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.
Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor
NASA Astrophysics Data System (ADS)
Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis
2011-02-01
The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.
Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam
NASA Astrophysics Data System (ADS)
Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping
2013-03-01
High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices.
Nanowire-templated lateral epitaxial growth of non-polar group III nitrides
Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM
2010-03-02
A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.
Studies on the effect of ammonia flow rate induced defects in gallium nitride grown by MOCVD
NASA Astrophysics Data System (ADS)
Suresh, S.; Lourdudoss, S.; Landgren, G.; Baskar, K.
2010-10-01
Gallium nitride (GaN) epitaxial layers were grown with different V/III ratios by varying the ammonia (NH 3) flow rate, keeping the flow rate of the other precursor, trimethylgallium (TMG), constant, in an MOCVD system. X-ray rocking curve widths of a (1 0 2) reflection increase with an increase in V/III ratio while the (0 0 2) rocking curve widths decrease. The dislocation density was found to increase with an increase in ammonia flow rate, as determined by hot-wet chemical etching and atomic force microscopy. 77 K photoluminescence studies show near band emission at 3.49 eV and yellow luminescence peaking at 2.2 eV. The yellow luminescence (YL) intensity decreases with an increase in V/III ratio. Positron annihilation spectroscopy studies show that the concentration of Ga-like vacancies increases with an increase in ammonia flow rate. This study confirms that the yellow luminescence in the GaN arises due to deep levels formed by gallium vacancies decorated with oxygen atoms.
Chemical-Vapor Deposition Of Silicon Carbide
NASA Technical Reports Server (NTRS)
Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.
1993-01-01
Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.
NASA Astrophysics Data System (ADS)
Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos
2004-09-01
In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.
Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition
Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.
2015-11-02
Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less
NASA Astrophysics Data System (ADS)
Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline
2017-03-01
Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.
Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi
2014-03-05
By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Novel approach to investigation of semiconductor MOCVD by microreactor technology
NASA Astrophysics Data System (ADS)
Konakov, S. A.; Krzhizhanovskaya, V. V.
2017-11-01
Metal-Organic Chemical Vapour Deposition is a very complex technology that requires further investigation and optimization. We propose to apply microreactors to (1) replace multiple expensive time-consuming macroscale experiments by just one microreactor deposition with many points on one substrate; (2) to derive chemical reaction rates from individual deposition profiles using theoretical analytical solution. In this paper we also present the analytical solution of a simplified equation describing the deposition rate dependency on temperature. It allows to solve an inverse problem and to obtain detailed information about chemical reaction mechanism of MOCVD process.
InGaN/GaN tunnel junctions for hole injection in GaN light emitting diodes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Krishnamoorthy, Sriram, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu; Akyol, Fatih; Rajan, Siddharth, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu
InGaN/GaN tunnel junction contacts were grown using plasma assisted molecular beam epitaxy (MBE) on top of a metal-organic chemical vapor deposition (MOCVD)-grown InGaN/GaN blue (450 nm) light emitting diode. A voltage drop of 5.3 V at 100 mA, forward resistance of 2 × 10{sup −2} Ω cm{sup 2}, and a higher light output power compared to the reference light emitting diodes (LED) with semi-transparent p-contacts were measured in the tunnel junction LED (TJLED). A forward resistance of 5 × 10{sup −4} Ω cm{sup 2} was measured in a GaN PN junction with the identical tunnel junction contact as the TJLED, grown completely by MBE. Themore » depletion region due to the impurities at the regrowth interface between the MBE tunnel junction and the MOCVD-grown LED was hence found to limit the forward resistance measured in the TJLED.« less
Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers
NASA Astrophysics Data System (ADS)
Al Balushi, Zakaria Y.
Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth
Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD
NASA Astrophysics Data System (ADS)
Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.
2017-10-01
We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jeon, Bup Ju; Hudaya, Chairul; Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791
2016-05-15
The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, includingmore » a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.« less
NASA Astrophysics Data System (ADS)
Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji
2017-11-01
A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.
Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond
1992-07-10
Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D
Comparison of a model vapor deposited glass films to equilibrium glass films
NASA Astrophysics Data System (ADS)
Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco
Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.
Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials
2016-04-27
Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with
Vacuum vapor deposition: A spinoff of space welding development
NASA Technical Reports Server (NTRS)
Poorman, R. M.
1991-01-01
A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.
Chemical vapor deposition of mullite coatings
Sarin, Vinod; Mulpuri, Rao
1998-01-01
This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.
Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.
Zhang, Zhe; Kleinstreuer, Clement
2011-01-01
Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the
Simple Chemical Vapor Deposition Experiment
ERIC Educational Resources Information Center
Pedersen, Henrik
2014-01-01
Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…
NASA Astrophysics Data System (ADS)
Hurni, Christophe Antoine
Widespread interest in the group III-Nitrides began with the achievement of p-type conductivity in the early 1990s in Mg-doped GaN films grown by metal organic chemical vapor deposition (MOCVD) by Nakamura et al. Indeed, MOCVD-grown Mg-doped GaN is insulating as-grown, because of the formation of neutral Mg-H complexes. Nakamura et al. showed that a rapid thermal anneal removes the hydrogen and enables p-conductivity. Shortly after this discovery, the first LEDs and lasers were demonstrated by Nakamura et al. The necessary annealing step is problematic for devices which need a buried p-layer, such as hetero-junction bipolar transistors. Ammonia molecular beam epitaxy (NH3-MBE) has a great potential for growing vertical III-Nitrides-based devices, thank to its N-rich growth conditions and all the usual advantages of MBE, which include a low-impurity growth environment, in situ monitoring techniques as well as the ability to grow sharp interfaces. We first investigated the growth of p-GaN by NH3-MBE. We found that the hole concentration strongly depends on the growth temperature. Thanks to comprehensive Hall and transfer length measurements, we found evidences for a compensating donor defects in NH3-MBE-grown Mg-doped GaN films. High-quality p-n junctions with very low reverse current and close to unity ideality factor were also grown and investigated. For the design of heterojunction devices such as laser diodes, light emitting diodes or heterojunction bipolar transistors, hetero-interface's characteristics such as the band offset or interface charges are fundamental. A technique developed by Kroemer et al. uses capacitance-voltage (C-V) profiling to extract band-offsets and charges at a hetero-interface. We applied this technique to the III-Nitrides. We discovered that for the polar III-Nitrides, the technique is not applicable because of the very large polarization charge. We nevertheless successfully measured the polarization charge at the AlGaN/GaN hetero
DOE Office of Scientific and Technical Information (OSTI.GOV)
Anderson, T.
This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (requiredmore » annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.« less
Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms
NASA Technical Reports Server (NTRS)
Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo
2004-01-01
With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.
NASA Astrophysics Data System (ADS)
Takeuchi, M.; Shimizu, H.; Kajitani, R.; Kawasaki, K.; Kumagai, Y.; Koukitu, A.; Aoyagi, Y.
2007-01-01
The growth of N-polar AlN layers on c-plane sapphire is reported. Low-temperature AlN (LT-AlN) layers were used as seeding buffer layers with pre-nitridation for sapphire. To avoid strong vapor-phase reaction between trimethylaluminum (TMA) and ammonia (NH 3) and to improve the crystalline quality, low-pressure flow-modulated (FM) metal-organic chemical vapor deposition (MOCVD) technique was introduced with careful optimization of the FM sequence. The surface morphologies and the crystalline quality defined by the X-ray diffraction (XRD) (0 0 2) and (1 0 0) rocking curve measurements strongly depended on the LT-AlN thickness and on the TMA coverage per cycle of the FM growth. The sample showing the best XRD data with a good morphology was almost completely etched in aqueous KOH solution owing to N-polarity. From the plan-view transmission electron microscopy (TEM) observation, the dislocation density was counted to be about 3×10 10 cm -2.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lu, Xing; Ma, Jun; Jiang, Huaxing
2014-09-08
We report the use of SiN{sub x} grown in situ by metal-organic chemical vapor deposition as the gate dielectric for AlN/GaN metal-insulator-semiconductor (MIS) structures. Two kinds of trap states with different time constants were identified and characterized. In particular, the SiN{sub x}/AlN interface exhibits remarkably low trap state densities in the range of 10{sup 11}–10{sup 12 }cm{sup −2}eV{sup −1}. Transmission electron microscopy and X-ray photoelectron spectroscopy analyses revealed that the in situ SiN{sub x} layer can provide excellent passivation without causing chemical degradation to the AlN surface. These results imply the great potential of in situ SiN{sub x} as an effectivemore » gate dielectric for AlN/GaN MIS devices.« less
Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition
NASA Astrophysics Data System (ADS)
Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.
2009-05-01
We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.
Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki
2015-10-14
Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.
Chemical Vapor Deposition of High-Quality Large-Sized MoS2 Crystals on Silicon Dioxide Substrates.
Chen, Jianyi; Tang, Wei; Tian, Bingbing; Liu, Bo; Zhao, Xiaoxu; Liu, Yanpeng; Ren, Tianhua; Liu, Wei; Geng, Dechao; Jeong, Hu Young; Shin, Hyeon Suk; Zhou, Wu; Loh, Kian Ping
2016-08-01
Large-sized MoS 2 crystals can be grown on SiO 2 /Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. The electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V -1 s -1 , which is comparable to those of exfoliated flakes.
Substrate temperature controls molecular orientation in two-component vapor-deposited glasses
Jiang, J.; Walters, D. M.; Zhou, D.; ...
2016-02-22
Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less
NASA Astrophysics Data System (ADS)
Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung
2018-05-01
The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.
Chemical vapor deposition reactor. [providing uniform film thickness
NASA Technical Reports Server (NTRS)
Chern, S. S.; Maserjian, J. (Inventor)
1977-01-01
An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.
Chemical vapor deposition of epitaxial silicon
Berkman, Samuel
1984-01-01
A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ringleb, F.; Eylers, K.; Teubner, Th.
2016-03-14
A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Basedmore » on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.« less
Controlled Synthesis of Atomically Layered Hexagonal Boron Nitride via Chemical Vapor Deposition.
Liu, Juanjuan; Kutty, R Govindan; Liu, Zheng
2016-11-29
Hexagonal boron nitrite (h-BN) is an attractive material for many applications including electronics as a complement to graphene, anti-oxidation coatings, light emitters, etc. However, the synthesis of high-quality h-BN is still a great challenge. In this work, via controlled chemical vapor deposition, we demonstrate the synthesis of h-BN films with a controlled thickness down to atomic layers. The quality of as-grown h-BN is confirmed by complementary characterizations including high-resolution transition electron microscopy, atomic force microscopy, Raman spectroscopy and X-ray photo-electron spectroscopy. This work will pave the way for production of large-scale and high-quality h-BN and its applications as well.
NASA Technical Reports Server (NTRS)
Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius
2003-01-01
Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.
Vacuum vapor deposition gun assembly
Zeren, Joseph D.
1985-01-01
A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.
High nitrogen pressure solution growth of GaN
NASA Astrophysics Data System (ADS)
Bockowski, Michal
2014-10-01
Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.
Chemical vapor deposition of high-quality large-sized MoS 2 crystals on silicon dioxide substrates
Chen, Jianyi; Tang, Wei; Tian, Bingbing; ...
2016-03-31
Large-sized MoS 2 crystals can be grown on SiO 2/Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. Additionally, the electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V –1 s –1, which is comparable to those of exfoliated flakes.
NASA Astrophysics Data System (ADS)
Chen, L. P.; Huang, G. W.; Chang, C. Y.
1996-03-01
100 ppm PH3 diluted in hydrogen is used as the n-type dopant gas in Si and Si1-xGex epilayers grown by ultrahigh vacuum chemical vapor deposition (UHVCVD) using Si2H6 and GeH4. The phosphorus concentration in Si increases linearly at a small PH3 flow rate and becomes nearly saturated at higher flow rates, while the phosphorus concentration in Si1-xGex only shows a nearly linear behavior with PH3 flow rate. The growth rates of Si and Si1-xGex epilayers decrease seriously (˜50%) and slightly (˜10%) with the increase of PH3 flow rate, respectively. These results can be explained by a model based on the enhancement of hydrogen desorption rate at smaller PH3 flow rates and different levels of the effects of phosphorus blocking of surface-activated sites between Si and Si1-xGex epilayers at higher PH3 flow rates.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Galstyan, E; Gharahcheshmeh, MH; Delgado, L
We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality.more » A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.« less
NASA Astrophysics Data System (ADS)
Reddy, Pramod; Washiyama, Shun; Kaess, Felix; Kirste, Ronny; Mita, Seiji; Collazo, Ramon; Sitar, Zlatko
2017-12-01
A theoretical framework that provides a quantitative relationship between point defect formation energies and growth process parameters is presented. It enables systematic point defect reduction by chemical potential control in metalorganic chemical vapor deposition (MOCVD) of III-nitrides. Experimental corroboration is provided by a case study of C incorporation in GaN. The theoretical model is shown to be successful in providing quantitative predictions of CN defect incorporation in GaN as a function of growth parameters and provides valuable insights into boundary phases and other impurity chemical reactions. The metal supersaturation is found to be the primary factor in determining the chemical potential of III/N and consequently incorporation or formation of point defects which involves exchange of III or N atoms with the reservoir. The framework is general and may be extended to other defect systems in (Al)GaN. The utility of equilibrium formalism typically employed in density functional theory in predicting defect incorporation in non-equilibrium and high temperature MOCVD growth is confirmed. Furthermore, the proposed theoretical framework may be used to determine optimal growth conditions to achieve minimum compensation within any given constraints such as growth rate, crystal quality, and other practical system limitations.
Solar-induced chemical vapor deposition of diamond-type carbon films
Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.
1994-09-13
An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.
Solar-induced chemical vapor deposition of diamond-type carbon films
Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.
1994-01-01
An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.
NASA Astrophysics Data System (ADS)
LeBoeuf, J. L.; Brodusch, N.; Gauvin, R.; Quitoriano, N. J.
2014-12-01
A novel method has been optimized so that adhesion layers are no longer needed to reliably deposit patterned gold structures on amorphous substrates. Using this technique allows for the fabrication of amorphous oxide templates known as micro-crucibles, which confine a vapor-liquid-solid (VLS) catalyst of nominally pure gold to a specific geometry. Within these confined templates of amorphous materials, faceted silicon crystals have been grown laterally. The novel deposition technique, which enables the nominally pure gold catalyst, involves the undercutting of an initial chromium adhesion layer. Using electron backscatter diffraction it was found that silicon nucleated in these micro-crucibles were 30% single crystals, 45% potentially twinned crystals and 25% polycrystals for the experimental conditions used. Single, potentially twinned, and polycrystals all had an aversion to growth with the {1 0 0} surface parallel to the amorphous substrate. Closer analysis of grain boundaries of potentially twinned and polycrystalline samples revealed that the overwhelming majority of them were of the 60° Σ3 coherent twin boundary type. The large amount of coherent twin boundaries present in the grown, two-dimensional silicon crystals suggest that lateral VLS growth occurs very close to thermodynamic equilibrium. It is suggested that free energy fluctuations during growth or cooling, and impurities were the causes for this twinning.
NASA Astrophysics Data System (ADS)
Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay
2017-11-01
GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.
NASA Technical Reports Server (NTRS)
Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.
1991-01-01
Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Healy, M.D.; Smith, D.C.; Springer, R.W.
1993-12-31
The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less
NASA Astrophysics Data System (ADS)
Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae
2015-06-01
We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for
Ultrahigh-mobility graphene devices from chemical vapor deposition on reusable copper
Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Dauber, Jan; Oellers, Martin; Haupt, Federica; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph
2015-01-01
Graphene research has prospered impressively in the past few years, and promising applications such as high-frequency transistors, magnetic field sensors, and flexible optoelectronics are just waiting for a scalable and cost-efficient fabrication technology to produce high-mobility graphene. Although significant progress has been made in chemical vapor deposition (CVD) and epitaxial growth of graphene, the carrier mobility obtained with these techniques is still significantly lower than what is achieved using exfoliated graphene. We show that the quality of CVD-grown graphene depends critically on the used transfer process, and we report on an advanced transfer technique that allows both reusing the copper substrate of the CVD growth and making devices with mobilities as high as 350,000 cm2 V–1 s–1, thus rivaling exfoliated graphene. PMID:26601221
EFFECTS OF Au ON THE GROWTH OF ZnO NANOSTRUCTURES ON Si BY MOCVD
NASA Astrophysics Data System (ADS)
Cong, Chen; Fan, Lu Yang; Ping, He Hai; Wei, Wu Ke; Zhen, Ye Zhi
2013-06-01
The effects of Au on the growth of ZnO nanostructures on Si by metal organic chemical vapor deposition (MOCVD) at a relatively low temperature (450°C) were investigated. The experimental results showed that Au nanoparticles played a critical role during the growth of the ZnO nanostructures and affected their morphology and optical properties. It was found that Au nanoparticles particularly affected the nucleation of ZnO nanostructures during the growth process and the Au-assisted growth mechanism of ZnO nanostructures should be ascribed to the vapor-solid (VS) mechanism. The formation of a nanoneedle may be attributed to a more reactive interface between Au and ZnO, which leads to more zinc gaseous species absorbed near the interface. Different nucleation sites on ZnO nuclei resulted in the disorder of ZnO nanoneedles. Moreover, the crystalline quality of nano-ZnO was improved due to the presence of Au, according to the smaller full width at half maximum (FWHM) of the low-temperature exciton emission. We confirmed that ZnO nanoneedles showed better crystalline quality than ZnO nanorods through the HRTEM images and the SAED patterns. The reason for the improvement of the crystalline quality of nano-ZnO may be due to the less lattice mismatch.
NASA Astrophysics Data System (ADS)
Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.
2018-05-01
TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.
Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics
NASA Technical Reports Server (NTRS)
Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.
2006-01-01
Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.
NASA Astrophysics Data System (ADS)
Sellers, Jason R. V.; James, Trevor E.; Hemmingson, Stephanie L.; Farmer, Jason A.; Campbell, Charles T.
2013-12-01
Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ˜18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.
Sellers, Jason R V; James, Trevor E; Hemmingson, Stephanie L; Farmer, Jason A; Campbell, Charles T
2013-12-01
Thin films of metals and other materials are often grown by physical vapor deposition. To understand such processes, it is desirable to measure the adsorption energy of the deposited species as the film grows, especially when grown on single crystal substrates where the structure of the adsorbed species, evolving interface, and thin film are more homogeneous and well-defined in structure. Our group previously described in this journal an adsorption calorimeter capable of such measurements on single-crystal surfaces under the clean conditions of ultrahigh vacuum [J. T. Stuckless, N. A. Frei, and C. T. Campbell, Rev. Sci. Instrum. 69, 2427 (1998)]. Here we describe several improvements to that original design that allow for heat measurements with ~18-fold smaller standard deviation, greater absolute accuracy in energy calibration, and, most importantly, measurements of the adsorption of lower vapor-pressure materials which would have previously been impossible. These improvements are accomplished by: (1) using an electron beam evaporator instead of a Knudsen cell to generate the metal vapor at the source of the pulsed atomic beam, (2) changing the atomic beam design to decrease the relative amount of optical radiation that accompanies evaporation, (3) adding an off-axis quartz crystal microbalance for real-time measurement of the flux of the atomic beam during calorimetry experiments, and (4) adding capabilities for in situ relative diffuse optical reflectivity determinations (necessary for heat signal calibration). These improvements are not limited to adsorption calorimetry during metal deposition, but also could be applied to better study film growth of other elements and even molecular adsorbates.
The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition
NASA Astrophysics Data System (ADS)
Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.
2012-11-01
Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.
NASA Technical Reports Server (NTRS)
Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.
1993-01-01
Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.
NASA Technical Reports Server (NTRS)
Choi, S. W.; Lucovsky, G.; Bachmann, K. J.
1992-01-01
Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.
3D magnetic nanostructures grown by focused electron and ion beam induced deposition
NASA Astrophysics Data System (ADS)
Fernandez-Pacheco, Amalio
Three-dimensional nanomagnetism is an emerging research area, where magnetic nanostructures extend along the whole space, presenting novel functionalities not limited to the substrate plane. The development of this field could have a revolutionary impact in fields such as electronics, the Internet of Things or bio-applications. In this contribution, I will show our recent work on 3D magnetic nanostructures grown by focused electron and ion beam induced deposition. This 3D nano-printing techniques, based on the local chemical vapor deposition of a gas via the interaction with electrons and ions, makes the fabrication of complex 3D magnetic nanostructures possible. First, I will show how by exploiting different growth regimes, suspended Cobalt nanowires with modulated diameter can be patterned, with potential as domain wall devices. Afterwards, I will show recent results where the synthesis of Iron-Gallium alloys can be exploited in the field of artificial multiferroics. Moreover, we are developing novel methodologies combining physical vapor deposition and 3D nano-printing, creating Permalloy 3D nanostrips with controllable widths and lengths up to a few microns. This approach has been extended to more complex geometries by exploiting advanced simulation growth techniques combining Monte Carlo and continuum model methods. Throughout the talk, I will show the methodology we are following to characterize 3D magnetic nanostructures, by combining magneto-optical Kerr effect, scanning probe microscopy and electron and X-R magnetic imaging, and I will highlight some of the challenges and opportunities when studying these structures. I acknowledge funding from EPSRC and the Winton Foundation.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jugdersuren, B.; Kearney, B. T.; Queen, D. R.
We report 3..omega.. thermal conductivity measurements of amorphous and nanocrystalline silicon thin films from 85 to 300 K prepared by hot-wire chemical-vapor deposition, where the crystallinity of the films is controlled by the hydrogen dilution during growth. The thermal conductivity of the amorphous silicon film is in agreement with several previous reports of amorphous silicon prepared by a variety of deposition techniques. The thermal conductivity of the as-grown nanocrystalline silicon film is 70% higher and increases 35% more after an anneal at 600 degrees C. They all have similarly weak temperature dependence. Structural analysis shows that the as-grown nanocrystalline siliconmore » is approximately 60% crystalline, nanograins and grain boundaries included. The nanograins, averaging 9.1 nm in diameter in the as-grown film, are embedded in an amorphous matrix. The grain size increases to 9.7 nm upon annealing, accompanied by the disappearance of the amorphous phase. We extend the models of grain boundary scattering of phonons with two different non-Debye dispersion relations to explain our result of nanocrystalline silicon, confirming the strong grain size dependence of heat transport for nanocrystalline materials. However, the similarity in thermal conductivity between amorphous and nanocrystalline silicon suggests the heat transport mechanisms in both structures may not be as dissimilar as we currently understand.« less
NASA Astrophysics Data System (ADS)
Das, Shantanu; Drucker, Jeff
2017-03-01
The nucleation density and average size of graphene crystallites grown using cold wall chemical vapor deposition (CVD) on 4 μm thick Cu films electrodeposited on W substrates can be tuned by varying growth parameters. Growth at a fixed substrate temperature of 1000 °C and total pressure of 700 Torr using Ar, H2 and CH4 mixtures enabled the contribution of total flow rate, CH4:H2 ratio and dilution of the CH4/H2 mixture by Ar to be identified. The largest variation in nucleation density was obtained by varying the CH4:H2 ratio. The observed morphological changes are analogous to those that would be expected if the deposition rate were varied at fixed substrate temperature for physical deposition using thermal evaporation. The graphene crystallite boundary morphology progresses from irregular/jagged through convex hexagonal to regular hexagonal as the effective C deposition rate decreases. This observation suggests that edge diffusion of C atoms along the crystallite boundaries, in addition to H2 etching, may contribute to shape evolution of the graphene crystallites. These results demonstrate that graphene grown using cold wall CVD follows a nucleation and growth mechanism similar to hot wall CVD. As a consequence, the vast knowledge base relevant to hot wall CVD may be exploited for graphene synthesis by the industrially preferable cold wall method.
NASA Astrophysics Data System (ADS)
Walker, Roger C.; Shi, Tan; Jariwala, Bhakti; Jovanovic, Igor; Robinson, Joshua A.
2017-10-01
Single layers of tungsten diselenide (WSe2) can be used to construct ultra-thin, high-performance electronics. Additionally, there has been considerable progress in controlled and direct growth of single layers on various substrates. Based on these results, high-quality WSe2-based devices that approach the limit of physical thickness are now possible. Such devices could be useful for space applications, but understanding how high-energy radiation impacts the properties of WSe2 and the WSe2/substrate interface has been lacking. In this work, we compare the stability against high energy proton radiation of WSe2 and silicon carbide (SiC) heterostructures generated by mechanical exfoliation of WSe2 flakes and by direct growth of WSe2 via metal-organic chemical vapor deposition (MOCVD). These two techniques produce WSe2/SiC heterostructures with distinct differences due to interface states generated during the MOCVD growth process. This difference carries over to differences in band alignment from interface states and the ultra-thin nature of the MOCVD-grown material. Both heterostructures are not susceptible to proton-induced charging up to a dose of 1016 protons/cm2, as measured via shifts in the binding energy of core shell electrons and a decrease in the valence band offset. Furthermore, the MOCVD-grown material is less affected by the proton exposure due to its ultra-thin nature and a greater interaction with the substrate. These combined effects show that the directly grown material is suitable for multi-year use in space, provided that high quality devices can be fabricated from it.
Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.
2016-01-01
Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341
What Drives Metal-Surface Step Bunching in Graphene Chemical Vapor Deposition?
NASA Astrophysics Data System (ADS)
Yi, Ding; Luo, Da; Wang, Zhu-Jun; Dong, Jichen; Zhang, Xu; Willinger, Marc-Georg; Ruoff, Rodney S.; Ding, Feng
2018-06-01
Compressive strain relaxation of a chemical vapor deposition (CVD) grown graphene overlayer has been considered to be the main driving force behind metal surface step bunching (SB) in CVD graphene growth. Here, by combining theoretical studies with experimental observations, we prove that the SB can occur even in the absence of a compressive strain, is enabled by the rapid diffusion of metal adatoms beneath the graphene and is driven by the release of the bending energy of the graphene overlayer in the vicinity of steps. Based on this new understanding, we explain a number of experimental observations such as the temperature dependence of SB, and how SB depends on the thickness of the graphene film. This study also shows that SB is a general phenomenon that can occur in all substrates covered by films of two-dimensional (2D) materials.
Growth of GaN micro/nanolaser arrays by chemical vapor deposition.
Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng
2016-09-02
Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.
Flash vaporization during earthquakes evidenced by gold deposits
NASA Astrophysics Data System (ADS)
Weatherley, Dion K.; Henley, Richard W.
2013-04-01
Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.
High-Throughput Characterization of Vapor-Deposited Organic Glasses
NASA Astrophysics Data System (ADS)
Dalal, Shakeel S.
Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.
Chemical vapor deposition for automatic processing of integrated circuits
NASA Technical Reports Server (NTRS)
Kennedy, B. W.
1980-01-01
Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.
High performance InP JFETs grown by MOCVD using tertiarybutylphosphine
NASA Astrophysics Data System (ADS)
Hashemi, M. M.; Shealy, J. B.; Corvini, P. J.; Denbaars, S. P.; Mishra, U. K.
1994-02-01
Indium phosphide channel junction field effect transistors were fabricated by metalorganic chemical vapor deposition using tertiarybulylphosphine (TBP) as the alternative source for phosphine. At growth temperatures of 600°C, InP with specular surface morphology and mobilities as high as 61000 cm2/V s at 77Khas been achieved using trimethylindium and TBP. To improve device isolation, pinch-off characteristics, and output transconductance, we employ a high resistivity (1 × 108 Ω-cm) semi-insulating InP buffer layer using ferrocene as the Fe-dopant. Devices with gate lengths of 1 urn exhibit very high extrinsic transconductance of 130 mS/mm, gate-drain breakdown voltage exceeding 20 V, maximum current density of >450 mA/mm with record high fT and fmax of 15 GHz and 35 GHz, respectively. These results indicate: that InP JFETs are promising electronic devices for microwave power amplification, and that TBP is capable of device quality materials.
Three-State Quantum Dot Gate FETs Using ZnS-ZnMgS Lattice-Matched Gate Insulator on Silicon
NASA Astrophysics Data System (ADS)
Karmakar, Supriya; Suarez, Ernesto; Jain, Faquir C.
2011-08-01
This paper presents the three-state behavior of quantum dot gate field-effect transistors (FETs). GeO x -cladded Ge quantum dots (QDs) are site-specifically self-assembled over lattice-matched ZnS-ZnMgS high- κ gate insulator layers grown by metalorganic chemical vapor deposition (MOCVD) on silicon substrates. A model of three-state behavior manifested in the transfer characteristics due to the quantum dot gate is also presented. The model is based on the transfer of carriers from the inversion channel to two layers of cladded GeO x -Ge quantum dots.
NASA Astrophysics Data System (ADS)
Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.
2014-10-01
Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.
Modeling physical vapor deposition of energetic materials
Shirvan, Koroush; Forrest, Eric C.
2018-03-28
Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer
Modeling physical vapor deposition of energetic materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Shirvan, Koroush; Forrest, Eric C.
Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer
NASA Astrophysics Data System (ADS)
Raynor, M. W.; Houlding, V. H.; Funke, H. H.; Frye, R.; Dietz, J. A.
2003-02-01
A sub-atmospheric (SA) pressure gas source, based on the reversible adsorption of hydride gas onto a high surface area substrate within a cylinder, has been developed for the safe storage and delivery of high-purity arsine and phosphine for MOCVD processes. SA pressure and high-pressure sources are compared with respect to gas delivery and purity, risk reduction, and cost benefits. Gas analysis and performance of epi-structures grown with SA pressure cylinders confirm that the hydride gas delivered meets the purity requirements of MOCVD processes. Further, the low gas release rates measured from 2.2 and 49 l SA pressure cylinders indicate that the technology can be scaled up without additional safety risk.
Spectroscopic signatures of AA' and AB stacking of chemical vapor deposited bilayer MoS 2
Xia, Ming; Li, Bo; Yin, Kuibo; ...
2015-11-04
We discuss prominent resonance Raman and photoluminescence spectroscopic differences between AA'and AB stacked bilayer molybdenum disulfide (MoS 2) grown by chemical vapor deposition are reported. Bilayer MoS 2 islands consisting of the two stacking orders were obtained under identical growth conditions. Also, resonance Raman and photoluminescence spectra of AA' and AB stacked bilayer MoS 2 were obtained on Au nanopyramid surfaces under strong plasmon resonance. Both resonance Raman and photoluminescence spectra show distinct features indicating clear differences in interlayer interaction between these two phases. The implication of these findings on device applications based on spin and valley degrees of freedom.
Rapid vapor deposition of highly conformal silica nanolaminates.
Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G
2002-10-11
Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.
Research on chemical vapor deposition processes for advanced ceramic coatings
NASA Technical Reports Server (NTRS)
Rosner, Daniel E.
1993-01-01
Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hosalli, A. M.; Van Den Broeck, D. M.; Bedair, S. M.
2013-12-02
We demonstrate a metalorganic chemical vapor deposition growth approach for inverting N-polar to Ga-polar GaN by using a thin inversion layer grown with high Mg flux. The introduction of this inversion layer allowed us to grow p-GaN films on N-polar GaN thin film. We have studied the dependence of hole concentration, surface morphology, and degree of polarity inversion for the inverted Ga-polar surface on the thickness of the inversion layer. We then use this approach to grow a light emitting diode structure which has the MQW active region grown on the advantageous N-polar surface and the p-layer grown on themore » inverted Ga-polar surface.« less
Infrared analysis of vapor phase deposited tricresylphosphate (TCP)
NASA Technical Reports Server (NTRS)
Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.
1994-01-01
Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Baumann, P. K.; Kaufman, D. Y.; Im, J.
2001-01-01
We have investigated the structural and electrical characteristics of (Ba{sub x}Sr{sub 1-x})Ti{sub 1+y}O{sub 3+z} (BST) thin films synthesized at 650{sup o}C on Pt/SiO{sub 2}/Si substrates using a large area, vertical metalorganic chemical vapor deposition (MOCVD) reactor equipped with a liquid delivery system. Films with a Ba/Sr ratio of 70/30 were studied, as determined using X-ray fluorescence spectroscopy (XRF) and Rutherford backscattering spectrometry (RBS). A substantial reduction of the dielectric loss was achieved when annealing the entire capacitor structure in air at 700{sup o}C. Dielectric tunability as high as 2.3:1 was measured for BST capacitors with the currently optimized processing conditions.
In-situ diagnostics for metalorganic chemical vapor deposition of yttrium barium copper oxide
NASA Astrophysics Data System (ADS)
Tripathi, Ashok Burton
A new stagnation flow MOCVD research reactor is described that is designed to serve as a testbed to develop tools for "intelligent" thin film deposition, such as in-situ sensors and diagnostics, control algorithms, and thin film growth models. The reactor is designed in particular for the deposition of epitaxial YBa2Cu3O 7-delta on MgO, although with minor modifications it would be suitable for deposition of any metal-oxide thin films. The reactor is specifically designed to permit closed-loop thermal and stoichiometric control of the film growth process. Closed-loop control of precursor flow rates is accomplished by using ultraviolet absorption spectroscopy on each precursor line. Also integrated into the design is a Fourier Transform Infrared (FTIR) spectroscopy system which collects real-time, in-situ infrared polarized reflectance spectra of the film as it grows. Numerical simulation was used extensively to optimize the fluid dynamics and heat transfer to provide uniform fluxes to the substrate. As a result, thickness uniformity across the substrate is typically within 3% from the center to the edge of the substrate. Experimental studies of thin films grown in the Y/Ba/Cu/O system have been carried out. The films have been characterized by Rutherford Backscattering Spectrometry and X-ray Diffraction. Results indicate c-axis oriented grains with pure 1:2:3 phase YBCO, good spatial uniformity, and a low degree of c-axis wobble. Experimental growth data is used in a gas phase and surface chemistry model to calculate sticking coefficients for yttrium oxide, barium oxide, and copper oxide on YBCO. In-situ FTIR and Coherent Gradient Sensing (CGS) analysis of growing films has been performed, yielding accurate substrate temperature, film thickness monitoring, and full-field, real-time curvature maps of the films. In addition, we have implemented CGS to obtain full-field in-situ images of local curvature during oxygenation and deoxygenation of YBCO films. An analysis
Corrosion processes of physical vapor deposition-coated metallic implants.
Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes
2009-01-01
Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.
Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.
Qiu, Yue; Dalal, Shakeel S; Ediger, M D
2018-04-18
Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.
Li, Jian; Fei, Ze-Yuan; Xu, Yi-Feng; Wang, Jie; Fan, Bing-Feng; Ma, Xue-Jin; Wang, Gang
2018-02-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.
NASA Astrophysics Data System (ADS)
Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang
2018-02-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.
Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.
2005-06-01
Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.
NASA Astrophysics Data System (ADS)
Zhao, Lu; Zhang, Shuo; Zhang, Yun; Yan, Jianchang; Zhang, Lian; Ai, Yujie; Guo, Yanan; Ni, Ruxue; Wang, Junxi; Li, Jinmin
2018-01-01
We demonstrate AlGaN-based ultraviolet light-emitting diodes (UV-LEDs) grown by metalorganic chemical vapor deposition (MOCVD) on sputter-deposited AlN templates upon sapphire substrates. An AlN/AlGaN superlattices structure is inserted as a dislocation filter between the LED structure and the AlN template. The full width at half maximum values for (0002) and (10 1 bar 2) X-ray rocking curves of the n-type Al0.56Ga0.44N layer are 513 and 1205 arcsec, respectively, with the surface roughness of 0.52 nm. The electron concentration and mobility measured by Hall measurement are 9.3 × 1017cm-3 and 54 cm2/V·s at room temperature, respectively. The light output power of a 282-nm LED reaches 0.28 mW at 20 mA with an external quantum efficiency of 0.32%. And the values of leakage current and forward voltage of the LEDs are ∼3 nA at -10 V and 6.9 V at 20 mA, respectively, showing good electrical performance. It is expected that the cost of the UV-LED can be reduced by using sputter-deposited AlN template.
NASA Technical Reports Server (NTRS)
Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.
2014-01-01
Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.
NASA Astrophysics Data System (ADS)
Saroj, Rajendra K.; Dhar, S.
2016-08-01
ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.
Numerical modeling tools for chemical vapor deposition
NASA Technical Reports Server (NTRS)
Jasinski, Thomas J.; Childs, Edward P.
1992-01-01
Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.
A review-application of physical vapor deposition (PVD) and related methods in the textile industry
NASA Astrophysics Data System (ADS)
Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood
2015-09-01
Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.
Zhao, Ruipeng; Liu, Qing; Xia, Yudong; Zhang, Fei; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong
2017-01-01
A multi-aperture shower design is reported to improve the transverse uniformity of GdYBCO superconducting films on the template of sputtered-LaMnO3/epitaxial-MgO/IBAD-MgO/solution deposition planarization (SDP)-Y2O3-buffered Hastelloy tapes. The GdYBCO films were prepared by the metal organic chemical vapor deposition (MOCVD) process. The transverse uniformities of structure, morphology, thickness, and performance were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), step profiler, and the standard four-probe method using the criteria of 1 μV/cm, respectively. Through adopting the multi-aperture shower instead of the slit shower, measurement by step profiler revealed that the thickness difference between the middle and the edges based on the slit shower design was well eliminated. Characterization by SEM showed that a GdYBCO film with a smooth surface was successfully prepared. Moreover, the transport critical current density (Jc) of its middle and edge positions at 77 K and self-field were found to be over 5 MA/cm2 through adopting the micro-bridge four-probe method. PMID:28914793
III-nitride core–shell nanorod array on quartz substrates
Bae, Si-Young; Min, Jung-Wook; Hwang, Hyeong-Yong; Lekhal, Kaddour; Lee, Ho-Jun; Jho, Young-Dahl; Lee, Dong-Seon; Lee, Yong-Tak; Ikarashi, Nobuyuki; Honda, Yoshio; Amano, Hiroshi
2017-01-01
We report the fabrication of near-vertically elongated GaN nanorods on quartz substrates. To control the preferred orientation and length of individual GaN nanorods, we combined molecular beam epitaxy (MBE) with pulsed-mode metal–organic chemical vapor deposition (MOCVD). The MBE-grown buffer layer was composed of GaN nanograins exhibiting an ordered surface and preferred orientation along the surface normal direction. Position-controlled growth of the GaN nanorods was achieved by selective-area growth using MOCVD. Simultaneously, the GaN nanorods were elongated by the pulsed-mode growth. The microstructural and optical properties of both GaN nanorods and InGaN/GaN core–shell nanorods were then investigated. The nanorods were highly crystalline and the core–shell structures exhibited optical emission properties, indicating the feasibility of fabricating III-nitride nano-optoelectronic devices on amorphous substrates. PMID:28345641
Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings
NASA Technical Reports Server (NTRS)
Harder, Bryan J.; Zhu, Dongming
2011-01-01
In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers
Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact
Mbamara, U. S.; Olofinjana, B.; Ajayi, O. O.; ...
2016-02-01
Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS) and X-ray Diffraction (XRD). The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-facemore » surfaces were examined to assess the wear dimension and failure mechanism. In conclusion, both friction behavior and wear (in the ball counter-face) were observed to be dependent on the crystallinity and thickness of the thin film coatings.« less
Papadimitropoulos, G; Davazoglou, D
2011-09-01
Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.
Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order
Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...
2017-10-04
Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less
Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang
Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less
NASA Astrophysics Data System (ADS)
Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.
2016-02-01
Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.
The chemical deposition of semiconductor thin-films for photovoltaic devices
NASA Astrophysics Data System (ADS)
Breen, Marc Louis
Initially, possible precursors to metal sulfide films formed by metal-organic chemical vapor deposition (MOCVD), the standard commercial technique for manufacturing photovoltaic semiconductors, were synthesized. Triple-junction GaInP 2/GaAs/Ge solar cells, prepared by this method, were studied to understand how chemical properties and material defects can effect the performance of photovoltaic devices. Finally, novel methods for the low-temperature, solution growth of CdS, CdSe, and CuInSe2 photovoltaic materials were targeted which will reduce manufacturing costs and increase the economic feasibility of solar energy conversion. A series of dialkyldithiocarbamate copper, gallium and indium compounds were studied as possible metal sulfide MOCVD precursors. Metal powders were oxidized by dialkylthiurams in 3- or 4-methylpyridine using standard techniques for handling air and moisture-sensitive compounds. Metal chlorides reacted directly with the sodium dialkyldithiocarbamate salts. In these complexes, the metal was found in a roughly octahedral orientation, surrounded by dithiocarbamate ligands and/or solvent molecules. Triple-junction GaInP2/GaAs/Ge cells were composed of thin-films of GaInP2 and GaAs grown monolithically on top of a germanium substrate. Each layer of semiconductor material had a different bandgap and absorbed a different portion of the solar spectrum, thus improving the overall efficiency of the cell. Work focused on dark current-voltage behavior which is known to limit solar cell open-circuit voltage, fill factor, and conversion efficiency. Cells were studied using microscopic and spectroscopic techniques to correlate the effect of physical defects in the materials with poor performance of the devices as evaluated through current vs. voltage measurements. Films of US and CdSe were readily prepared in solution through an "ion-by-ion" deposition of Cd2+ and S2- (or Se 2-) generated from the slow hydrolysis of thiourea (or dimethylthiourea). The bath
Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xu, AX; Khatri, N; Liu, YH
BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 Kmore » to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.« less
Chemical vapor deposition of sialon
Landingham, Richard L.; Casey, Alton W.
1982-01-01
A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.
Chemical vapor deposition of sialon
Landingham, R.L.; Casey, A.W.
A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cipro, R.; Gorbenko, V.; Univ. Grenoble Alpes, F-38000, France CEA-LETI, MINATEC Campus, F-38054 Grenoble
2014-06-30
Metal organic chemical vapor deposition of GaAs, InGaAs, and AlGaAs on nominal 300 mm Si(100) at temperatures below 550 °C was studied using the selective aspect ratio trapping method. We clearly show that growing directly GaAs on a flat Si surface in a SiO{sub 2} cavity with an aspect ratio as low as 1.3 is efficient to completely annihilate the anti-phase boundary domains. InGaAs quantum wells were grown on a GaAs buffer and exhibit room temperature micro-photoluminescence. Cathodoluminescence reveals the presence of dark spots which could be associated with the presence of emerging dislocation in a direction parallel to the cavity. Themore » InGaAs layers obtained with no antiphase boundaries are perfect candidates for being integrated as channels in n-type metal oxide semiconductor field effect transistor (MOSFET), while the low temperatures used allow the co-integration of p-type MOSFET.« less
NASA Astrophysics Data System (ADS)
Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.
2018-06-01
We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.
Fermi Level Control of Point Defects During Growth of Mg-Doped GaN
NASA Astrophysics Data System (ADS)
Bryan, Zachary; Hoffmann, Marc; Tweedie, James; Kirste, Ronny; Callsen, Gordon; Bryan, Isaac; Rice, Anthony; Bobea, Milena; Mita, Seiji; Xie, Jinqiao; Sitar, Zlatko; Collazo, Ramón
2013-05-01
In this study, Fermi level control of point defects during metalorganic chemical vapor deposition (MOCVD) of Mg-doped GaN has been demonstrated by above-bandgap illumination. Resistivity and photoluminescence (PL) measurements are used to investigate the Mg dopant activation of samples with Mg concentration of 2 × 1019 cm-3 grown with and without exposure to ultraviolet (UV) illumination. Samples grown under UV illumination have five orders of magnitude lower resistivity values compared with typical unannealed GaN:Mg samples. The PL spectra of samples grown with UV exposure are similar to the spectra of those grown without UV exposure that were subsequently annealed, indicating a different incorporation of compensating defects during growth. Based on PL and resistivity measurements we show that Fermi level control of point defects during growth of III-nitrides is feasible.
Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom
2014-10-10
We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.
NASA Technical Reports Server (NTRS)
Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John
1992-01-01
High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.
Wavelength control of vertical cavity surface-emitting lasers by using nonplanar MOCVD
NASA Astrophysics Data System (ADS)
Koyama, F.; Mukaihara, T.; Hayashi, Y.; Ohnoki, N.; Hatori, N.; Iga, K.
1995-01-01
We present a novel approach of on-wafer wavelength control for vertical cavity surface-emitting lasers (VCSEL's) using nonplanar metalorganic chemical vapor deposition. The resonant wavelength of 980 nm VCSEL's grown on a patterned substrate can be controlled in the wavelength range over 45 nm by changing the size of circular patterns. A multi-wavelength VCSEL linear array was fabricated by using this technique. The proposed method will be useful for multi-wavelength VCSEL arrays as well as for the cancellation of wavelength nonuniformity over a wafer.
Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.
Kumar, Mukesh; Kumar, Vikram; Singh, R
2017-12-01
Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.
Vaporization of a mixed precursors in chemical vapor deposition for YBCO films
NASA Technical Reports Server (NTRS)
Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises
1995-01-01
Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.
The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)
NASA Technical Reports Server (NTRS)
Novak, Howard L.
2003-01-01
This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.
Vapor deposition in basaltic stalactites, Kilauea, Hawaii
NASA Astrophysics Data System (ADS)
Baird, A. K.; Mohrig, D. C.; Welday, E. E.
Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.
Diagnostic Techniques Used to Study Chemical-Vapor-Deposited Diamond Films
NASA Technical Reports Server (NTRS)
Miyoshi, Kazuhisa
2000-01-01
The advantages and utility of chemical-vapor-deposited (CVD) diamond as an industrial ceramic can only be realized if the price and quality are right. Until recently, this technology was of interest only to the academic and basic research community. However, interest has grown because of advances made by leading CVD diamond suppliers: 1) Reduction of the cost of CVD polycrystalline diamond deposition below $5/carat ($8/sq cm); 2) Installation of production capacity; 3) Epitaxial growth of CVD single-crystal diamond. Thus, CVD diamond applications and business are an industrial reality. At present, CVD diamond is produced in the form of coatings or wafers. CVD diamond film technology offers a broader technological potential than do natural and high-pressure synthetic diamonds because size, geometry, and eventually cost will not be as limiting. Now that they are cost effective, diamond coatings - with their extreme properties - can be used in a variety of applications. Diamond coatings can improve many of the surface properties of engineering substrate materials, including erosion, corrosion, and wear resistance. Examples of actual and potential applications, from microelectromechanical systems to the wear parts of diamond coatings and related superhard coatings are described. For example, diamond coatings can be used as a chemical and mechanical barrier for the space shuttles check valves, particularly on the guide pins and seat assemblies.
Lee, Hyung-Ik; Park, Jong-Bong; Xianyu, Wenxu; Kim, Kihong; Chung, Jae Gwan; Kyoung, Yong Koo; Byun, Sunjung; Yang, Woo Young; Park, Yong Young; Kim, Seong Min; Cho, Eunae; Shin, Jai Kwang
2017-10-26
We report on the degradation process by water vapor of hydrogenated amorphous silicon oxynitride (SiON:H) films deposited by plasma-enhanced chemical vapor deposition at low temperature. The stability of the films was investigated as a function of the oxygen content and deposition temperature. Degradation by defects such as pinholes was not observed with transmission electron microscopy. However, we observed that SiON:H film degrades by reacting with water vapor through only interstitial paths and nano-defects. To monitor the degradation process, the atomic composition, mass density, and fully oxidized thickness were measured by using high-resolution Rutherford backscattering spectroscopy and X-ray reflectometry. The film rapidly degraded above an oxygen composition of ~27 at%, below a deposition temperature of ~150 °C, and below an mass density of ~2.15 g/cm 3 . This trend can be explained by the extents of porosity and percolation channel based on the ring model of the network structure. In the case of a high oxygen composition or low temperature, the SiON:H film becomes more porous because the film consists of network channels of rings with a low energy barrier.
NASA Astrophysics Data System (ADS)
Chandrakala, C.; Sravanthi, P.; Raj Bharath, S.; Arockiasamy, S.; George Johnson, M.; Nagaraja, K. S.; Jeyaraj, B.
2017-02-01
A novel binuclear zinc schiff's base complex bis[(pentylnitrilomethylidine)(pentylnitrilomethylidine-μ-phenalato)]dizinc(II) (hereafter referred as ZSP) was prepared and used as a precursor for the deposition of ZnO thin film by MOCVD. The dynamic TG run of ZSP showed sufficient volatility and good thermal stability. The temperature dependence of vapour pressure measured by transpiration technique yielded a value of 55.8 ± 2.3 kJ mol-1 for the enthalpy of sublimation (ΔH°sub) in the temperature range of 423-503 K. The crystal structure of ZSP was solved by single crystal XRD which exhibits triclinic crystal system with the space group of Pī. The molecular mass of ZSP was determined by mass spectrometry which yielded the m/z value of 891 and 445 Da corresponding to its dimeric as well as monomeric form. The complex ZSP was further characterized by FT-IR and NMR. The demonstration of ZnO thin film deposition was carried out by using plasma assisted MOCVD. The thin film XRD confirmed the highly oriented (002) ZnO thin films on Si(100) substrate. The uniformity and composition of the thin film were analyzed by SEM/EDX. The band gap of ZnO thin film measurement indicated the blue shift with the value of 3.79 eV.
Fabrication of solid oxide fuel cell by electrochemical vapor deposition
Riley, B.; Szreders, B.E.
1988-04-26
In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.
Fabrication of solid oxide fuel cell by electrochemical vapor deposition
Brian, Riley; Szreders, Bernard E.
1989-01-01
In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.
NASA Astrophysics Data System (ADS)
Alekseev, N. I.
2018-05-01
The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.
Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang
2018-01-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality. PMID:29515883
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch
2015-09-28
Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less
NASA Astrophysics Data System (ADS)
Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun
2015-09-01
Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.
NASA Astrophysics Data System (ADS)
Baker, M. A.; Kench, P. J.; Tsotsos, C.; Gibson, P. N.; Leyland, A.; Matthews, A.
2005-05-01
This article presents results on CrCuN nanocomposite coatings grown by physical vapor deposition. The immiscibility of Cr (containing a supersaturation of nitrogen) and Cu offers the potential of depositing a predominantly metallic (and therefore tough) nanocomposite, composed of small Cr(N) metallic and/or β-Cr2N ceramic grains interdispersed in a (minority) Cu matrix. A range of CrCuN compositions have been deposited using a hot-filament enhanced unbalanced magnetron sputtering system. The stoichiometry and nanostructure have been studied by x-ray photoelectron spectroscopy, transmission electron microscopy, scanning electron microscopy, and x-ray diffraction. Hardness, wear resistance, and impact resistance have been determined by nanoindentation, reciprocating-sliding, and ball-on-plate high-cycle impact. Evolution of the nanostructure as a function of composition and correlations of the nanostructure and mechanical properties of the CrCuN coatings are discussed. A nanostructure comprised of 1-3 nm α-Cr(N) and β-Cr2N grains separated by intergranular regions of Cu gives rise to a coating with significantly enhanced resistance to impact wear.
Tran, Duc Trung; Thieffry, Guillemette; Jacob, Matthieu; Batiot-Dupeyrat, Catherine; Teychene, Benoit
2015-01-01
In this study, carbon nanotubes (CNTs) were successfully grown on tubular ceramic membranes using the catalytic chemical vapor deposition (CCVD) method. CNTs were synthesized at 650°C for 3-6 h under a 120 mL min(-1) flow of C2H6 on ceramic membranes impregnated with iron salt. The synthesis procedure was beforehand optimized in terms of catalyst amount, impregnation duration and reaction temperature, using small pieces of tubular ceramic membranes. The yield, size and structure of the CNTs produced were characterized using thermogravimetric analysis and microscopic imaging techniques. Afterwards, preliminary filtration tests with alginate and phenol were performed on two modified tubular membranes. The results indicate that the addition of CNTs on the membrane material increased the permeability of ceramic membrane and its ability to reject alginate and adsorb phenol, yet decreased its fouling resistance.
Chemical vapor deposition of group IIIB metals
Erbil, Ahmet
1989-01-01
Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.
Chemical vapor deposition of group IIIB metals
Erbil, A.
1989-11-21
Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.
Homojunction GaAs solar cells grown by close space vapor transport
DOE Office of Scientific and Technical Information (OSTI.GOV)
Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.
2014-06-08
We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less
Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong
2014-01-01
Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n+-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm2 at the reverse bias of −1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface. PMID:25205042
Zhang, Kexiong; Liang, Hongwei; Liu, Yang; Shen, Rensheng; Guo, Wenping; Wang, Dongsheng; Xia, Xiaochuan; Tao, Pengcheng; Yang, Chao; Luo, Yingmin; Du, Guotong
2014-09-10
Low Al-composition p-GaN/Mg-doped Al0.25Ga0.75N/n(+)-GaN polarization-induced backward tunneling junction (PIBTJ) was grown by metal-organic chemical vapor deposition on sapphire substrate. A self-consistent solution of Poisson-Schrödinger equations combined with polarization-induced theory was used to model PIBTJ structure, energy band diagrams and free carrier concentrations distribution. The PIBTJ displays reliable and reproducible backward tunneling with a current density of 3 A/cm(2) at the reverse bias of -1 V. The absence of negative differential resistance behavior of PIBTJ at forward bias can mainly be attributed to the hole compensation centers, including C, H and O impurities, accumulated at the p-GaN/Mg-doped AlGaN heterointerface.
Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization
O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA
2012-01-24
A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.
Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization
O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA
2010-07-13
A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.
NASA Technical Reports Server (NTRS)
Miller, Teresa Y.; He, Xiao-Min; Carter, Daniel C.
1992-01-01
Crystals of human serum albumin have been successfully grown in a variety of gels using crystallization conditions otherwise equivalent to those utilized in the popular hanging-drop vapor-equilibrium method. Preliminary comparisons of gel grown crystals with crystals grown by the vapor diffusion method via both ground-based and microgravity methods indicate that crystals superior in size and quality may be grown by limiting solutal convection. Preliminary X-ray diffraction statistics are presented.
Water-Assisted Vapor Deposition of PEDOT Thin Film.
Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K
2015-07-01
The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Demonstration of a Dual-Band Mid-Wavelength HgCdTe Detector Operating at Room Temperature
NASA Astrophysics Data System (ADS)
Martyniuk, P.; Madejczyk, P.; Gawron, W.; Rutkowski, J.
2018-03-01
In this paper, the performance of sequential dual-band mid-wavelength N+-n-p-p-P+-p-p-n-n+ back-to-back HgCdTe photodiode grown by metal-organic chemical vapor deposition (MOCVD) operating at room temperature is presented. The details of the MOCVD growth procedure are given. The influence of p-type separating-barrier layer on dark current, photocurrent and response time was analyzed. Detectivity without immersion D * higher than 1 × 108 cmHz1/2/W was estimated for λ Peak = 3.2 μm and 4.2 μm, respectively. A response time of τ s ˜ 1 ns could be reached in both MW1 and MW2 ranges for the optimal P+ barrier Cd composition at the range 0.38-0.42, and extra series resistance related to the processing R Series equal to 500 Ω.
Uncooled middle wavelength infrared photoconductors based on (111) and (100) oriented HgCdTe
NASA Astrophysics Data System (ADS)
Madejczyk, Paweł; Kębłowski, Artur; Gawron, Waldemar; Martyniuk, Piotr; Kopytko, Małgorzata; Stępień, Dawid; Rutkowski, Jarosław; Piotrowski, Józef; Piotrowski, Adam; Rogalski, Antoni
2017-09-01
We present progress in metal organic chemical vapor deposition (MOCVD) growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool for the fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping, and without post grown ex-situ annealing. Surface morphology, residual background concentration, and acceptor doping efficiency are compared in (111) and (100) oriented HgCdTe epilayers. At elevated temperatures, the carrier lifetime in measured p-type photoresistors is determined by Auger 7 process with about one order of magnitude difference between theoretical and experimental values. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for medium wavelength infrared photoconductors operated in high-operating temperature conditions.
NASA Astrophysics Data System (ADS)
Tu, Wenbin; Chen, Zimin; Zhuo, Yi; Li, Zeqi; Ma, Xuejin; Wang, Gang
2018-05-01
Ultraviolet (UV)-transparent indium tin oxide (ITO) grown by metal–organic chemical vapor deposition (MOCVD) is used as the current-spreading layer for 368 nm AlGaN-based light-emitting diodes (LEDs). By performing in situ contact treatment on the LED/ITO interface, the morphology, resistivity, and contact resistance of electrodes become controllable. Resistivity of 2.64 × 10‑4 Ω cm and transmittance at 368 nm of 95.9% are realized for an ITO thin film grown with Sn-purge in situ treatment. Therefore, the high-power operating voltage decreases from 3.94 V (without treatment) to 3.83 V (with treatment). The improved performance is attributed to the lowering of the tunneling barrier at the LED/ITO interface.
Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition
Lackey, Jr., Walter J.; Caputo, Anthony J.
1986-01-01
A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.
Imparting passivity to vapor deposited magnesium alloys
NASA Astrophysics Data System (ADS)
Wolfe, Ryan C.
Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning
Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.
Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik
2015-07-13
The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.
Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol
NASA Astrophysics Data System (ADS)
Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.
2017-11-01
We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.
X-ray diffraction study of A- plane non-polar InN epilayer grown by MOCVD
NASA Astrophysics Data System (ADS)
Moret, Matthieu; Briot, Olivier; Gil, Bernard
2015-03-01
Strong polarisation-induced electric fields in C-plane oriented nitrides semiconductor layers reduce the performance of devices. Eliminating the polarization fields can be achieved by growing nitrides along non polar direction. We have grown non polar A-plane oriented InN on R-plane (1‾102) nitridated sapphire substrate by MOCVD. We have studied the structural anisotropy observed in these layers by analyzing High Resolution XRay Diffraction rocking curve (RC) experiments as a function of the in-plane beam orientation. A-plane InN epilayer have a unique epitaxial relationship on R-Plane sapphire and show a strong structural anisotropy. Full width at half maximum (FWHM) of the InN(11‾20) XRD RC values are contained between 44 and 81 Arcmin. FWHM is smaller when the diffraction occurs along the [0001] and the largest FWHM values, of the (11‾20) RC, are obtained when the diffraction occurs along the [1‾100] in-plane direction. Atomic Force Microscopy imaging revealed morphologies with well organized crystallites. The grains are structured along a unique crystallographic orientation of InN, leading to larger domains in this direction. This structural anisotropy can be, in first approximation, attributed to the difference in the domain sizes observed. XRD reciprocal space mappings (RSM) were performed in asymmetrical configuration on (13‾40) and (2‾202) diffraction plane. RSM are measured with a beam orientation corresponding to a maximal and a minimal width of the (11‾20) Rocking curves, respectively. A simple theoretical model is exposed to interpret the RSM. We concluded that the dominant contribution to the anisotropy is due to the scattering coherence length anisotropy present in our samples.
Simulation of clustering and anisotropy due to Co step-edge segregation in vapor-deposited CoPt3
NASA Astrophysics Data System (ADS)
Maranville, B. B.; Schuerman, M.; Hellman, F.
2006-03-01
An atomistic mechanism is proposed for the creation of structural anisotropy and consequent large perpendicular magnetic anisotropy in vapor-deposited films of CoPt3 . Energetic considerations of bonding in Co-Pt suggest that Co segregates to step edges due to their low coordination, for all film orientations, while Pt segregates to the two low index surfaces. Coalescence of islands during growth cause these Co-rich step edges to become flat thin Co platelets in a Pt rich matrix, giving rise to the experimentally observed magnetic anisotropy. This proposed model is tested with kinetic Monte Carlo simulation of the vapor deposition growth. A tight-binding, second-moment approximation to the interatomic potential is used to calculate the probability of an atom hopping from one surface site to another, assuming an Arrhenius-like activation model of surface motion. Growth is simulated by allowing many hopping events per adatom. The simulated as-grown films show an asymmetry in Co-Co bonding between the in-plane and out-of-plane directions, in good agreement with experimental data. The growth temperature dependence found in the simulations is strong and similar to that seen in experiments, and an increase in Co edge segregation with increasing temperature is also observed.
Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film
NASA Astrophysics Data System (ADS)
Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi
1993-10-01
The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.
Low temperature junction growth using hot-wire chemical vapor deposition
Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa
2014-02-04
A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.
Chemical Vapor Deposition of Multispectral Domes
1975-04-01
optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR
Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
Liu, David K.
1992-01-01
Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.
Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
Liu, D.K.
1992-12-15
Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.
Chen, S Y; Chang, L W; Peng, C W; Miao, H Y; Lue, Juh-Tzeng
2005-11-01
A solo carbon nanotube (CNT) was successfully grown on nickel electrodes by a microwave plasma enhanced chemical vapor deposition (MPECVD) method equipped with an impedance-matched substrate holder with the reaction gases composed of hydrogen (H2), carbon dioxide (CO2), and methane (CH4) mixtures. An introduction of carbon dioxide gas before CNTs growth, the substrate temperature can easily be reached above 610 degrees C even heated at a low microwave power. This can be enunciated from fact that carbon dioxide inherits with higher bond energy for molecular dissociation, lower thermal conductivity, and higher heat capacity in comparing to other gases. The electron field emissions for randomly aligned CNTs and well-aligned CNTs grown by MPECVD and by radio frequency assisted hot-filament methods, respectively, are measured and compared. The higher field emission characteristic of the randomly aligned CNTs is presumed to be due to the protruded CNTs, which inheriting with less screening effect and manifesting with defects are crucial to play the effective emission sites.
Directed Vapor Deposition: Low Vacuum Materials Processing Technology
2000-01-01
constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource
Chemical Vapor Deposition of Aluminum Oxide Thin Films
ERIC Educational Resources Information Center
Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.
2010-01-01
Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…
NASA Astrophysics Data System (ADS)
Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui
2018-04-01
The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.
NASA Technical Reports Server (NTRS)
Cardelino, Carlos
1999-01-01
A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.
NASA Astrophysics Data System (ADS)
Zhang, Kexiong; Liang, Hongwei; Shen, Rensheng; Wang, Dongsheng; Tao, Pengcheng; Liu, Yang; Xia, Xiaochuan; Luo, Yingmin; Du, Guotong
2014-02-01
Negative differential resistance (NDR) behavior was observed in low Al-composition p-GaN/Mg-doped-Al0.15Ga0.85N/n+-GaN hetero-junction grown by metal-organic chemical vapor deposition on sapphire substrate. The energy band and free carrier concentration of hetero-junction were studied by the model of the self-consistent solution of Schrödinger-Poisson equations combined with polarization engineering theory. At the forward bias of 0.95 V, the NDR effect has a high peak-to-valley current ratio of ˜9 with a peak current of 22.4 mA (˜current density of 11.4 A/cm2). An interesting phenomenon of NDR disappearance after consecutive scans and recurrence after electrical treatment was observed, which was associated with Poole-Frenkel effect.
Henley, R.W.; Berger, B.R.
2011-01-01
Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays
Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael
2013-01-01
Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.
Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition
NASA Astrophysics Data System (ADS)
Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.
1997-09-01
Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.
NASA Astrophysics Data System (ADS)
Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.
2015-09-01
The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor
Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen
2018-06-12
Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.
NASA Astrophysics Data System (ADS)
Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki
1998-01-01
Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.
NASA Astrophysics Data System (ADS)
Duc, Tran Thien; Pozina, Galia; Amano, Hiroshi; Monemar, Bo; Janzén, Erik; Hemmingsson, Carl
2016-07-01
Deep levels in Mg-doped GaN grown by metal organic chemical vapor deposition (MOCVD), undoped GaN grown by MOCVD, and halide vapor phase epitaxy (HVPE)-grown GaN have been studied using deep level transient spectroscopy and minority charge carrier transient spectroscopy on Schottky diodes. One hole trap, labeled HT1, was detected in the Mg-doped sample. It is observed that the hole emission rate of the trap is enhanced by increasing electric field. By fitting four different theoretical models for field-assisted carrier emission processes, the three-dimensional Coulombic Poole-Frenkel (PF) effect, three-dimensional square well PF effect, phonon-assisted tunneling, and one-dimensional Coulombic PF effect including phonon-assisted tunneling, it is found that the one-dimensional Coulombic PF model, including phonon-assisted tunneling, is consistent with the experimental data. Since the trap exhibits the PF effect, we suggest it is acceptorlike. From the theoretical model, the zero field ionization energy of the trap and an estimate of the hole capture cross section have been determined. Depending on whether the charge state is -1 or -2 after hole emission, the zero field activation energy Ei 0 is 0.57 eV or 0.60 eV, respectively, and the hole capture cross section σp is 1.3 ×10-15c m2 or 1.6 ×10-16c m2 , respectively. Since the level was not observed in undoped GaN, it is suggested that the trap is associated with an Mg related defect.
NASA Astrophysics Data System (ADS)
Osowski, Mark Louis
With the arrival of advanced growth technologies such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), research in III-V compound semiconductor photonic devices has flourished. Advances in fabrication processes have allowed the realization of high-performance quantum well lasers which emit over a wide spectral range and operate with low threshold currents. As a result, semiconductor lasers are presently employed in a wide variety of applications, including fiber-optic telecommunications, optical spectroscopy, solid-state laser pumping, and photonic integrated circuits. The work in this dissertation addresses three photonic device structures which are currently receiving a great deal of attention in the research community: integrable quantum well laser devices, distributed feedback (DFB) laser devices, and quantum wire arrays. For the realization of the integrable and integrated photonic devices described-in Chapter 2, a three-step selective-area growth technique was utilized. The selective epitaxy process was used to produce discrete buried-heterostructure Fabry Perot lasers with threshold currents as low as 2.6 mA. Based on this process, broad- spectrum edge-emitting superluminescent diodes are demonstrated which display spectral widths of over 80 nm. In addition, the monolithic integration of a multiwavelength emitter is demonstrated in which two distinct laser sources are coupled into a single output waveguide. The dissertation also describes the development of a single-growth-step ridge waveguide DFB laser. The DFB laser utilizes an asymmetric cladding waveguide structure to enhance the interaction of the optical mode with the titanium surface metal to promote single frequency emission via gain coupling. These lasers exhibit low threshold currents (11 mA), high side mode suppression ratios (50 dB), and narrow linewidths (45 kHz). In light of the substantial performance advantages of quantum well lasers relative to double
Chemical vapor deposition of fluorine-doped zinc oxide
Gordon, Roy G.; Kramer, Keith; Liang, Haifan
2000-06-06
Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Walters, Diane M; Antony, Lucas; de Pablo, Juan
High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less
Precursor dependent nucleation and growth of ruthenium films during chemical vapor deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu
2016-07-15
Nucleation and film growth characteristics are reported during chemical vapor deposition of Ru on SiO{sub 2} using triruthenium dodecacarbonyl [Ru{sub 3}(CO){sub 12}] and ruthenium bis(di-t-butylacetamidinate) dicarbonyl [Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2}]. Films grown from Ru{sub 3}(CO){sub 12} follow the three dimensional (3D) Volmer–Weber growth mode. In contrast, films grown from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} follow the pseudo-layer-by-layer growth mode with two dimensional wetting layer islands forming before 3D particle growth is observed on the islands. A relationship between free isolated hydroxyl [(Si-OH){sub i}] group density and Ru nucleation density is found for Ru{sub 3}(CO){sub 12} and is associated with (Si-OH){sub i}more » acting as the reaction sites for activation of Ru{sub 3}(CO){sub 12} and in turn generating an adjustable adatom concentration. Carbon monoxide and ammonia addition to the gas phase during film growth from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} lead to smoother films by inducing surface reconstructions during the 3D phase of pseudo-layer-by-layer growth; these gases also lead to films with lower resistivity and lower crystalline character.« less
NASA Technical Reports Server (NTRS)
Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.
1986-01-01
A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.
NASA Astrophysics Data System (ADS)
Arehart, A. R.; Sasikumar, A.; Rajan, S.; Via, G. D.; Poling, B.; Winningham, B.; Heller, E. R.; Brown, D.; Pei, Y.; Recht, F.; Mishra, U. K.; Ringel, S. A.
2013-02-01
This paper reports direct evidence for trap-related RF output power loss in GaN high electron mobility transistors (HEMTs) grown by metal organic chemical vapor deposition (MOCVD) through increased concentration of a specific electron trap at EC-0.57 eV that is located in the drain access region, as a function of accelerated life testing (ALT). The trap is detected by constant drain current deep level transient spectroscopy (CID-DLTS) and the CID-DLTS thermal emission time constant precisely matches the measured drain lag. Both drain lag and CID-DLTS measurements show this state to already exist in pre-stressed devices, which coupled with its strong increase in concentration as a function of stress in the absence of significant increases in concentrations of other detected traps, imply its role in causing degradation, in particular knee walkout. This study reveals EC-0.57 eV trap concentration tracks degradation induced by ALT for MOCVD-grown HEMTs supplied by several commercial and university sources. The results suggest this defect has a common source and may be a key degradation pathway in AlGaN/GaN HEMTs and/or an indicator to predict device lifetime.
Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers
NASA Astrophysics Data System (ADS)
Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir
2013-08-01
We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.
NASA Technical Reports Server (NTRS)
Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises
1993-01-01
A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.
Preventing kinetic roughening in physical vapor-phase-deposited films.
Vasco, E; Polop, C; Sacedón, J L
2008-01-11
The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.
Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu
Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less
Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase
NASA Astrophysics Data System (ADS)
von Niessen, Konstantin; Gindrat, Malko
2011-06-01
Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion
NASA Astrophysics Data System (ADS)
Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin
2017-09-01
We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.
Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng
2012-04-01
Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.
Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon
2013-10-01
The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.
The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures
NASA Technical Reports Server (NTRS)
Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)
1995-01-01
Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kratzer, Markus, E-mail: markus.kratzer@unileoben.ac.at; Teichert, Christian; Bayer, Bernhard C.
Scalably grown and transferred graphene is a highly promising material for organic electronic applications, but controlled interfacing of graphene thereby remains a key challenge. Here, we study the growth characteristics of the important organic semiconductor molecule para-hexaphenyl (6P) on chemical vapor deposited graphene that has been transferred with polymethylmethacrylate (PMMA) onto oxidized Si wafer supports. A particular focus is on the influence of PMMA residual contamination, which we systematically reduce by H{sub 2} annealing prior to 6P deposition. We find that 6P grows in a flat-lying needle-type morphology, surprisingly independent of the level of PMMA residue and of graphene defects.more » Wrinkles in the graphene typically act as preferential nucleation centers. Residual PMMA does however limit the length of the resulting 6P needles by restricting molecular diffusion/attachment. We discuss the implications for organic device fabrication, with particular regard to contamination and defect tolerance.« less
Experimental verification of vapor deposition rate theory in high velocity burner rigs
NASA Technical Reports Server (NTRS)
Gokoglu, Suleyman A.; Santoro, Gilbert J.
1985-01-01
The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.
Ballistic transport in graphene grown by chemical vapor deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Calado, V. E.; Goswami, S.; Xu, Q.
2014-01-13
In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.
The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.
Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei
2018-06-08
In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.
NASA Astrophysics Data System (ADS)
Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.
Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.
Chemical vapor deposition of W-Si-N and W-B-N
Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude
1999-01-01
A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.
Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D
2007-10-01
Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.
NASA Astrophysics Data System (ADS)
Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.
2009-07-01
Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.
Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films
NASA Astrophysics Data System (ADS)
Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe
2018-05-01
GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.
FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)
NASA Astrophysics Data System (ADS)
Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun
2016-12-01
Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.
NASA Technical Reports Server (NTRS)
Connolly, J. C.; Alphonse, G. A.; Carlin, D. B.; Ettenberg, M.
1991-01-01
The operating characteristics (power-current, beam divergence, etc.) and reliability assessment of high-power CSP lasers is discussed. The emission wavelength of these lasers was optimized at 860 to 880 nm. The operational characteristics of a new laser, the inverse channel substrate planar (ICSP) laser, grown by metalorganic chemical vapor deposition (MOCVD), is discussed and the reliability assessment of this laser is reported. The highlights of this study include a reduction in the threshold current value for the laser to 15 mA and a degradation rate of less than 2 kW/hr for the lasers operating at 60 mW of peak output power.
NASA Astrophysics Data System (ADS)
Yang, J.; Liu, S. T.; Wang, X. W.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Zhu, J. J.; Liu, Z. S.; Liang, F.; Liu, W.; Zhang, L. Q.; Yang, H.; Wang, W. J.; Li, M.
2018-01-01
InGaN samples are grown using metalorganic chemical vapor deposition (MOCVD) and the dependences of structural and luminescence properties of InGaN layers on growth temperature are studied. It is found that the luminescence properties of InGaN layer are improved by increasing growth temperature properly. However, when the growth temperature of InGaN layer is too higher (740 °C in our work), a large amount of unintentionally incorporated gallium atoms enter into InGaN, and a spiral growth mode dominates in this case. It results in an inferior crystalline and interface quality, and ultimately degrades the luminescence of InGaN.
Chemical vapor deposition growth
NASA Technical Reports Server (NTRS)
Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.
1976-01-01
The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.
NASA Astrophysics Data System (ADS)
Lai, Kun-Yu
Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.
Gaalas/Gaas Solar Cell Process Study
NASA Technical Reports Server (NTRS)
Almgren, D. W.; Csigi, K. I.
1980-01-01
Available information on liquid phase, vapor phase (including chemical vapor deposition) and molecular beam epitaxy growth procedures that could be used to fabricate single crystal, heteroface, (AlGa) As/GaAs solar cells, for space applications is summarized. A comparison of the basic cost elements of the epitaxy growth processes shows that the current infinite melt LPE process has the lower cost per cell for an annual production rate of 10,000 cells. The metal organic chemical vapor deposition (MO-CVD) process has the potential for low cost production of solar cells but there is currently a significant uncertainty in process yield, i.e., the fraction of active material in the input gas stream that ends up in the cell. Additional work is needed to optimize and document the process parameters for the MO-CVD process.
NASA Astrophysics Data System (ADS)
Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.
2018-04-01
Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.
Chemical vapor deposition of W-Si-N and W-B-N
Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.
1999-06-29
A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.
NASA Astrophysics Data System (ADS)
Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng
2017-07-01
Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.
Han, Gang Hee; Rodríguez-Manzo, Julio A.; Lee, Chan-Woo; Kybert, Nicholas J.; Lerner, Mitchell B.; Qi, Zhengqing John; Dattoli, Eric N.; Rappe, Andrew M.; Drndic, Marija; Charlie Johnson, A. T.
2013-01-01
Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to microscopy of nano-domains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric. PMID:24182310
Han, Gang Hee; Rodríguez-Manzo, Julio A; Lee, Chan-Woo; Kybert, Nicholas J; Lerner, Mitchell B; Qi, Zhengqing John; Dattoli, Eric N; Rappe, Andrew M; Drndic, Marija; Johnson, A T Charlie
2013-11-26
Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to the microscopy of nanodomains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric.
n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.
Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef
2011-12-01
In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.
Photoluminescence of vapor and solution grown ZnTe single crystals
NASA Astrophysics Data System (ADS)
Biao, Y.; Azoulay, M.; George, M. A.; Burger, A.; Collins, W. E.; Silberman, E.; Su, C.-H.; Volz, M. E.; Szofran, F. R.; Gillies, D. C.
1994-04-01
ZnTe single crystals grown by horizontal physical vapor transport (PVT) and by vertical traveling heater method (THM) from a Te solution were characterized by photoluminescence (PL) at 10.6 K and by atomic force microscopy (AFM). Copper was identified by PL as a major impurity existing in both crystals, forming a substitutional acceptor, Cu Zn. The THM ZnTe crystals were found to contain more Cu impurity than the PVT ZnTe crystals. The formation of Cu Zn-V Te complexes and the effects of annealing, oxygen contamination and intentional Cu doping were also studied. Finally, the surface morphology analyzed by AFM was correlated to the PL results.
NASA Technical Reports Server (NTRS)
Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)
1997-01-01
The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.
NASA Astrophysics Data System (ADS)
Zhao, Hongyang; Cai, Kang; Ma, Zhibin; Cheng, Zhenxiang; Jia, Tingting; Kimura, Hideo; Fu, Qiuming; Tao, Hong; Xiong, Liwei
2018-02-01
A method to synthesize molybdenum carbides has been developed based on microwave plasma treatment with methane and hydrogen mixed gases, using a microwave-plasma chemical vapor deposition device. The device framework and its mechanism are described in detail. Two-dimensional α-Mo2C has been directly synthesized by a plate-to-plate substrate holder structure with a microwave power of 920 W and a partial pressure of 20 kPa. In-situ optical emission spectroscopy was used to measure the radical types in the plasma ball during glow discharge. The as-grown α-Mo2C samples were characterized by X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy to determine their phases, purity and chemical groups. The superconducting transition temperature was measured, and the transition temperatures of the relevant phases are discussed in detail. The results confirmed that this method is an efficient way to obtain molybdenum carbides and inspire new research interest in transition metal carbides, which have many intrinsic local properties and applications.
Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition
NASA Astrophysics Data System (ADS)
Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.
2012-06-01
Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.
MOCVD growth and study of thin films of indium nitride
NASA Astrophysics Data System (ADS)
Jain, Abhishek
This thesis is focused on a study of MOCVD growth of InN with the goal of providing new information on the effects of growth conditions and buffer/substrate materials on InN film properties. Initial studies, using both (111) Si and (0001) sapphire substrates, identified an optimum growth temperature window of 540--560°C for the formation of stable InN films. When attempting to grow InN films on sapphire with thicknesses greater than approximately 150 nanometers using an AlN buffer layer, the InN films were observed to delaminate from the buffer/substrate at growth temperature. The combined effect of compressive stress due to high lattice mismatch between InN and AlN (˜14%) and tensile stress due to grain coalescence along with the relatively weak bond strength of InN compared to GaN and AlN, is believed to cause the InN film to crack along the interface and delaminate. To further investigate the effect of the buffer layer on InN growth, studies were carried out using GaN films grown on sapphire as the growth template. Recent MBE results had indicated a significant difference in the thermal stability and growth mode of In-polar and N-polar InN, with improved properties reported for N-polar material grown on N-polar GaN. MOCVD growth of N-polar GaN is very difficult; consequently, all of the results reported in the literature for InN growth on GaN were likely carried out on Ga-polar material resulting in films with a high surface roughness. By utilizing N-polar and Ga-polar GaN films, it was possible to produce N-polar and In-polar InN films by MOCVD, as determined by convergent beam electron diffraction (CBED) analysis. Furthermore, the polarity was found to dramatically alter the surface roughness and growth mode of the InN films with enhanced lateral growth and reduced surface roughness obtained for N-polar InN. A qualitative model was proposed to explain the different growth mechanisms observed for In-polar and N-polar InN. In spite of the improvements in
The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon
NASA Astrophysics Data System (ADS)
Hapke, Bruce W.
1998-01-01
Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe
Highly patterned growth of SnO2 nanowires using a sub-atmospheric vapor-liquid-solid deposition
NASA Astrophysics Data System (ADS)
Akbari, M.; Mohajerzadeh, S.
2017-08-01
We report the realization of tin-oxide nanowires on patterned structures using a vapor-liquid-solid (VLS) process. While gold acts as the catalyst for the growth of wires, a tin-oxide containing sol-gel solution is spin coated on silicon substrate to act as the source for SnO vapor. The growth of tin-oxide nano-structures occurs mostly at the vicinity of the pre-deposited solution. By patterning the gold as the catalyst material, one is able to observe the growth at desired places. The growth of nanowires is highly dense within 100 µm away from such in situ source and their length is of the order of 5 µm. By further distancing from the source, the growth becomes more limited and nanowires become shorter and more sparsely distributed. The growth of nanowires has been studied using scanning and transmission electron microscopy tools while their composition has been investigated using XRD and EDS analyses. As a novel application, we have employed the grown nanowires as electron detection elements to measure the emitted electrons from electron sources. This configuration can be further used as electron detectors for scanning electron microscopes.
Continuous growth of single-wall carbon nanotubes using chemical vapor deposition
Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO
2008-10-07
The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.
Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System
DOE Office of Scientific and Technical Information (OSTI.GOV)
Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.
2014-02-01
Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less
Continuous growth of single-wall carbon nanotubes using chemical vapor deposition
Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J
2014-09-23
The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.
Nessim, Gilbert D
2010-08-01
Carbon nanotubes (CNTs) have been extensively investigated in the last decade because their superior properties could benefit many applications. However, CNTs have not yet made a major leap into industry, especially for electronic devices, because of fabrication challenges. This review provides an overview of state-of-the-art of CNT synthesis techniques and illustrates their major technical difficulties. It also charts possible in situ analyses and new reactor designs that might enable commercialization. After a brief description of the CNT properties and of the various techniques used to synthesize substrate-free CNTs, the bulk of this review analyzes chemical vapor deposition (CVD). This technique receives special attention since it allows CNTs to be grown in predefined locations, provides a certain degree of control of the types of CNTs grown, and may have the highest chance to succeed commercially. Understanding the primary growth mechanisms at play during CVD is critical for controlling the properties of the CNTs grown and remains the major hurdle to overcome. Various factors that influence CNT growth receive a special focus: choice of catalyst and substrate materials, source gases, and process parameters. This review illustrates important considerations for in situ characterization and new reactor designs that may enable researchers to better understand the physical growth mechanisms and to optimize the synthesis of CNTs, thus contributing to make carbon nanotubes a manufacturing reality.
NASA Astrophysics Data System (ADS)
Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing
2018-05-01
Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.
NASA Astrophysics Data System (ADS)
Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu
This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.
NASA Astrophysics Data System (ADS)
Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Valdes-Galán, Sergio; Li, Xiaohang
2018-04-01
In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.
Differential AC chip calorimeter for in situ investigation of vapor deposited thin films
NASA Astrophysics Data System (ADS)
Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie
2012-02-01
Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw; Chen, Yen-Jen; Wang, Bo-Yan
2016-03-21
Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp,more » derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.« less
ZnSe Window Layers for GaAs and GaInP2 Solar Cells
NASA Technical Reports Server (NTRS)
Olsen, Larry C.
1997-01-01
This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.
Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications
2000-06-01
coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics
Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide
NASA Technical Reports Server (NTRS)
Opila, Elizabeth J.; Nguyen, QuynhGiao N.
1998-01-01
Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.
NASA Astrophysics Data System (ADS)
Moon, Kiwon; Lee, Eui Su; Lee, Il-Min; Park, Dong Woo; Park, Kyung Hyun
2018-01-01
Time-domain and frequency-domain terahertz (THz) spectroscopy systems often use materials fabricated with exotic and expensive methods that intentionally introduce defects to meet short carrier lifetime requirements. In this study, we demonstrate the development of a nano-photomixer that meets response speed requirements without using defect-incorporated, low-temperature-grown (LTG) semiconductors. Instead, we utilized a thin InGaAs layer grown on a semi-insulating InP substrate by metal-organic chemical vapor deposition (MOCVD) combined with nano-electrodes to manipulate local ultrafast photo-carrier dynamics via a carefully designed field-enhancement and plasmon effect. The developed nano-structured photomixer can detect continuous-wave THz radiation up to a frequency of 2 THz with a peak carrier collection efficiency of 5%, which is approximately 10 times better than the reference efficiency of 0.4%. The better efficiency results from the high carrier mobility of the MOCVD-grown InGaAs thin layer with the coincidence of near-field and plasmon-field distributions in the nano-structure. Our result not only provides a generally applicable methodology for manipulating ultrafast carrier dynamics by means of nano-photonic techniques to break the trade-off relation between the carrier lifetime and mobility in typical LTG semiconductors but also contributes to mass-producible photo-conductive THz detectors to facilitate the widespread application of THz technology.
The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates
NASA Astrophysics Data System (ADS)
Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.
2013-09-01
The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.
High rate chemical vapor deposition of carbon films using fluorinated gases
Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.
1993-01-01
A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.
NASA Astrophysics Data System (ADS)
Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui
2018-06-01
ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.
Growth of diamond by RF plasma-assisted chemical vapor deposition
NASA Technical Reports Server (NTRS)
Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.
1988-01-01
A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.
Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy
Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...
2016-10-19
Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less
Chemical vapor deposition growth
NASA Technical Reports Server (NTRS)
Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.
1978-01-01
The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.
NASA Astrophysics Data System (ADS)
Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.
2018-05-01
ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.
Texture related unusual phenomena in electrodeposition and vapor deposition
NASA Astrophysics Data System (ADS)
Lee, D. N.; Han, H. N.
2015-04-01
The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.
TiOx thin films grown on Pd(100) and Pd(111) by chemical vapor deposition
NASA Astrophysics Data System (ADS)
Farstad, M. H.; Ragazzon, D.; Grönbeck, H.; Strømsheim, M. D.; Stavrakas, C.; Gustafson, J.; Sandell, A.; Borg, A.
2016-07-01
The growth of ultrathin TiOx (0≤x≤2) films on Pd(100) and Pd(111) surfaces by chemical vapor deposition (CVD), using Titanium(IV)isopropoxide (TTIP) as precursor, has been investigated by high resolution photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Three different TiOx phases and one Pd-Ti alloy phase have been identified for both surfaces. The Pd-Ti alloy phase is observed at the initial stages of film growth. Density functional theory (DFT) calculations for Pd(100) and Pd(111) suggest that Ti is alloyed into the second layer of the substrate. Increasing the TTIP dose yields a wetting layer comprising Ti2 + species (TiOx, x ∼0.75). On Pd(100), this phase exhibits a mixture of structures with (3 × 5) and (4 × 5) periodicity with respect to the Pd(100) substrate, while an incommensurate structure is formed on Pd(111). Most importantly, on both surfaces this phase consists of a zigzag pattern similar to observations on other reactive metal surfaces. Further increase in coverage results in growth of a fully oxidized (TiO2) phase on top of the partially oxidized layer. Preliminary investigations indicate that the fully oxidized phase on both Pd(100) and Pd(111) may be the TiO2(B) phase.
NASA Technical Reports Server (NTRS)
Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.
2017-01-01
Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.
Electrical properties of MIS devices on CdZnTe/HgCdTe
NASA Astrophysics Data System (ADS)
Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee
1998-10-01
In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.
Measurement of second order susceptibilities of GaN and AlGaN
NASA Astrophysics Data System (ADS)
Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.
2005-03-01
Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.
Chemical vapor deposition modeling: An assessment of current status
NASA Technical Reports Server (NTRS)
Gokoglu, Suleyman A.
1991-01-01
The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.
Zhang, Feng; Ikeda, Masao; Zhang, Shu-Ming; Liu, Jian-Ping; Tian, Ai-Qin; Wen, Peng-Yan; Cheng, Yang; Yang, Hui
2016-12-01
The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW) structures grown on sapphire substrate by metal-organic chemical vapor deposition are investigated in this paper. The indium composition in the quantum wells varies from 14.8 to 26.5% for different samples. The photoluminescence wavelengths are calculated theoretically by fully considering the related effects and compared with the measured wavelengths. It is found that when the indium content is lower than 17.3%, the measured wavelengths agree well with the theoretical values. However, when the indium content is higher than 17.3%, the measured ones are much shorter than the calculation results. This discrepancy is attributed to the reduced polarization field in the MQWs. For the MQWs with lower indium content, 100% theoretical polarization can be maintained, while, when the indium content is higher, the polarization field decreases significantly. The polarization field can be weakened down to 23% of the theoretical value when the indium content is 26.5%. Strain relaxation is excluded as the origin of the polarization reduction because there is no sign of lattice relaxation in the structures, judging by the X-ray diffraction reciprocal space mapping. The possible causes of the polarization reduction are discussed.
Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism
Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...
2014-01-01
Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.
NASA Astrophysics Data System (ADS)
Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih
2017-01-01
We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, Mengdi, E-mail: M.Yang@utwente.nl; Aarnink, Antonius A. I.; Kovalgin, Alexey Y.
2016-01-15
In this work, the authors developed hot-wire assisted atomic layer deposition (HWALD) to deposit tungsten (W) with a tungsten filament heated up to 1700–2000 °C. Atomic hydrogen (at-H) was generated by dissociation of molecular hydrogen (H{sub 2}), which reacted with WF{sub 6} at the substrate to deposit W. The growth behavior was monitored in real time by an in situ spectroscopic ellipsometer. In this work, the authors compare samples with tungsten grown by either HWALD or chemical vapor deposition (CVD) in terms of growth kinetics and properties. For CVD, the samples were made in a mixture of WF{sub 6} and molecularmore » or atomic hydrogen. Resistivity of the WF{sub 6}-H{sub 2} CVD layers was 20 μΩ·cm, whereas for the WF{sub 6}-at-H-CVD layers, it was 28 μΩ·cm. Interestingly, the resistivity was as high as 100 μΩ·cm for the HWALD films, although the tungsten films were 99% pure according to x-ray photoelectron spectroscopy. X-ray diffraction reveals that the HWALD W was crystallized as β-W, whereas both CVD films were in the α-W phase.« less
High-gain AlGaAs/GaAs double heterojunction Darlington phototransistors for optical neural networks
NASA Technical Reports Server (NTRS)
Kim, Jae H. (Inventor); Lin, Steven H. (Inventor)
1991-01-01
High-gain MOCVD-grown (metal-organic chemical vapor deposition) AlGaAs/GaAs/AlGaAs n-p-n double heterojunction bipolar transistors (DHBTs) and Darlington phototransistor pairs are provided for use in optical neural networks and other optoelectronic integrated circuit applications. The reduced base doping level used results in effective blockage of Zn out-diffusion, enabling a current gain of 500, higher than most previously reported values for Zn-diffused-base DHBTs. Darlington phototransitor pairs of this material can achieve a current gain of over 6000, which satisfies the gain requirement for optical neural network designs, which advantageously may employ neurons comprising the Darlington phototransistor pairs in series with a light source.