Sample records for vapor deposition precursor

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  3. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  4. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  5. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  6. Influence of Water on Chemical Vapor Deposition of Ni and Co thin films from ethanol solutions of acetylacetonate precursors

    PubMed Central

    Weiss, Theodor; Zielasek, Volkmar; Bäumer, Marcus

    2015-01-01

    In chemical vapor deposition experiments with pulsed spray evaporation (PSE-CVD) of liquid solutions of Ni and Co acetylacetonate in ethanol as precursors, the influence of water in the feedstock on the composition and growth kinetics of deposited Ni and Co metal films was systematically studied. Varying the water concentration in the precursor solutions, beneficial as well as detrimental effects of water on the metal film growth, strongly depending on the concentration of water and the β-diketonate in the precursor, were identified. For 2.5 mM Ni(acac)2 precursor solutions, addition of 0.5 vol% water improves growth of a metallic Ni film and reduces carbon contamination, while addition of 1.0 vol% water and more leads to significant oxidation of deposited Ni. By tuning the concentration of both, Ni(acac)2 and water in the precursor solution, the fraction of Ni metal and Ni oxide in the film or the film morphology can be adjusted. In the case of Co(acac)2, even smallest amounts of water promote complete oxidation of the deposited film. All deposited films were analyzed with respect to chemical composition quasi in situ by XPS, their morphology was evaluated after deposition by SEM. PMID:26658547

  7. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch

    2015-09-28

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less

  8. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun

    2015-09-01

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.

  9. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  10. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  11. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  12. Enhancement of photoluminescence intensity of GaAs with cubic GaS chemical vapor deposited using a structurally designed single-source precursor

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster /(t-Bu)GaS/4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  13. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  14. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  15. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  16. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C.; Angélidès, L.

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor ablemore » to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.« less

  17. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    NASA Astrophysics Data System (ADS)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  18. Low-Temperature Molecular Layer Deposition Using Monofunctional Aromatic Precursors and Ozone-Based Ring-Opening Reactions.

    PubMed

    Svärd, Laura; Putkonen, Matti; Kenttä, Eija; Sajavaara, Timo; Krahl, Fabian; Karppinen, Maarit; Van de Kerckhove, Kevin; Detavernier, Christophe; Simell, Pekka

    2017-09-26

    Molecular layer deposition (MLD) is an increasingly used deposition technique for producing thin coatings consisting of purely organic or hybrid inorganic-organic materials. When organic materials are prepared, low deposition temperatures are often required to avoid decomposition, thus causing problems with low vapor pressure precursors. Monofunctional compounds have higher vapor pressures than traditional bi- or trifunctional MLD precursors, but do not offer the required functional groups for continuing the MLD growth in subsequent deposition cycles. In this study, we have used high vapor pressure monofunctional aromatic precursors in combination with ozone-triggered ring-opening reactions to achieve sustained sequential growth. MLD depositions were carried out by using three different aromatic precursors in an ABC sequence, namely with TMA + phenol + O 3 , TMA + 3-(trifluoromethyl)phenol + O 3 , and TMA + 2-fluoro-4-(trifluoromethyl)benzaldehyde + O 3 . Furthermore, the effect of hydrogen peroxide as a fourth step was evaluated for all studied processes resulting in a four-precursor ABCD sequence. According to the characterization results by ellipsometry, infrared spectroscopy, and X-ray reflectivity, self-limiting MLD processes could be obtained between 75 and 150 °C with each of the three aromatic precursors. In all cases, the GPC (growth per cycle) decreased with increasing temperature. In situ infrared spectroscopy indicated that ring-opening reactions occurred in each ABC sequence. Compositional analysis using time-of-flight elastic recoil detection indicated that fluorine could be incorporated into the film when 3-(trifluoromethyl)phenol and 2-fluoro-4-(trifluoromethyl)benzaldehyde were used as precursors.

  19. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  20. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  1. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  2. Comparison of precursor infiltration into polymer thin films via atomic layer deposition and sequential vapor infiltration using in-situ quartz crystal microgravimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    Previous research exploring inorganic materials nucleation behavior on polymers via atomic layer deposition indicates the formation of hybrid organic–inorganic materials that form within the subsurface of the polymer. This has inspired adaptations to the process, such as sequential vapor infiltration, which enhances the diffusion of organometallic precursors into the subsurface of the polymer to promote the formation of a hybrid organic–inorganic coating. This work highlights the fundamental difference in mass uptake behavior between atomic layer deposition and sequential vapor infiltration using in-situ methods. In particular, in-situ quartz crystal microgravimetry is used to compare the mass uptake behavior of trimethyl aluminummore » in poly(butylene terephthalate) and polyamide-6 polymer thin films. The importance of trimethyl aluminum diffusion into the polymer subsurface and the subsequent chemical reactions with polymer functional groups are discussed.« less

  3. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  4. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  5. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  6. Vapor pressure of germanium precursors

    NASA Astrophysics Data System (ADS)

    Pangrác, J.; Fulem, M.; Hulicius, E.; Melichar, K.; Šimeček, T.; Růžička, K.; Morávek, P.; Růžička, V.; Rushworth, S. A.

    2008-11-01

    The vapor pressure of two germanium precursors tetrakis(methoxy)germanium (Ge(OCH 3) 4, CASRN 992-91-6) and tetrakis(ethoxy)germanium (Ge(OC 2H 5) 4, CASRN 14165-55-0) was determined using a static method in the temperature range 259-303 K. The experimental vapor pressure data were fit with the Antoine equation. The mass spectra before and after degassing by vacuum distillation at low temperature are also reported and discussed.

  7. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  8. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  9. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  10. Modeling precursor diffusion and reaction of atomic layer deposition in porous structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Keuter, Thomas, E-mail: t.keuter@fz-juelich.de; Menzler, Norbert Heribert; Mauer, Georg

    2015-01-01

    Atomic layer deposition (ALD) is a technique for depositing thin films of materials with a precise thickness control and uniformity using the self-limitation of the underlying reactions. Usually, it is difficult to predict the result of the ALD process for given external parameters, e.g., the precursor exposure time or the size of the precursor molecules. Therefore, a deeper insight into ALD by modeling the process is needed to improve process control and to achieve more economical coatings. In this paper, a detailed, microscopic approach based on the model developed by Yanguas-Gil and Elam is presented and additionally compared with themore » experiment. Precursor diffusion and second-order reaction kinetics are combined to identify the influence of the porous substrate's microstructural parameters and the influence of precursor properties on the coating. The thickness of the deposited film is calculated for different depths inside the porous structure in relation to the precursor exposure time, the precursor vapor pressure, and other parameters. Good agreement with experimental results was obtained for ALD zirconiumdioxide (ZrO{sub 2}) films using the precursors tetrakis(ethylmethylamido)zirconium and O{sub 2}. The derivation can be adjusted to describe other features of ALD processes, e.g., precursor and reactive site losses, different growth modes, pore size reduction, and surface diffusion.« less

  11. Electron-Beam-Induced Deposition as a Technique for Analysis of Precursor Molecule Diffusion Barriers and Prefactors.

    PubMed

    Cullen, Jared; Lobo, Charlene J; Ford, Michael J; Toth, Milos

    2015-09-30

    Electron-beam-induced deposition (EBID) is a direct-write chemical vapor deposition technique in which an electron beam is used for precursor dissociation. Here we show that Arrhenius analysis of the deposition rates of nanostructures grown by EBID can be used to deduce the diffusion energies and corresponding preexponential factors of EBID precursor molecules. We explain the limitations of this approach, define growth conditions needed to minimize errors, and explain why the errors increase systematically as EBID parameters diverge from ideal growth conditions. Under suitable deposition conditions, EBID can be used as a localized technique for analysis of adsorption barriers and prefactors.

  12. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  13. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  14. Silicon deposition in nanopores using a liquid precursor.

    PubMed

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-22

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  15. Silicon deposition in nanopores using a liquid precursor

    NASA Astrophysics Data System (ADS)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  16. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  17. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  18. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  19. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  20. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  1. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  2. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  3. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  4. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  5. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  6. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE PAGES

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael; ...

    2018-03-14

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  7. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  8. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  9. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  10. Synthesis and Characterization of Tin(IV) Oxide Obtained by Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Nagirnyak, Svitlana V.; Lutz, Victoriya A.; Dontsova, Tatiana A.; Astrelin, Igor M.

    2016-07-01

    The effect of precursors on the characteristics of tin oxide obtained by chemical vapor deposition (CVD) method was investigated. The synthesis of nanosized tin(IV) oxide was carried out with the use of two different precursors: tin(II) oxalate obtained using tin chloride(II) and oxalic acid; tin(II) oxalate obtained using tin chloride(II); and ammonium oxalate. The synthesized tin(IV) oxide samples were studied by electron microscopy, X-ray diffraction and optical spectra. The lattice parameters of tin(IV) oxide samples were defined, the bandgap of samples were calculated.

  11. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  12. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  13. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  14. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  15. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  16. Structural, electrical and optical properties of indium tin oxide thin film grown by metal organic chemical vapor deposition with tetramethyltin-precursor

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang

    2018-01-01

    Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.

  17. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  18. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  19. Low-Cost III-V Photovoltaic Materials by Chloride Vapor Transport Deposition Using Safe Solid Precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Aloni, Shaul; Weiss, Robert

    Si-based photovoltaic devices dominate the market. As photovoltaic (PV) manufacturing costs have plummeted, technologies which increase efficiency have become critical. Si cell efficiencies are nearing theoretical limits and Si-based PV modules are unlikely to reach the 25-30% efficiency range. The use of III-V semiconductors is an obvious technical solution to improve efficiency, especially if they can be integrated directly with existing Si technology as tandems. High coefficients of light absorption along with tunable bandgaps and lattice constants have resulted in record conversion efficiencies for both one-sun and concentrator PV applications. GaAs, for example, has been used to manufacture single-junction photovoltaicsmore » with world-record efficiencies of 28.8% at one sun.2 However, costs for III-Vs must be dramatically reduced to produce cost-effective, high-efficiency PV solutions. III-V costs are controlled by two factors: semiconductor growth and the substrate. III-V growth is dominated today by metal-organic vapor phase epitaxy (MOVPE) with a lesser role played by molecular beam epitaxy (MBE). MOVPE costs are high due to the expense and low utilization (~30%) of precursors, modest growth rates (~100 nm min-1), equipment complexity, and safety infrastructure needed to handle toxic, pyrophoric gases.3 MBE costs are high due to slow growth rates and limitations of scalability. Details comparing plausible low-cost III-V growth methods are available in a review article published as a result of this project. The primary goal of this project was to demonstrate that close-spaced vapor transport (CSVT) using chloride (from HCl) as a transport agent can be used for the rapid growth of device-ready III-V layers from safe, solid-source precursors. In pursuit of this goal, we designed, built, and installed a new Cl-CSVT reactor based on insights from our previous H2O-CSVT growth system and in collaboration with equipment professionals at Malachite Technologies

  20. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  1. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  2. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  3. Thermal Stability of Jet Fuels: Kinetics of Forming Deposit Precursors

    NASA Technical Reports Server (NTRS)

    Naegeli, David W.

    1997-01-01

    The focus of this study was on the autoxidation kinetics of deposit precursor formation in jet fuels. The objectives were: (1) to demonstrate that laser-induced fluorescence is a viable kinetic tool for measuring rates of deposit precursor formation in jet fuels; (2) to determine global rate expressions for the formation of thermal deposit precursors in jet fuels; and (3) to better understand the chemical mechanism of thermal stability. The fuels were isothermally stressed in small glass ampules in the 120 to 180 C range. Concentrations of deposit precursor, hydroperoxide and oxygen consumption were measured over time in the thermally stressed fuels. Deposit precursors were measured using laser-induced fluorescence (LIF), hydroperoxides using a spectrophotometric technique, and oxygen consumption by the pressure loss in the ampule. The expressions, I.P. = 1.278 x 10(exp -11)exp(28,517.9/RT) and R(sub dp) = 2.382 x 10(exp 17)exp(-34,369.2/RT) for the induction period, I.P. and rate of deposit precursor formation R(sub dp), were determined for Jet A fuel. The results of the study support a new theory of deposit formation in jet fuels, which suggest that acid catalyzed ionic reactions compete with free radical reactions to form deposit precursors. The results indicate that deposit precursors form only when aromatics are present in the fuel. Traces of sulfur reduce the rate of autoxidation but increase the yield of deposit precursor. Free radical chemistry is responsible for hydroperoxide formation and the oxidation of sulfur compounds to sulfonic acids. Phenols are then formed by the acid catalyzed decomposition of benzylic hydroperoxides, and deposit precursors are produced by the reaction of phenols with aldehydes, which forms a polymer similar to Bakelite. Deposit precursors appear to have a phenolic resin-like structure because the LIF spectra of the deposit precursors were similar to that of phenolic resin dissolved in TAM.

  4. A Review of Single Source Precursors for the Deposition of Ternary Chalcopyrite Materials

    NASA Technical Reports Server (NTRS)

    Banger, K. K.; Cowen, J.; Harris, J.; McClarnon, R.; Hehemann, D. G.; Duraj, S. A.; Scheiman, D.; Hepp, A. F.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified durable substrates (i.e. Kapton) provides an attractive solution to fabricating solar arrays with high specific power, (W/kg). The syntheses and thermal modulation of ternary single source precursors, based on the [{LR}2Cu(SR')2In(SR')2] architecture in good yields are described. Thermogravimetric analyses (TGA) and Low temperature Differential Scanning Caloriometry, (DSC) demonstrate that controlled manipulation of the steric and electronic properties of either the group five-donor and/or chalcogenide moiety permits directed adjustment of the thermal stability and physical properties of the precursors. TGA-Evolved Gas Analysis, confirms that single precursors decompose by the initial extrusion of the sulphide moiety, followed by the loss of the neutral donor group, (L) to release the ternary chalcopyrite matrix. X-ray diffraction studies, EDS and SEM on the non-volatile pyrolized material demonstrate that these derivatives afford single-phase CuInS2/CuInSe2 materials at low temperature. Thin-film fabrication studies demonstrate that these single source precursors can be used in a spray chemical vapor deposition process, for depositing CuInS2 onto flexible polymer substrates at temperatures less than 400 C.

  5. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  6. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  7. Metalorganic chemical vapor deposition and characterization of ZnO materials

    NASA Astrophysics Data System (ADS)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  8. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  9. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  10. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  11. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  12. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  13. Chemical vapor deposition of mesoporous graphene nanoballs for supercapacitor.

    PubMed

    Lee, Jung-Soo; Kim, Sun-I; Yoon, Jong-Chul; Jang, Ji-Hyun

    2013-07-23

    A mass-producible mesoporous graphene nanoball (MGB) was fabricated via a precursor-assisted chemical vapor deposition (CVD) technique for supercapacitor application. Polystyrene balls and reduced iron created under high temperature and a hydrogen gas environment provide a solid carbon source and a catalyst for graphene growth during the precursor-assisted CVD process, respectively. Carboxylic acid and sulfonic acid functionalization of the polystyrene ball facilitates homogeneous dispersion of the hydrophobic polymer template in the metal precursor solution, thus, resulting in a MGB with a uniform number of graphene layers. The MGB is shown to have a specific surface area of 508 m(2)/g and is mesoporous with a mean mesopore diameter of 4.27 nm. Mesopores are generated by the removal of agglomerated iron domains, permeating down through the soft polystyrene spheres and providing the surface for subsequent graphene growth during the heating process in a hydrogen environment. This technique requires only drop-casting of the precursor/polystyrene solution, allowing for mass-production of multilayer MGBs. The supercapacitor fabricated by the use of the MGB as an electrode demonstrates a specific capacitance of 206 F/g and more than 96% retention of capacitance after 10,000 cycles. The outstanding characteristics of the MGB as an electrode for supercapacitors verify the strong potential for use in energy-related areas.

  14. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    NASA Astrophysics Data System (ADS)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  15. Chemical vapor deposition of yttria-stabilized zirconia as a thermal barrier coating for gas turbine engines

    NASA Astrophysics Data System (ADS)

    Varanasi, Venu Gopal

    The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004

  16. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  17. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    PubMed

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  18. Metal-organic chemical vapor deposition of cerium oxide, gallium-indium-oxide, and magnesium oxide thin films: Precursor design, film growth, and film characterization

    NASA Astrophysics Data System (ADS)

    Edleman, Nikki Lynn

    A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism

  19. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  20. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    PubMed

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  1. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  2. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  3. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  4. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    NASA Astrophysics Data System (ADS)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  5. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  6. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  7. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  8. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  9. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  10. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  11. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  12. Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor

    NASA Astrophysics Data System (ADS)

    Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan

    2018-05-01

    Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.

  13. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  14. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  15. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  16. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  17. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  18. Nanoscale arrays of antimony telluride single crystals by selective chemical vapor deposition

    PubMed Central

    Huang, Ruomeng; Benjamin, Sophie L.; Gurnani, Chitra; Wang, Yudong; Hector, Andrew L.; Levason, William; Reid, Gillian; De Groot, C. H. (Kees)

    2016-01-01

    Arrays of individual single nanocrystals of Sb2Te3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor. Crystals are self-assembled reproducibly in confined spaces of 100 nm diameter with pitch down to 500 nm. The distribution of crystallite sizes across the arrays is very narrow (standard deviation of 15%) and is affected by both the hole diameter and the array pitch. The preferred growth of the crystals in the <1 1 0> orientation along the diagonal of the square holes strongly indicates that the diffusion of adatoms results in a near thermodynamic equilibrium growth mechanism of the nuclei. A clear relationship between electrical resistivity and selectivity is established across a range of metal selenides and tellurides, showing that conductive materials result in more selective growth and suggesting that electron donation is of critical importance for selective deposition. PMID:27283116

  19. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  20. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  1. New precursors and chemistry for the growth of transition metal films by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Knisley, Thomas Joseph

    The advancing complexity of advanced microelectronic devices is placing rigorous demands on currently used PVD and CVD deposition techniques. The ALD deposition method is proposed to meet the film thickness and conformality constraints needed by the semiconductor industry in future manufacturing processes. Unfortunately, there is a limited number of chemical precursors available that have high thermal stability, reactivity, and vapor pressure suitable for ALD film growth to occur. These properties collectively contribute to the lack of suitable transition metal precursors available for use in ALD. In this thesis, we report the discovery of a series of novel transition metal diazadienate precursors that promising properties deemed suitable for ALD. The volatility and thermal stability of the new transition metal diazadienyl compounds were studied by preparative sublimation and capillary tube melting point/decomposition experiments. Thermogravimetric analyses (TGA) demonstrate precursor residues of less than 4% at 500 °C. In addition, sublimation data, melting points, and decomposition temperatures for all complexes are presented. The manganese diazadienyl complex has the highest decomposition temperature of the series of complexes produced (325 °C). During preparative sublimations, the product recoveries of all transition metal diazadienyl complexes were greater than 92.0% with nonvolatile residues of less than 7.0%. This is an excellent indication that these complexes may be suitable candidates as metal precursors for ALD. Nickel nitride (NixN) films have been studied as an intermediate material for the formation of both nickel metal and nickel silicide using chemical vapor deposition. Herein, we describe the ALD growth of nickel nitride thin films from bis(1,4-di-tert-butyl-1,3-diazabutadiene) nickel(II) (Ni(tBu2DAD)2) and 1,1-dimethylhydrazine. An ALD window for the deposition of nickel nitride films on 500 nm thermal SiO2 substrates was observed between 225

  2. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  3. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  4. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  5. Porous tungsten prepared by atmospheric-pressure chemical vapor deposition with WF6 and its characterization

    NASA Astrophysics Data System (ADS)

    Li, Ying; Yu, Xiaodong; Tan, Chengwen; Wang, Fuchi; Ma, Honglei; Yue, Jintao

    2017-05-01

    Porous tungsten (W) is used in aeronautic and aerospace engineering, power electronics field and metallurgical industry. In this study, porous W with 98wt% W was prepared on a carbon foam substrate by atmospheric-pressure chemical vapor deposition (CVD) with tungsten fluoride (WF6) as the precursor. The porous W with 78.1346% porosity displayed a pure α-W phase and the uniform surface. The mode pore diameter of porous W is 208.0 µm. In a compression test, the fracture strength of porous W is 20.3 MPa.

  6. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  7. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  8. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  9. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  10. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ringleb, F.; Eylers, K.; Teubner, Th.

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Basedmore » on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.« less

  11. Liquid precursor for deposition of indium selenide and method of preparing the same

    DOEpatents

    Curtis, Calvin J.; Miedaner, Alexander; van Hest, Marinus Franciscus Antonius Maria; Ginley, David S.; Hersh, Peter A.; Eldada, Louay; Stanbery, Billy J.

    2015-09-22

    Liquid precursors containing indium and selenium suitable for deposition on a substrate to form thin films suitable for semiconductor applications are disclosed. Methods of preparing such liquid precursors and method of depositing a liquid precursor on a substrate are also disclosed.

  12. Liquid precursor for deposition of copper selenide and method of preparing the same

    DOEpatents

    Curtis, Calvin J.; Miedaner, Alexander; Franciscus Antonius Maria Van Hest, Marinus; Ginley, David S.; Hersh, Peter A.; Eldada, Louay; Stanbery, Billy J.

    2015-09-08

    Liquid precursors containing copper and selenium suitable for deposition on a substrate to form thin films suitable for semiconductor applications are disclosed. Methods of preparing such liquid precursors and methods of depositing a precursor on a substrate are also disclosed.

  13. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  14. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  15. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  16. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  17. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  18. Hybrid gas-to-particle conversion and chemical vapor deposition for production of high-surface area films

    NASA Astrophysics Data System (ADS)

    Nguyen, Quynh Tan

    A hybrid process, based upon gas-to-particle conversion and chemical vapor deposition, is presented as an alternative technique for producing porous films with the main advantages of solvent-free, low-substrate temperature operation. Starting from solid precursors, nanoparticles were produced in the vapor phase. Downstream of this reaction zone, these nanoparticles were collected via thermophoresis onto a cooled substrate forming a porous film. Initially, alumina (Al2O3) films were produced. Later, multi-component processing was explored by incorporating platinum (Pt) nanoparticles into the Al2O3 matrix leading to the production of Pt/Al 2O3 films by two routes: simultaneous precursor injection processing or by a layer-by-layer approach. In single component processing, the formation of nanoparticle aggregates was evident within the amorphous Al2O3 films. Aggregates, composed of these particles, are likely held together by relatively weak van der Waals forces leading to the observed poor physical cohesion. In multi-component processing, reasonable control of composition and distribution of species is possible with Pt nanoparticles appearing to be co-agglomerated with alumina. Deposited crystalline Pt nanoparticles may encourage the crystallization of the amorphous Al2O3. Finally, from chemisorption results, the produced sample appears to have potentially greater catalytic activity than a commercially available standard. A model is in development to study nanoparticle interactions with a gas and deposition occurring in stagnation flow onto the cooled horizontal substrate within the tubular reactor. Using velocity and temperature fields generated from numerical solutions to the Navier-Stokes and energy equations, particle trajectories were calculated from the summation of drag, gravitational, thermophoretic, and Brownian forces. In rectangular coordinates, cooling stage width to reactor diameter ratio, deposition stage temperature, and initial velocity were the

  19. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  20. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    PubMed

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  1. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  2. On precursor self-organization upon the microwave vacuum-plasma deposition of submonolayer carbon coatings on silicon (100) crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yafarov, R. K., E-mail: pirpc@yandex.ru

    Scanning atomic-force and electron microscopies are used to study the self-organization kinetics of nanoscale domains upon the deposition of submonolayer carbon coatings on silicon (100) in the microwave plasma of low-pressure ethanol vapor. Model mechanisms of how silicon-carbon domains are formed are suggested. The mechanisms are based on Langmuir’s model of adsorption from the precursor state and modern concepts of modification of the equilibrium structure of the upper atomic layer in crystalline semiconductors under the influence of external action.

  3. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  4. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  5. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  6. Improved Single-Source Precursors for Solar-Cell Absorbers

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Harris, Jerry; Hepp, Aloysius

    2007-01-01

    Improved single-source precursor compounds have been invented for use in spray chemical vapor deposition (spray CVD) of chalcopyrite semiconductor absorber layers of thin-film cells. A "single-source precursor compound" is a single molecular compound that contains all the required elements, which when used under the spray CVD conditions, thermally decomposes to form CuIn(x)Ga(1-x)S(y)Se(2-y).

  7. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  8. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  9. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    NASA Astrophysics Data System (ADS)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  10. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  11. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  12. Precursor dependent nucleation and growth of ruthenium films during chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu

    2016-07-15

    Nucleation and film growth characteristics are reported during chemical vapor deposition of Ru on SiO{sub 2} using triruthenium dodecacarbonyl [Ru{sub 3}(CO){sub 12}] and ruthenium bis(di-t-butylacetamidinate) dicarbonyl [Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2}]. Films grown from Ru{sub 3}(CO){sub 12} follow the three dimensional (3D) Volmer–Weber growth mode. In contrast, films grown from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} follow the pseudo-layer-by-layer growth mode with two dimensional wetting layer islands forming before 3D particle growth is observed on the islands. A relationship between free isolated hydroxyl [(Si-OH){sub i}] group density and Ru nucleation density is found for Ru{sub 3}(CO){sub 12} and is associated with (Si-OH){sub i}more » acting as the reaction sites for activation of Ru{sub 3}(CO){sub 12} and in turn generating an adjustable adatom concentration. Carbon monoxide and ammonia addition to the gas phase during film growth from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} lead to smoother films by inducing surface reconstructions during the 3D phase of pseudo-layer-by-layer growth; these gases also lead to films with lower resistivity and lower crystalline character.« less

  13. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  14. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  15. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  16. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  17. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    PubMed

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  18. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    NASA Astrophysics Data System (ADS)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  19. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films preparedmore » by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.« less

  20. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  1. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  2. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  3. Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor

    NASA Astrophysics Data System (ADS)

    Deshpande, Anand; Inman, Ronald; Jursich, Gregory; Takoudis, Christos

    2004-09-01

    In this work thin films of hafnium oxide are deposited on Si(100) substrates by means of atomic layer deposition (ALD) using tetrakis(diethylamino)hafnium and water vapor at substrate temperatures of 250-350ºC. Our system capabilities include fast transient delivery of reactive fluids, real-time vapor phase detection (in situ tunable diode laser hygrometer), precursor thermochemical capabilities, and ppt level elemental analysis by inductive coupling plasma mass spectrometry. The composition, purity, and other properties of the films and resulting interfaces are determined using x-ray and Fourier transform infrared spectroscopies, Z-contrast imaging and electron energy loss spectroscopy in a scanning transmission electron microscope with A˚ scale resolution, and spectroscopic ellipsometry. The observed ALD rate is ~1.4 A˚ per cycle. The nonuniformity across the film is less than 4%. Negligible carbon contamination is found in the resulting stoichiometric films under all conditions studied. The pulse sequence was optimized to prevent disastrous particulate problems while still minimizing purge times. The film deposition is investigated as a function of substrate temperature and reagent pulsing characteristics. A mild inverse temperature dependence of the ALD rate is observed. The initial stage of the HfO2 growth is investigated in detail.

  4. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  5. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  6. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  7. Carbon acceptor incorporation in GaAs grown by metalorganic chemical vapor deposition: Arsine versus tertiarybutylarsine

    NASA Astrophysics Data System (ADS)

    Watkins, S. P.; Haacke, G.

    1991-10-01

    Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.

  8. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  9. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  10. Coordination polyhedron and chemical vapor deposition of Cu(hfacac)2(t-BuNH2).

    PubMed

    Woo, Kyoungja; Paek, Hojeong; Lee, Wan In

    2003-10-06

    A new pentacoordinate Cu(II) complex, Cu(hfacac)(2)(t-BuNH(2)) [hfacac = CF(3)C(O)CHC(O)CF(3)(-), t-BuNH(2) = tert-butylamine], has been synthesized and structurally characterized. Interestingly, the structure of a single crystal occurred as square pyramidal with one O atom at the apical position and one N and three O atoms at the basal positions, showing a serious degree of distortion. This contrasts with the square-pyramidal structure of Cu(hfacac)(2)L (L = H(2)O and pyrazine), which has the L ligand at the axial position. In the Cu(hfacac)(2)(t-BuNH(2)) complex, the t-BuNH(2) ligand is placed at an equatorial position with a lowered angle by 19.9(2) degrees from the basal plane. This distortion seems to reduce sigma influence and steric hindrance and so stabilizes the square-pyramidal geometry. This precursor has a lower melting point and superior stability to air, moisture, and heat than the Cu(hfacac)(2)(xH(2)O) precursor. The deposition rate of copper oxide film on a Pt layer above 450 degrees C was nearly constant with increasing temperature, indicating a mass transport limited reaction. Therefore it would be a useful metal organic chemical vapor deposition precursor for the fabrication of copper oxide film or superconducting materials. Crystal data for Cu(hfacac)(2)(t-BuNH(2)): 293(2) K, a = 9.6699(4) A, b = 18.0831(10) A, c = 12.8864(11) A, beta = 111.839(5) degrees, monoclinic, space group P2(1)/c, Z = 4.

  11. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  12. Thin Film CuInS2 Prepared by Spray Pyrolysis with Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael H.; Banger, Kulinder K.; Harris, Jerry D.; Cowen, Jonathan E.; Hepp, Aloysius F.; Lyons, Valerie (Technical Monitor)

    2002-01-01

    Both horizontal hot-wall and vertical cold-wall atmospheric chemical spray pyrolysis processes deposited near single-phase stoichiometric CuInS2 thin films. Single-source precursors developed for ternary chalcopyrite materials were used for this study, and a new liquid phase single-source precursor was tested with a vertical cold-wall reactor. The depositions were carried out under an argon atmosphere, and the substrate temperature was kept at 400 C. Columnar grain structure was obtained with vapor deposition, and the granular structure was obtained with (liquid) droplet deposition. Conductive films were deposited with planar electrical resistivities ranging from 1 to 30 Omega x cm.

  13. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  14. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  15. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  16. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  17. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  18. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  19. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  20. Fractal growth mechanism of sp3-bonded 5H-BN microcones by plasma-assisted pulsed-laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-08-01

    Here we propose a repetitive photochemical reaction and diffusion model for the fractal pattern formation of sp3-bonded 5H-BN microcones in laser-assisted plasma chemical vapor deposition, which was observed experimentally and reported previously. This model describing the behavior of the surface density of precursor species gave explanations to (1) the "line-drawing" nature of the patterns, (2) the origin of the scale-invariant self-similarity (fractality) of the pattern, and (3) the temperature-dependent uniform to fractal transition. The results have implications for controlling the self-organized arrangements of electron-emitter cones at the micro-and nanoscale by adjusting macroscopically the boundary condition (LX,LY) for the deposition, which will be very effective in improving the electron field emission properties.

  1. Alternative group V precursors for CVD applications

    NASA Astrophysics Data System (ADS)

    Lum, R. M.; Klingert, J. K.

    1991-01-01

    The chemical vapor deposition (CVD) techniques used to grow III/V semiconductors films, such as metalorganic vapor phase epitaxy (MOVPE), hydride VPE, chemical beam epitaxy (CBE) and gas source molecular beam epitaxy (GS-MBE), all use hydrides (AsH 3 and PH 3) as the Group V source. However, the hydrides are extremely toxic gases which are stored under high pressure (200-2000 psi). To reduce the safety hazards associated with these gases, alternative Group V precursors have been investigated. Organoarsenic and phosphorous compounds have received the most attention as replacements for AsH 3 and PH 3 because they are typically low vapor pressure liquids, and thus present significantly lower exposure risks than the hydrides. For AsH 3 these have included the methyl, ethyl and butyl-based derivatives RnAsH 3- n, with varying degrees ( n = 1-3) of hydrogen atom substitution. In this paper the growth properties, thermochemistry and toxicity of the various alkylarsine precursors are compared with arsine. Data are presented on the impact of the thermochemistry of these compounds on film electrical properties, and on the effects of precursor composition and purity on overall film quality. The suitability of alternative As-precursors for device applications is demonstrated, and selection criteria are presented for the most effective alkylarsine compound for a particular CVD growth process.

  2. Fluidized bed deposition of diamond

    DOEpatents

    Laia, Jr., Joseph R.; Carroll, David W.; Trkula, Mitchell; Anderson, Wallace E.; Valone, Steven M.

    1998-01-01

    A process for coating a substrate with diamond or diamond-like material including maintaining a substrate within a bed of particles capable of being fluidized, the particles having substantially uniform dimensions and the substrate characterized as having different dimensions than the bed particles, fluidizing the bed of particles, and depositing a coating of diamond or diamond-like material upon the substrate by chemical vapor deposition of a carbon-containing precursor gas mixture, the precursor gas mixture introduced into the fluidized bed under conditions resulting in excitation mechanisms sufficient to form the diamond coating.

  3. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  4. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  5. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  6. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    PubMed

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  7. Methylsilane derived silicon carbide particle coatings produced by fluid-bed chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Miller, James Henry

    This report describes the research effort that was undertaken to develop and understand processing techniques for the deposition of both low and high density SiC coatings from a non-halide precursor, in support of the Generation IV Gas-Cooled Fast Reactor (GFR) fuel development program. The research was conducted in two phases. In the first phase, the feasibility of producing both porous SiC coatings and dense SiC coatings on surrogate fuel particles by fluidized bed chemical vapor deposition (FBCVD) using gas mixtures of methylsilane and argon was demonstrated. In the second phase, a combined experimental and modeling effort was carried out in order to gain an understanding of the deposition mechanisms that result in either porous or dense SiC coatings, depending on the coating conditions. For this second phase effort, a simplified (compared to the fluid bed) single-substrate chemical vapor deposition (CVD) system was employed. Based on the experimental and modeling results, the deposition of SiC from methylsilane is controlled by the extent of gas-phase reaction, and is therefore highly sensitive to temperature. The results show that all SiC coatings are due to the surface adsorption of species that result from gas-phase reactions. The model terms these gas-borne species embryos, and while the model does not include a prediction of coating morphology, a comparison of the model and experimental results indicates that the morphology of the coatings is controlled by the nucleation and growth of the embryos. The coating that results from small embryos (embryos with only two Si-C pairs) appears relatively dense and continuous, while the coating that results from larger embryos becomes less continuous and more nodular as embryo size increases. At some point in the growth of embryos they cease to behave as molecular species and instead behave as particles that grow by either agglomeration or by incorporation of molecular species on their surface. As these particles

  8. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  9. (111)-oriented Pb(Zr ,Ti)O3 films deposited on SrRuO3/Pt electrodes: Reproducible preparation by metal organic chemical vapor deposition, top electrode influence, and reliability

    NASA Astrophysics Data System (ADS)

    Menou, Nicolas; Funakubo, Hiroshi

    2007-12-01

    (111)-textured Pb(Zr0.4Ti0.6)O3 films (thickness of ˜120nm) were deposited on (111)-oriented SrRuO3 bottom electrodes by pulse metal organic chemical vapor deposition (MOCVD). PZT single phase was evidenced over a large range of Pb precursor input rate into the MOCVD chamber. In this process window, the good control of the (111) texture of PZT films was confirmed. It is shown that the control of both the composition and orientation of PZT films leads to reproducible electric properties (Pr, Vc, resistance to fatigue) across the process window. Furthermore, the impact of the top electrode chemical nature, elaboration process, and annealing process upon the electric properties was studied systematically.

  10. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, K.C.; Kodas, T.T.

    1994-01-11

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said substrate.

  11. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  12. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  13. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  14. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  15. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    NASA Astrophysics Data System (ADS)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  16. Photochemical CVD of Ru on functionalized self-assembled monolayers from organometallic precursors

    NASA Astrophysics Data System (ADS)

    Johnson, Kelsea R.; Arevalo Rodriguez, Paul; Brewer, Christopher R.; Brannaka, Joseph A.; Shi, Zhiwei; Yang, Jing; Salazar, Bryan; McElwee-White, Lisa; Walker, Amy V.

    2017-02-01

    Chemical vapor deposition (CVD) is an attractive technique for the metallization of organic thin films because it is selective and the thickness of the deposited film can easily be controlled. However, thermal CVD processes often require high temperatures which are generally incompatible with organic films. In this paper, we perform proof-of-concept studies of photochemical CVD to metallize organic thin films. In this method, a precursor undergoes photolytic decomposition to generate thermally labile intermediates prior to adsorption on the sample. Three readily available Ru precursors, CpRu(CO)2Me, (η3-allyl)Ru(CO)3Br, and (COT)Ru(CO)3, were employed to investigate the role of precursor quantum yield, ligand chemistry, and the Ru oxidation state on the deposition. To investigate the role of the substrate chemistry on deposition, carboxylic acid-, hydroxyl-, and methyl-terminated self-assembled monolayers were used. The data indicate that moderate quantum yields for ligand loss (φ ≥ 0.4) are required for ruthenium deposition, and the deposition is wavelength dependent. Second, anionic polyhapto ligands such as cyclopentadienyl and allyl are more difficult to remove than carbonyls, halides, and alkyls. Third, in contrast to the atomic layer deposition, acid-base reactions between the precursor and the substrate are more effective for deposition than nucleophilic reactions. Finally, the data suggest that selective deposition can be achieved on organic thin films by judicious choice of precursor and functional groups present on the substrate. These studies thus provide guidelines for the rational design of new precursors specifically for selective photochemical CVD on organic substrates.

  17. Plasma enhanced chemical vapor deposition of wear resistant gradual a-Si1-x:Cx:H coatings on nickel-titanium for biomedical applications

    NASA Astrophysics Data System (ADS)

    Niermann, Benedikt; Böke, Marc; Schauer, Janine-Christina; Winter, Jörg

    2010-03-01

    Plasma enhanced chemical vapor deposition has been used to deposit thin films with gradual transitions from silicon to carbon on Cu, Ni, stainless steel, and NiTi. Thus show low stress, elasticity, and wear resistance with excellent adhesion on all metals under investigation. Already at low Si concentrations of 10 at. % the intrinsic stress is considerably reduced compared to pure diamondlike carbon (DLC) films. The deposition process is controlled by optical emission spectroscopy. This technique has been applied to monitor the growth precursors and to correlate them with the film composition. The compositions of the films were determined by Rutherford backscattering spectroscopy and XPS measurements. Due to the elastic properties of the gradual transition and the excellent biocompatibility of DLC, the described film systems present a useful coating for biomedical applications.

  18. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  19. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  20. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  1. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  2. Chemical deposition methods using supercritical fluid solutions

    DOEpatents

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  3. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Preparation and characterization of boron nitride coatings on carbon fibers from borazine by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Jun-Sheng; Zhang, Chang-Rui; Li, Bin

    2011-06-01

    Boron nitride (BN) coatings were deposited on carbon fibers by chemical vapor deposition (CVD) using borazine as single source precursor. The deposited coatings were characterized by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and Raman spectroscopy. The effect of temperatures on growth kinetics, morphology, composition and structure of the coatings was investigated. In the low temperature range of 900 °C-1000 °C, the growth rate increased with increasing temperature complying with Arrhenius law, and an apparent active energy of 72 kJ/mol was calculated. The coating surface was smooth and compact, and the coatings uniformly deposited on individual fibers of carbon fiber bundles. The growth was controlled by surface reaction. At 1000 °C, the deposition rate reached a maximum (2.5 μm/h). At the same time, the limiting step of the growth translated to be mass-transportation. Above 1100 °C, the growth rate decreased drastically due to the occurrence of gas-phase nucleation. Moreover, the coating surface became loose and rough. Composition and structure examinations revealed that stoichiometric BN coatings with turbostratic structure were obtained below 1000 °C, while hexagonal BN coatings were deposited above 1100 °C. A penetration of carbon element from the fibers to the coatings was observed.

  5. On-line coating of glass with tin oxide by atmospheric pressure chemical vapor deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allendorf, Mark D.; Sopko, J.F.; Houf, William G.

    2006-11-01

    Atmospheric pressure chemical vapor deposition (APCVD) of tin oxide is a very important manufacturing technique used in the production of low-emissivity glass. It is also the primary method used to provide wear-resistant coatings on glass containers. The complexity of these systems, which involve chemical reactions in both the gas phase and on the deposition surface, as well as complex fluid dynamics, makes process optimization and design of new coating reactors a very difficult task. In 2001 the U.S. Dept. of Energy Industrial Technologies Program Glass Industry of the Future Team funded a project to address the need for more accuratemore » data concerning the tin oxide APCVD process. This report presents a case study of on-line APCVD using organometallic precursors, which are the primary reactants used in industrial coating processes. Research staff at Sandia National Laboratories in Livermore, CA, and the PPG Industries Glass Technology Center in Pittsburgh, PA collaborated to produce this work. In this report, we describe a detailed investigation of the factors controlling the growth of tin oxide films. The report begins with a discussion of the basic elements of the deposition chemistry, including gas-phase thermochemistry of tin species and mechanisms of chemical reactions involved in the decomposition of tin precursors. These results provide the basis for experimental investigations in which tin oxide growth rates were measured as a function of all major process variables. The experiments focused on growth from monobutyltintrichloride (MBTC) since this is one of the two primary precursors used industrially. There are almost no reliable growth-rate data available for this precursor. Robust models describing the growth rate as a function of these variables are derived from modeling of these data. Finally, the results are used to conduct computational fluid dynamic simulations of both pilot- and full-scale coating reactors. As a result, general conclusions

  6. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  7. Bifunctional catalyst of graphite-encapsulated iron compound nanoparticle for magnetic carbon nanotubes growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Saraswati, Teguh Endah; Prasiwi, Oktaviana Dewi Indah; Masykur, Abu; Anwar, Miftahul

    2017-01-01

    The carbon nanotube has widely taken great attractive in carbon nanomaterial research and application. One of its preparation methods is catalytic chemical vapor deposition (CCVD) using catalyst i.e. iron, nickel, etc. Generally, except the catalyst, carbon source gasses as the precursor are still required. Here, we report the use of the bifunctional material of Fe3O4/C which has an incorporated core/shell structures of carbon-encapsulated iron compound nanoparticles. The bifunctional catalyst was prepared by submerged arc discharge that simply performed using carbon and carbon/iron oxide electrodes in ethanol 50%. The prepared material was then used as a catalyst in thermal chemical vapor deposition at 800°C flown with ethanol vapor as the primer carbon source in a low-pressure condition. This catalyst might play a dual role as a catalyst and secondary carbon source for growing carbon nanotubes at the time. The synthesized products were characterized by transmission electron microscopy (TEM) and X-ray diffraction (XRD) analysis. The successful formation of carbon nanotubes was assigned by the shifted X-ray diffracted peak of carbon C(002), the iron oxides of Fe3O4 and γ-Fe2O3, and the other peaks which were highly considered to the other carbon allotropes with sp2 hybridization structures. The other assignment was studied by electron microscopy which successfully observed the presence of single-wall carbon nanotubes. In addition, the as-prepared carbon nanotubes have a magnetic property which was induced by the remaining of metal catalyst inside the CNT.

  8. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  9. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    PubMed

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  10. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  11. Ab initio molecular dynamics of atomic-scale surface reactions: insights into metal organic chemical vapor deposition of AlN on graphene.

    PubMed

    Sangiovanni, D G; Gueorguiev, G K; Kakanakova-Georgieva, A

    2018-06-19

    Metal organic chemical vapor deposition (MOCVD) of group III nitrides on graphene heterostructures offers new opportunities for the development of flexible optoelectronic devices and for the stabilization of conceptually-new two-dimensional materials. However, the MOCVD of group III nitrides is regulated by an intricate interplay of gas-phase and surface reactions that are beyond the resolution of experimental techniques. We use density-functional ab initio molecular dynamics (AIMD) with van der Waals corrections to identify atomistic pathways and associated electronic mechanisms driving precursor/surface reactions during metal organic vapor phase epitaxy at elevated temperatures of aluminum nitride on graphene, considered here as model case study. The results presented provide plausible interpretations of atomistic and electronic processes responsible for delivery of Al, C adatoms, and C-Al, CHx, AlNH2 admolecules on pristine graphene via precursor/surface reactions. In addition, the simulations reveal C adatom permeation across defect-free graphene, as well as exchange of C monomers with graphene carbon atoms, for which we obtain rates of ∼0.3 THz at typical experimental temperatures (1500 K), and extract activation energies Eexca = 0.28 ± 0.13 eV and attempt frequencies Aexc = 2.1 (×1.7±1) THz via Arrhenius linear regression. The results demonstrate that AIMD simulations enable understanding complex precursor/surface reaction mechanisms, and thus propose AIMD to become an indispensable routine prediction-tool toward more effective exploitation of chemical precursors and better control of MOCVD processes during synthesis of functional materials.

  12. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  13. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  14. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  15. Vapors and Droplets Mixture Deposition of Metallic Coatings by Very Low Pressure Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Vautherin, B.; Planche, M.-P.; Bolot, R.; Quet, A.; Bianchi, L.; Montavon, G.

    2014-04-01

    In recent years, the very low pressure plasma-spraying (VLPPS) process has been intensely developed and implemented to manufacture thin, dense and finely structured ceramic coatings for various applications, such as Y2O3 for diffusion barriers, among other examples. This paper aims at presenting developments carried out on metallic coatings. Aluminum was chosen as a demonstrative material due to its "moderate" vaporization enthalpy (i.e., 38.23 KJ cm-3) compared to the one of copper (i.e., 55.33 KJ cm-3), cobalt (i.e., 75.03 KJ cm-3), or even tantalum (i.e., 87.18 KJ cm-3). The objective of this work is primarily to better understand the behavior of a solid precursor injected into the plasma jet leading to the formation of vapors and to better control the factors affecting the coating structure. Nearly dense aluminum coatings were successfully deposited by VLPPS at 100 Pa with an intermediate power plasma torch (i.e., Sulzer Metco F4 type gun with maximum power of 45 kW). Optical emission spectroscopy (OES) was implemented to study and analyze the vapor behavior into the plasma jet. Simplified CFD modeling allowed better understanding of some of the thermo-physical mechanisms. The effect of powder-size distribution, substrate temperature and spray distance were studied. The phase composition and microstructural features of the coatings were characterized by XRD and SEM. Moreover, Vickers microhardness measurements were implemented.

  16. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  17. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  18. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  19. Deposition on disordered substrates with precursor layer diffusion

    NASA Astrophysics Data System (ADS)

    Filipe, J. A. N.; Rodgers, G. J.; Tavassoli, Z.

    1998-09-01

    Recently we introduced a one-dimensional accelerated random sequential adsorption process as a model for chemisorption with precursor layer diffusion. In this paper we consider this deposition process on disordered or impure substrates. The problem is solved exactly on both the lattice and continuum and for various impurity distributions. The results are compared with those from the standard random sequential adsorption model.

  20. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  1. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  2. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  3. Corrosion of Highly Specular Vapor Deposited Aluminum (VDA) on Earthshade Door Sandwich Structure

    NASA Technical Reports Server (NTRS)

    Plaskon, Daniel; Hsieh, Cheng

    2003-01-01

    High-resolution infrared (IR) imaging requires spacecraft instrument design that is tightly coupled with overall thermal control design. The JPL Tropospheric Emission Spectrometer (TES) instrument measures the 3-dimensional distribution of ozone and its precursors in the lower atmosphere on a global scale. The TES earthshade must protect the 180-K radiator and the 230-K radiator from the Earth IR and albedo. Requirements for specularity, emissivity, and solar absorptance of inner surfaces could only be met with vapor deposited aluminum (VDA). Circumstances leading to corrosion of the VDA are described. Innovative materials and processing to meet the optical and thermal cycle requirements were developed. Examples of scanning electronmicroscope (SEM), atomic force microscope (AFM), and other surface analysis techniques used in failure analysis, problem solving, and process development are given. Materials and process selection criteria and development test results are presented in a decision matrix. Examples of conditions promoting and preventing galvanic corrosion between VDA and graphite fiber-reinforced laminates are provided.

  4. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  5. Growth of monolayer MoS2 films in a quasi-closed crucible encapsulated substrates by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng

    2017-07-01

    Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.

  6. Effect of Sn Content in a CuSnZn Metal Precursor on Formation of MoSe2 Film during Selenization in Se+SnSe Vapor

    PubMed Central

    Yao, Liyong; Ao, Jianping; Jeng, Ming-Jer; Bi, Jinlian; Gao, Shoushuai; Sun, Guozhong; He, Qing; Zhou, Zhiqiang; Sun, Yun; Chang, Liann-Be

    2016-01-01

    The preparation of Cu2ZnSnSe4 (CZTSe) thin films by the selenization of an electrodeposited copper–tin–zinc (CuSnZn) precursor with various Sn contents in low-pressure Se+SnSex vapor was studied. Scanning electron microscope (SEM) and energy dispersive spectroscopy (EDS) measurements revealed that the Sn content of the precursor that is used in selenization in a low-pressure Se+SnSex vapor atmosphere only slightly affects the elemental composition of the formed CZTSe films. However, the Sn content of the precursor significantly affects the grain size and surface morphology of CZTSe films. A metal precursor with a very Sn-poor composition produces CZTSe films with large grains and a rough surface, while a metal precursor with a very Sn-rich composition procures CZTSe films with small grains and a compact surface. X-ray diffraction (XRD) and SEM revealed that the metal precursor with a Sn-rich composition can grow a thicker MoSe2 thin film at CZTSe/Mo interface than one with a Sn-poor composition, possibly because excess Sn in the precursor may catalyze the formation of MoSe2 thin film. A CZTSe solar cell with an efficiency of 7.94%was realized by using an electrodeposited metal precursor with a Sn/Cu ratio of 0.5 in selenization in a low-pressure Se+SnSex vapor. PMID:28773366

  7. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  8. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  9. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  10. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  11. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  12. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  13. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  14. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  15. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  16. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  17. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  18. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  19. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  20. Computational Thermodynamics Analysis of Vaporizing Fuel Droplets in the Human Upper Airways

    NASA Astrophysics Data System (ADS)

    Zhang, Zhe; Kleinstreuer, Clement

    The detailed knowledge of air flow structures as well as particle transport and deposition in the human lung for typical inhalation flow rates is an important precursor for dosimetry-and-health-effect studies of toxic particles as well as for targeted drug delivery of therapeutic aerosols. Focusing on highly toxic JP-8 fuel aerosols, 3-D airflow and fluid-particle thermodynamics in a human upper airway model starting from mouth to Generation G3 (G0 is the trachea) are simulated using a user-enhanced and experimentally validated finite-volume code. The temperature distributions and their effects on airflow structures, fuel vapor deposition and droplet motion/evaporation are discussed. The computational results show that the thermal effect on vapor deposition is minor, but it may greatly affect droplet deposition in human airways.

  1. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  2. Correlation between mobility collapse and carbon impurities in Si-doped GaN grown by low pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaess, Felix; Mita, Seiji; Xie, Jingqiao; Reddy, Pramod; Klump, Andrew; Hernandez-Balderrama, Luis H.; Washiyama, Shun; Franke, Alexander; Kirste, Ronny; Hoffmann, Axel; Collazo, Ramón; Sitar, Zlatko

    2016-09-01

    In the low doping range below 1 × 1017 cm-3, carbon was identified as the main defect attributing to the sudden reduction of the electron mobility, the electron mobility collapse, in n-type GaN grown by low pressure metalorganic chemical vapor deposition. Secondary ion mass spectroscopy has been performed in conjunction with C concentration and the thermodynamic Ga supersaturation model. By controlling the ammonia flow rate, the input partial pressure of Ga precursor, and the diluent gas within the Ga supersaturation model, the C concentration in Si-doped GaN was controllable from 6 × 1019 cm-3 to values as low as 2 × 1015 cm-3. It was found that the electron mobility collapsed as a function of free carrier concentration, once the Si concentration closely approached the C concentration. Lowering the C concentration to the order of 1015 cm-3 by optimizing Ga supersaturation achieved controllable free carrier concentrations down to 5 × 1015 cm-3 with a peak electron mobility of 820 cm2/V s without observing the mobility collapse. The highest electron mobility of 1170 cm2/V s was obtained even in metalorganic vapor deposition-grown GaN on sapphire substrates by optimizing growth parameters in terms of Ga supersaturation to reduce the C concentration.

  3. Oxidation and formation of deposit precursors in hydrocarbon fuels

    NASA Technical Reports Server (NTRS)

    Mayo, F. R.; Lan, B.; Cotts, D. B.; Buttrill, S. E., Jr.; St.john, G. A.

    1983-01-01

    The oxidation of two jet turbine fuels and some pure hydrocarbons was studied at 130 C with and without the presence of small amounts of N-methyl pyrrole (NMP) or indene. Tendency to form solid-deposit precursors was studied by measuring soluble gum formation as well as dimer and trimer formation using field ionization mass spectrometry. Pure n-dodecane oxidized fastest and gave the smallest amount of procursors. An unstable fuel oil oxidized much slower but formed large amounts of precursors. Stable Jet A fuel oxidized slowest and gave little precursors. Indene either retarded or accelerated the oxidation of n-dodecane, depending on its concentration, but always caused more gum formation. The NMP greatly retarded n-dodecane oxidation but accelerated Jet A oxidation and greatly increased the latter's gum formation. In general, the additive reacted faster and formed most of the gum. Results are interpreted in terms of classical cooxidation theory. The effect of oxygen pressure on gum formation is also reported.

  4. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  5. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  6. Strong, low-density nanocomposites by chemical vapor deposition and polymerization of cyanoacrylates on aminated silica aerogels.

    PubMed

    Boday, Dylan J; Stover, Robert J; Muriithi, Beatrice; Keller, Michael W; Wertz, Jason T; Defriend Obrey, Kimberly A; Loy, Douglas A

    2009-07-01

    Strong polymer-silica aerogel composites were prepared by chemical vapor deposition of cyanoacrylate monomers onto amine-modified aerogels. Amine-modified silica aerogels were prepared by copolymerizing small amounts of (aminopropyl)triethoxysilane with tetraethoxysilane. After silation of the aminated gels with hexamethyldisilazane, they were dried as aerogels using supercritical carbon dioxide processing. The resulting aerogels had only the amine groups as initiators for the cyanoacrylate polymerizations, resulting in cyanoacrylate macromolecules that were higher in molecular weight than those observed with unmodified silica and that were covalently attached to the silica surface. Starting with aminated silica aerogels that were 0.075 g/cm(3) density, composite aerogels were made with densities up to 0.220 g/cm(3) and up to 31 times stronger (flexural strength) than the precursor aerogel and about 2.3 times stronger than an unmodified silica aerogel of the same density.

  7. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  8. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  9. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  10. Electrochemical vapor deposition of semiconductors from gas phase with a solid membrane cell.

    PubMed

    Cho, Sung Ki; Fan, Fu-Ren F; Bard, Allen J

    2015-05-27

    We demonstrate the feasibility of semiconductor deposition via the electrochemical reduction of gaseous precursors by the use of an anhydrous proton-conducting membrane, the solid acid CsHSO4, at 165 °C. This membrane electrode assembly was operated within the oxidation of hydrogen on a porous Pt anode and the deposition of Si or Ge under bias at the cathode from chloride-based gaseous precursors; SiCl4 and GeCl4 in an Ar flow with a reduction potential over -1.0 V (vs RHE).

  11. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  12. Impact of reduced graphene oxide on MoS{sub 2} grown by sulfurization of sputtered MoO{sub 3} and Mo precursor films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pacley, Shanee, E-mail: shanee.pacley@us.af.mil; Brausch, Jacob; Beck-Millerton, Emory

    2016-07-15

    Monolayer molybdenum disulfide (MoS{sub 2}), a two dimensional semiconducting dichalcogenide material with a bandgap of 1.8–1.9 eV, has demonstrated promise for future use in field effect transistors and optoelectronics. Various approaches have been used for MoS{sub 2} processing, the most common being chemical vapor deposition. During chemical vapor deposition, precursors such as Mo, MoO{sub 3}, and MoCl{sub 5} have been used to form a vapor reaction with sulfur, resulting in thin films of MoS{sub 2}. Currently, MoO{sub 3} ribbons and powder, and MoCl{sub 5} powder have been used. However, the use of ribbons and powder makes it difficult to growmore » large area-continuous films. Sputtering of Mo is an approach that has demonstrated continuous MoS{sub 2} film growth. In this paper, the authors compare the structural properties of MoS{sub 2} grown by sulfurization of pulse vapor deposited MoO{sub 3} and Mo precursor films. In addition, they have studied the effects that reduced graphene oxide (rGO) has on MoS{sub 2} structure. Reports show that rGO increases MoS{sub 2} grain growth during powder vaporization. Herein, the authors report a grain size increase for MoS{sub 2} when rGO was used during sulfurization of both sputtered Mo and MoO{sub 3} precursors. In addition, our transmission electron microscopy results show a more uniform and continuous film growth for the MoS{sub 2} films produced from Mo when compared to the films produced from MoO{sub 3}. Atomic force microscopy images further confirm this uniform and continuous film growth when Mo precursor was used. Finally, x-ray photoelectron spectroscopy results show that the MoS{sub 2} films produced using both precursors were stoichiometric and had about 7–8 layers in thickness, and that there was a slight improvement in stoichiometry when rGO was used.« less

  13. Metal organic chemical vapor deposition of environmental barrier coatings for the inhibition of solid deposit formation from heated jet fuel

    NASA Astrophysics Data System (ADS)

    Mohan, Arun Ram

    Solid deposit formation from jet fuel compromises the fuel handling system of an aviation turbine engine and increases the maintenance downtime of an aircraft. The deposit formation process depends upon the composition of the fuel, the nature of metal surfaces that come in contact with the heated fuel and the operating conditions of the engine. The objective of the study is to investigate the effect of substrate surfaces on the amount and nature of solid deposits in the intermediate regime where both autoxidation and pyrolysis play an important role in deposit formation. A particular focus has been directed to examining the effectiveness of barrier coatings produced by metal organic chemical vapor deposition (MOCVD) on metal surfaces for inhibiting the solid deposit formation from jet fuel degradation. In the first part of the experimental study, a commercial Jet-A sample was stressed in a flow reactor on seven different metal surfaces: AISI316, AISI 321, AISI 304, AISI 347, Inconel 600, Inconel 718, Inconel 750X and FecrAlloy. Examination of deposits by thermal and microscopic analysis shows that the solid deposit formation is influenced by the interaction of organosulfur compounds and autoxidation products with the metal surfaces. The nature of metal sulfides was predicted by Fe-Ni-S ternary phase diagram. Thermal stressing on uncoated surfaces produced coke deposits with varying degree of structural order. They are hydrogen-rich and structurally disordered deposits, spherulitic deposits, small carbon particles with relatively ordered structures and large platelets of ordered carbon structures formed by metal catalysis. In the second part of the study, environmental barrier coatings were deposited on tube surfaces to inhibit solid deposit formation from the heated fuel. A new CVD system was configured by the proper choice of components for mass flow, pressure and temperature control in the reactor. A bubbler was designed to deliver the precursor into the reactor

  14. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  15. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  16. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  17. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  18. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  19. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  20. Copper vapor-assisted growth of hexagonal graphene domains on silica islands

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Jun; Que, Yande; Jiang, Lili

    2016-07-11

    Silica (SiO{sub 2}) islands with a dendritic structure were prepared on polycrystalline copper foil, using silane (SiH{sub 4}) as a precursor, by annealing at high temperature. Assisted by copper vapor from bare sections of the foil, single-layer hexagonal graphene domains were grown directly on the SiO{sub 2} islands by chemical vapor deposition. Scanning electron microscopy, atomic force microscopy, Raman spectra, and X-ray photoelectron spectroscopy confirm that hexagonal graphene domains, each measuring several microns, were synthesized on the silica islands.

  1. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  2. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  3. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  4. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  5. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  6. Mechanistic modeling study on process optimization and precursor utilization with atmospheric spatial atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deng, Zhang; He, Wenjie; Duan, Chenlong

    2016-01-15

    Spatial atomic layer deposition (SALD) is a promising technology with the aim of combining the advantages of excellent uniformity and conformity of temporal atomic layer deposition (ALD), and an industrial scalable and continuous process. In this manuscript, an experimental and numerical combined model of atmospheric SALD system is presented. To establish the connection between the process parameters and the growth efficiency, a quantitative model on reactant isolation, throughput, and precursor utilization is performed based on the separation gas flow rate, carrier gas flow rate, and precursor mass fraction. The simulation results based on this model show an inverse relation betweenmore » the precursor usage and the carrier gas flow rate. With the constant carrier gas flow, the relationship of precursor usage and precursor mass fraction follows monotonic function. The precursor concentration, regardless of gas velocity, is the determinant factor of the minimal residual time. The narrow gap between precursor injecting heads and the substrate surface in general SALD system leads to a low Péclet number. In this situation, the gas diffusion act as a leading role in the precursor transport in the small gap rather than the convection. Fluid kinetics from the numerical model is independent of the specific structure, which is instructive for the SALD geometry design as well as its process optimization.« less

  7. Graphitized hollow carbon spheres and yolk-structured carbon spheres fabricated by metal-catalyst-free chemical vapor deposition

    DOE PAGES

    Li, Xufan; Chi, Miaofang; Mahurin, Shannon Mark; ...

    2016-01-18

    Hard-sphere-templating method has been widely used to synthesize hollow carbon spheres (HCSs), in which the spheres were firstly coated with a carbon precursor, followed by carbonization and core removal. The obtained HCSs are generally amorphous or weakly graphitized (with the help of graphitization catalysts). In this work, we report on the fabrication of graphitized HCSs and yolk–shell Au@HCS nanostructures using a modified templating method, in which smooth, uniform graphene layers were grown on SiO 2 spheres or Au@SiO 2 nanoparticles via metal-catalyst-free chemical vapor deposition (CVD) of methane. Furthermore, our work not only provides a new method to fabricate high-quality,more » graphitized HCSs but also demonstrates a reliable approach to grow quality graphene on oxide surfaces using CVD without the presence of metal catalysts.« less

  8. Diamond deposition in a hot-filament reactor using different hydrocarbon precursor gases

    NASA Astrophysics Data System (ADS)

    May, P. W.; Everitt, N. M.; Trevor, C. G.; Ashfold, M. N. R.; Rosser, K. N.

    1993-07-01

    A hot-filament reactor was used to deposit polycrystalline diamond films upon single-crystal Si substrates using hydrocarbon/H 2 gas mixtures. We studied the effect upon the deposition process and resulting film properties by varying the hydrocarbon gas from C 1H x to C 4H x alkanes. This was done maintaining a constant carbon-to-hydrogen ratio, but using a substantially lower-than- normal filament temperature (1500°C) in order to highlight differences in activation barriers and in the chemistry of the diamond-forming step. It was found that with increasing hydrocarbon chain length the deposition rate decreased, from a value of about 0.4 μm h -1 for methane/H 2 mixtures to less than 0.07 μm h -1 for butane/H 2. This was accompanied by an increase in the relative proportion of amorphous carbon to diamond present in the films. After one hour deposition the diamond grain size remained constant at about 20 nm, irrespective of the precursor gas. The measured Knoop hardness of the films also decreased when using process gases other than methane. We also studied the effect of changing the bond order in C 2H x precursor gases (ethane, ethene, ethyne) but found that this had no effect on either the deposition rate or the film quality.

  9. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors

    PubMed Central

    Thorman, Rachel M; Kumar T. P., Ragesh; Fairbrother, D Howard

    2015-01-01

    Summary Focused electron beam induced deposition (FEBID) is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (<100 eV) secondary electrons generated by interactions of the primary beam with the substrate. These low-energy electrons are abundant both inside and outside the area of the primary electron beam and are associated with reactions causing incomplete ligand dissociation from FEBID precursors. As it is not possible to directly study the effects of secondary electrons in situ in FEBID, other means must be used to elucidate their role. In this context, gas phase studies can obtain well-resolved information on low-energy electron-induced reactions with FEBID precursors by studying isolated molecules interacting with single electrons of well-defined energy. In contrast, ultra-high vacuum surface studies on adsorbed precursor molecules can provide information on surface speciation and identify species desorbing from a substrate during electron irradiation under conditions more representative of FEBID. Comparing gas phase and surface science studies allows for insight into the primary deposition mechanisms for individual precursors; ideally, this information can be used to design future FEBID precursors and optimize deposition conditions. In this review, we give a summary of different low-energy electron-induced fragmentation processes that can be initiated by the secondary electrons generated in FEBID, specifically, dissociative electron attachment, dissociative ionization, neutral dissociation, and dipolar dissociation, emphasizing the

  10. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors.

    PubMed

    Thorman, Rachel M; Kumar T P, Ragesh; Fairbrother, D Howard; Ingólfsson, Oddur

    2015-01-01

    Focused electron beam induced deposition (FEBID) is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (<100 eV) secondary electrons generated by interactions of the primary beam with the substrate. These low-energy electrons are abundant both inside and outside the area of the primary electron beam and are associated with reactions causing incomplete ligand dissociation from FEBID precursors. As it is not possible to directly study the effects of secondary electrons in situ in FEBID, other means must be used to elucidate their role. In this context, gas phase studies can obtain well-resolved information on low-energy electron-induced reactions with FEBID precursors by studying isolated molecules interacting with single electrons of well-defined energy. In contrast, ultra-high vacuum surface studies on adsorbed precursor molecules can provide information on surface speciation and identify species desorbing from a substrate during electron irradiation under conditions more representative of FEBID. Comparing gas phase and surface science studies allows for insight into the primary deposition mechanisms for individual precursors; ideally, this information can be used to design future FEBID precursors and optimize deposition conditions. In this review, we give a summary of different low-energy electron-induced fragmentation processes that can be initiated by the secondary electrons generated in FEBID, specifically, dissociative electron attachment, dissociative ionization, neutral dissociation, and dipolar dissociation, emphasizing the different

  11. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    NASA Astrophysics Data System (ADS)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  12. High Temperature Nanocomposites For Nuclear Thermal Propulsion and In-Space Fabrication by Hyperbaric Pressure Laser Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Webb, N. D.; Espinoza, M.; Cook, S.; Houts, M.; Kim, T.

    Nuclear Thermal Propulsion (NTP) is an indispensable technology for the manned exploration of the solar system. By using Hyperbaric Pressure Laser Chemical Vapor Deposition (HP-LCVD), the authors propose to design and build a promising next-generation fuel element composed of uranium carbide UC embedded in a latticed matrix of highly refractory Ta4HfC5 for an NTP rocket capable of sustaining temperatures up to 4000 K, enabling an Isp of up to 1250 s. Furthermore, HP-LCVD technology can also be harnessed to enable 3D rapid prototyping of a variety of materials including metals, ceramics and composites, opening up the possibility of in-space fabrication of components, replacement parts, difficult-to-launch solar sails and panels and a variety of other space structures. Additionally, rapid prototyping with HP-LCVD makes a feasible "live off the land" strategy of interplanetary and interstellar exploration ­ the precursors commonly used in the technology are found, often in abundance, on other solar system bodies either as readily harvestable gas (e.g. methane) or as a raw material that could be converted into a suitable precursor (e.g. iron oxide into ferrocene on Mars).

  13. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  14. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  16. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  17. Combining single source chemical vapour deposition precursors to explore the phase space of titanium oxynitride thin films.

    PubMed

    Rees, Kelly; Lorusso, Emanuela; Cosham, Samuel D; Kulak, Alexander N; Hyett, Geoffrey

    2018-02-14

    In this paper we report on a novel chemical vapour deposition approach to the formation and control of composition of mixed anion materials, as applied to titanium oxynitride thin films. The method used is the aerosol assisted chemical vapour deposition (AACVD) of a mixture of single source precursors. To explore the titanium-oxygen-nitrogen system the single source precursors selected were tetrakis(dimethylamido) titanium and titanium tetraisopropoxide which individually are precursors to thin films of titanium nitride and titanium dioxide respectively. However, by combining these precursors in specific ratios in a series of AACVD reactions at 400 °C, we are able to deposit thin films of titanium oxynitride with three different structure types and a wide range of compositions. Using this precursor system we can observe films of nitrogen doped anatase, with 25% anion doping of nitrogen; a new composition of pseudobrookite titanium oxynitride with a composition of Ti 3 O 3.5 N 1.5 , identified as being a UV photocatalyst; and rock-salt titanium oxynitride in the range TiO 0.41 N 0.59 to TiO 0.05 N 0.95 . The films were characterised using GIXRD, WDX and UV-vis spectroscopy, and in the case of the pseudobrookite films, assessed for photocatalytic activity. This work shows that a so-called dual single-source CVD approach is an effective method for the deposition of ternary mixed anion ceramic films through simple control of the ratio of the precursors, while keeping all other experimental parameters constant.

  18. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  19. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  20. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    PubMed

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  1. Single Source Precursors for Thin Film Solar Cells

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Hollingsworth, Jennifer A.; Harris, Jerry D.; Cowen, Jonathan; Buhro, William E.; Hepp, Aloysius F.

    2002-01-01

    The development of thin film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power, (W/kg). The use of a polycrystalline chalcopyrite absorber layer for thin film solar cells is considered as the next generation photovoltaic devices. At NASA GRC we have focused on the development of new single source precursors (SSP) and their utility to deposit the chalcopyrite semi-conducting layer (CIS) onto flexible substrates for solar cell fabrication. The syntheses and thermal modulation of SSPs via molecular engineering is described. Thin-film fabrication studies demonstrate the SSPs can be used in a spray CVD (chemical vapor deposition) process, for depositing CIS at reduced temperatures, which display good electrical properties, suitable for PV (photovoltaic) devices.

  2. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  3. Mechanics of hydrogenated amorphous carbon deposits from electron-beam-induced deposition of a paraffin precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, W.; Dikin, D.A.; Chen, X.

    2005-07-01

    Many experiments on the mechanics of nanostructures require the creation of rigid clamps at specific locations. In this work, electron-beam-induced deposition (EBID) has been used to deposit carbon films that are similar to those that have recently been used for clamping nanostructures. The film deposition rate was accelerated by placing a paraffin source of hydrocarbon near the area where the EBID deposits were made. High-resolution transmission electron microscopy, electron-energy-loss spectroscopy, Raman spectroscopy, secondary-ion-mass spectrometry, and nanoindentation were used to characterize the chemical composition and the mechanics of the carbonaceous deposits. The typical EBID deposit was found to be hydrogenated amorphousmore » carbon (a-C:H) having more sp{sup 2}- than sp{sup 3}-bonded carbon. Nanoindentation tests revealed a hardness of {approx}4 GPa and an elastic modulus of 30-60 GPa, depending on the accelerating voltage. This reflects a relatively soft film, which is built out of precursor molecular ions impacting the growing surface layer with low energies. The use of such deposits as clamps for tensile tests of poly(acrylonitrile)-based carbon nanofibers loaded between opposing atomic force microscope cantilevers is presented as an example application.« less

  4. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  5. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J.; Halpern, B.L.

    1994-10-18

    A method and apparatus are disclosed for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases. 8 figs.

  6. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, Jerome J.; Halpern, Bret L.

    1994-01-01

    A method and apparatus for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases.

  7. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  8. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  9. Atomic Layer Deposition of L-Alanine Polypeptide

    DOE PAGES

    Fu, Yaqin; Li, Binsong; Jiang, Ying-Bing; ...

    2014-10-30

    L-Alanine polypeptide thin films were synthesized via atomic layer deposition (ALD). Rather, instead of using an amino acid monomer as the precursor, an L-alanine amino acid derivatized with a protecting group was used to prevent self-polymerization, increase the vapor pressure, and allow linear cycle-by-cycle growth emblematic of ALD. Moreover, the successful deposition of a conformal polypeptide film has been confirmed by FTIR, TEM, and Mass Spectrometry, and the ALD process has been extended to polyvaline.

  10. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  11. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  12. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  13. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  14. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  15. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  16. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  17. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  18. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    NASA Astrophysics Data System (ADS)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  19. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  20. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  1. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  2. Waterproof Silicone Coatings of Thermal Insulation and Vaporization Method

    NASA Technical Reports Server (NTRS)

    Cagliostro, Domenick E. (Inventor)

    1999-01-01

    Thermal insulation composed of porous ceramic material can be waterproofed by producing a thin silicone film on the surface of the insulation by exposing it to volatile silicone precursors at ambient conditions. When the silicone precursor reactants are multi-functional siloxanes or silanes containing alkenes or alkynes carbon groups higher molecular weight films can be produced. Catalyst are usually required for the silicone precursors to react at room temperature to form the films. The catalyst are particularly useful in the single component system e.g. dimethylethoxysilane (DNMS) to accelerate the reaction and decrease the time to waterproof and protect the insulation. In comparison to other methods, the chemical vapor technique assures better control over the quantity and location of the film being deposited on the ceramic insulation to improve the waterproof coating.

  3. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  4. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  5. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  6. Electron beam induced deposition of silicon nanostructures from a liquid phase precursor.

    PubMed

    Liu, Yin; Chen, Xin; Noh, Kyong Wook; Dillon, Shen J

    2012-09-28

    This work demonstrates electron beam induced deposition of silicon from a SiCl(4) liquid precursor in a transmission electron microscope and a scanning electron microscope. Silicon nanodots of tunable size are reproducibly grown in controlled geometries. The volume of these features increases linearly with deposition time. The results indicate that secondary electrons generated at the substrate surface serve as the primary source of silicon reduction. However, at high current densities the influence of the primary electrons is observed to retard growth. The results demonstrate a new approach to fabricating silicon nanostructures and provide fundamental insights into the mechanism for liquid phase electron beam induced deposition.

  7. Electron beam induced deposition of silicon nanostructures from a liquid phase precursor

    NASA Astrophysics Data System (ADS)

    Liu, Yin; Chen, Xin; Noh, Kyong Wook; Dillon, Shen J.

    2012-09-01

    This work demonstrates electron beam induced deposition of silicon from a SiCl4 liquid precursor in a transmission electron microscope and a scanning electron microscope. Silicon nanodots of tunable size are reproducibly grown in controlled geometries. The volume of these features increases linearly with deposition time. The results indicate that secondary electrons generated at the substrate surface serve as the primary source of silicon reduction. However, at high current densities the influence of the primary electrons is observed to retard growth. The results demonstrate a new approach to fabricating silicon nanostructures and provide fundamental insights into the mechanism for liquid phase electron beam induced deposition.

  8. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  9. Synthesis of Three-dimensional Polymer Nanostructures via Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Cheng, Kenneth

    Chemical vapor deposition (CVD) is a widely practiced methodology for preparing thin film polymer coatings, and the coatings can be applied to a broad range of materials, including three-dimensional solid structures and low-vapor pressure liquids. Reactive poly(p-xylylene) (PPX) coatings prepared by CVD can be used as a powerful tool for surface functionalization and bio-conjugation. The first portion of this dissertation serves to extend the use of CVD-based reactive PPX coatings as a surface functionalization strategy for the conjugation of biomolecules. Micro-structured PPX coatings having multiple surface reactive groups were fabricated. Multiple orthogonal click reactions were then employed to selectively immobilize galactose and mannobiose to the micro-structured polymer coatings. The presence of different types of carbohydrate enables lectins binding for examining ligands/cell receptor interactions. This dissertation also demonstrates the use of CVD-based reactive PPX coatings as intermediate layers to immobilize adenoviral vectors onto tissue scaffolds. The ability to tether adenoviral vectors on tissue scaffolds localizes the transduction near the scaffold surface and reduces acute toxicity and hepatic pathology cause by direct administration of the viral vector, providing a safe and efficient gene therapy delivery strategy. In the second portion of this dissertation, we explore the CVD of PPX onto surfaces coated with a thin layer of liquid crystal (LC). Instead of forming a conformal PPX coating encapsulating the LC layer, PPX assembled into an array of high-aspect ratio nanofibers inside the LC layer. The LC layer was demonstrated to act as a template where the anisotropic internal ordering of the LC facilitated the formation of nanofibers. The diameter of the nanofibers was in the range of 100 nm and could be tuned by type of LC template used, and the length of the nanofibers could be precisely controlled by varying the thickness of the LC film. The

  10. On the possibility to grow zinc oxide-based transparent conducting oxide films by hot-wire chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abrutis, Adulfas, E-mail: adulfas.abrutis@chf.vu.lt; Silimavicus, Laimis; Kubilius, Virgaudas

    Hot-wire chemical vapor deposition (HW-CVD) was applied to grow zinc oxide (ZnO)-based transparent conducting oxide (TCO) films. Indium (In)-doped ZnO films were deposited using a cold wall pulsed liquid injection CVD system with three nichrome wires installed at a distance of 2 cm from the substrate holder. The wires were heated by an AC current in the range of 0–10 A. Zn and In 2,2,6,6-tetramethyl-3,5-heptanedionates dissolved in 1,2-dimethoxyethane were used as precursors. The hot wires had a marked effect on the growth rates of ZnO, In-doped ZnO, and In{sub 2}O{sub 3} films; at a current of 6–10 A, growth rates weremore » increased by a factor of ≈10–20 compared with those of traditional CVD at the same substrate temperature (400 °C). In-doped ZnO films with thickness of ≈150 nm deposited on sapphire-R grown at a wire current of 9 A exhibited a resistivity of ≈2 × 10{sup −3} Ωcm and transparency of >90% in the visible spectral range. These initial results reveal the potential of HW-CVD for the growth of TCOs.« less

  11. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  12. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  13. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  14. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  15. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  16. Process Parameters for Successful Synthesis of Carbon Nanotubes by Chemical Vapor Deposition: Implications for Chemical Mechanisms and Life-cycle Assessment

    NASA Astrophysics Data System (ADS)

    Xue, Ke

    Manufacturing of carbon nanotubes (CNTs) via chemical vapor deposition (CVD) calls for thermal treatment associated with gas-phase rearrangement and catalyst deposition to achieve high cost efficiency and limited influence on environmental impact. Taking advantage of higher degree of structure control and economical efficiency, catalytic chemical vapor deposition (CCVD) has currently become the most prevailing synthesis approach for the synthesis of large-scale pure CNTs in past years. Because the synthesis process of CNTs dominates the potential ecotoxic impacts, materials consumption, energy consumption and greenhouse gas emissions should be further limited to efficiently reduce life cycle ecotoxicity of carbon naotubes. However, efforts to reduce energy and material requirements in synthesis of CNTs by CCVD are hindered by a lack of mechanistic understanding. In this thesis, the effect of operating parameters, especially the temperature, carbon source concentration, and residence time on the synthesis were studied to improve the production efficiency in a different angle. Thus, implications on the choice of operating parameters could be provided to help the synthesis of carbon nanotubes. Here, we investigated the typical operating parameters in conditions that have yielded successful CNT production in the published academic literature of over seventy articles. The data were filtered by quality of the resultant product and deemed either "successful" or "unsuccessful" according to the authors. Furthermore, growth rate data were tabulated and used as performance metric for the process whenever possible. The data provided us an opportunity to prompt possible and common methods for practioners in the synthesis of CNTs and motivate routes to achieve energy and material minimization. The statistical analysis revealed that methane and ethylene often rely on thermal conversion process to form direct carbon precursor; further, methane and ethylene could not be the direct

  17. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  18. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  19. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  20. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  1. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  2. Structural and electrical characteristics of gallium tin oxide thin films prepared by electron cyclotron resonance-metal organic chemical vapor deposition.

    PubMed

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-08-01

    Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.

  3. The rational design of a Au(I) precursor for focused electron beam induced deposition

    PubMed Central

    Marashdeh, Ali; Tiesma, Thiadrik; van Velzen, Niels J C; Harder, Sjoerd; Havenith, Remco W A; De Hosson, Jeff T M

    2017-01-01

    Au(I) complexes are studied as precursors for focused electron beam induced processing (FEBIP). FEBIP is an advanced direct-write technique for nanometer-scale chemical synthesis. The stability and volatility of the complexes are characterized to design an improved precursor for pure Au deposition. Aurophilic interactions are found to play a key role. The short lifetime of ClAuCO in vacuum is explained by strong, destabilizing Au–Au interactions in the solid phase. While aurophilic interactions do not affect the stability of ClAuPMe3, they leave the complex non-volatile. Comparison of crystal structures of ClAuPMe3 and MeAuPMe3 shows that Au–Au interactions are much weaker or partially even absent for the latter structure. This explains its high volatility. However, MeAuPMe3 dissociates unfavorably during FEBIP, making it an unsuitable precursor. The study shows that Me groups reduce aurophilic interactions, compared to Cl groups, which we attribute to electronic rather than steric effects. Therefore we propose MeAuCO as a potential FEBIP precursor. It is expected to have weak Au–Au interactions, making it volatile. It is stable enough to act as a volatile source for Au deposition, being stabilized by 6.5 kcal/mol. Finally, MeAuCO is likely to dissociate in a single step to pure Au. PMID:29354346

  4. The rational design of a Au(I) precursor for focused electron beam induced deposition.

    PubMed

    Marashdeh, Ali; Tiesma, Thiadrik; van Velzen, Niels J C; Harder, Sjoerd; Havenith, Remco W A; De Hosson, Jeff T M; van Dorp, Willem F

    2017-01-01

    Au(I) complexes are studied as precursors for focused electron beam induced processing (FEBIP). FEBIP is an advanced direct-write technique for nanometer-scale chemical synthesis. The stability and volatility of the complexes are characterized to design an improved precursor for pure Au deposition. Aurophilic interactions are found to play a key role. The short lifetime of ClAuCO in vacuum is explained by strong, destabilizing Au-Au interactions in the solid phase. While aurophilic interactions do not affect the stability of ClAuPMe 3 , they leave the complex non-volatile. Comparison of crystal structures of ClAuPMe 3 and MeAuPMe 3 shows that Au-Au interactions are much weaker or partially even absent for the latter structure. This explains its high volatility. However, MeAuPMe 3 dissociates unfavorably during FEBIP, making it an unsuitable precursor. The study shows that Me groups reduce aurophilic interactions, compared to Cl groups, which we attribute to electronic rather than steric effects. Therefore we propose MeAuCO as a potential FEBIP precursor. It is expected to have weak Au-Au interactions, making it volatile. It is stable enough to act as a volatile source for Au deposition, being stabilized by 6.5 kcal/mol. Finally, MeAuCO is likely to dissociate in a single step to pure Au.

  5. The synthesis of graphene from palm oil at different annealing time of nickel substrate via thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Salifairus, M. J.; Soga, T.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Graphene has shown a plethora potential of applications with its extraordinary electronic and mechanical properties. It is a 2D carbon allotrope which carbon atoms are arrayed in a hexagonal honeycomb lattice. Graphene was synthesized on the polycrystalline nickel substrate with a dimension of 0.10 mm × 10 mm × 10 mm via thermal chemical vapor deposition (TCVD). The carbon precursor was obtained from a commercial palm oil as a natural carbon source. The D, G, and 2D bands described the vibration of graphitic layer and overtone of the D band at 1357, 1595 and 2703 cm-1 respectively. The lowest G band full width at half maximum (FWHM) was 42.95 cm-1 at 15 minutes annealing time. Raman spectroscopy, UV-vis spectrophotometry, atomic force microscopy, XRD and field emission scanning microscopy characterized the synthesized graphene. Multi-layer graphene was successfully synthesized from the palm oil via TCVD.

  6. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  7. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  8. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  9. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  10. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  11. Kinetics of SiHCl3 chemical vapor deposition and fluid dynamic simulations.

    PubMed

    Cavallotti, Carlo; Masi, Maurizio

    2011-09-01

    Though most of the current silicon photovoltaic technology relies on trichlorosilane (SiHCl3) as a precursor gas to deposit Si, only a few studies have been devoted to the investigation of its gas phase and surface kinetics. In the present work we propose a new kinetic mechanism apt to describe the gas phase and surface chemistry active during the deposition of Si from SiHCl3. Kinetic constants of key reactions were either taken from the literature or determined through ab initio calculations. The capability of the mechanism to reproduce experimental data was tested through the implementation of the kinetic scheme in a fluid dynamic model and in the simulation of both deposition and etching of Si in horizontal reactors. The results of the simulations show that the reactivity of HCl is of key importance in order to control the Si deposition rate. When HCl reaches a critical concentration in the gas phase it starts etching the Si surface, so that the net deposition rate is the net sum of the adsorption rate of the gas phase precursors and the etching rate due to HCl. In these conditions the possibility to further deposit Si is directly related to the rate of consumption of HCl through its reaction with SiHCl3 to give SiCl4. The proposed reaction mechanism was implemented in a 3D fluid dynamic model of a simple Siemens reactor. The simulation results indicate that the proposed interpretation of the growth process applies also to this class of reactors, which operate in what can be defined as a mixed kinetic-transport controlled regime.

  12. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  13. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  14. Synthesis of multifilament silicon carbide fibers by chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Revankar, Vithal; Hlavacek, Vladimir

    1991-01-01

    A process for development of clean silicon carbide fiber with a small diameter and high reliability is presented. An experimental evaluation of operating conditions for SiC fibers of good mechanical properties and devising an efficient technique which will prevent welding together of individual filaments are discussed. The thermodynamic analysis of a different precursor system was analyzed vigorously. Thermodynamically optimum conditions for stoichiometric SiC deposit were obtained.

  15. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  16. Oxidation and formation of deposit precursors in hydrocarbon fuels

    NASA Technical Reports Server (NTRS)

    Buttrill, S. E., Jr.; Mayo, F. R.; Lan, B.; St.john, G. A.; Dulin, D.

    1982-01-01

    A practical fuel, home heating oil no. 2 (Fuel C), and the pure hydrocarbon, n-dodecane, were subjected to mild oxidation at 130 C and the resulting oxygenated reaction products, deposit precursors, were analyzed using field ionization mass spectrometry. Results for fuel C indicated that, as oxidation was initially extended, certain oxygenated reaction products of increasing molecular weights in the form of monomers, dimers and some trimers were produced. Further oxidation time increase resulted in further increase in monomers but a marked decrease in dimers and trimers. This suggests that these larger molecular weight products have proceeded to form deposit and separated from the fuel mixture. Results for a dodecane indicated that yields for dimers and trimers were very low. Dimers were produced as a result of interaction between oxygenated products with each other rather than with another fuel molecule. This occurred even though fuel molecule concentration was 50 times, or more greater than that for these oxygenated reaction products.

  17. Deposition of Ni nanoparticles onto porous supports using supercritical CO2: effect of the precursor and reduction methodology.

    PubMed

    Morère, Jacobo; Royuela, Sergio; Asensio, Guillermo; Palomino, Pablo; Enciso, Eduardo; Pando, Concepción; Cabañas, Albertina

    2015-12-28

    The deposition of Ni nanoparticles into porous supports is very important in catalysis. In this paper, we explore the use of supercritical CO(2) (scCO(2)) as a green solvent to deposit Ni nanoparticles on mesoporous SiO2 SBA-15 and a carbon xerogel. The good transport properties of scCO(2) allowed the efficient penetration of metal precursors dissolved in scCO(2) within the pores of the support without damaging its structure. Nickel hexafluoroacetylacetonate hydrate, nickel acetylacetonate, bis(cyclopentadienyl)nickel, Ni(NO(3))2⋅6H(2)O and NiCl(2)⋅6H(2)O were tried as precursors. Different methodologies were used: impregnation in scCO(2) and reduction in H(2)/N(2) at 400°C and low pressure, reactive deposition using H(2) at 200-250°C in scCO(2) and reactive deposition using ethanol at 150-200°C in scCO(2). The effect of precursor and methodology on the nickel particle size and the material homogeneity (on the different substrates) was analysed. This technology offers many opportunities in the preparation of metal-nanostructured materials. © 2015 The Author(s).

  18. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  19. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  20. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  1. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  2. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  3. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  4. Glasses and Liquids Low on the Energy Landscape Prepared by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel; Fakhraai, Zahra; Ediger, Mark

    2014-03-01

    The lower portions of the potential energy landscape for glass-forming materials such as polymers and small molecules were historically inaccessible by experiments. Physical vapor deposition is uniquely able to prepare materials in this portion of the energy landscape, with the properties of the deposited material primarily modulated by the substrate temperature. Here we report on high-throughput experiments which utilize a temperature gradient stage to enable rapid screening of vapor-deposited organic glasses. Using ellipsometry, we characterize a 100 K range of substrate temperatures in a single experiment, allowing us to rapidly determine the density, kinetic stability, fictive temperature and molecular orientation of these glasses. Their properties fall into three temperature regimes. At substrate temperatures as low as 0.97Tg, we prepare materials which are equivalent to the supercooled liquid produced by cooling the melt. Below 0.9Tg (1.16TK) the properties of materials are kinetically controlled and highly tunable. At intermediate substrate temperatures we are able to produce materials whose bulk properties match those expected for the equilibrium supercooled liquid, down to 1.16TK, but are structurally anisotropic.

  5. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  6. An efficient synthesis of graphenated carbon nanotubes over the tailored mesoporous molecular sieves by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Atchudan, R.; Department of Chemistry, CEG Campus, Anna University, Chennai 600025; Joo, Jin., E-mail: joojin@knu.ac.kr

    2013-06-01

    Highlights: ► Tailored 3D cubic Ni/KIT-6 with large pores was synthesized successfully. ► The new hybrid g-CNTs in large scale were synthesized using Ni/KIT-6 by CVD method. ► The use of mesoporous material by CVD method would be an ideal choice to prepare g-CNTs at reasonable cost. ► This type of g-CNTs might be a new avenue for nano-electronic applications. - Abstract: The new hybrid of graphenated carbon nanotubes (g-CNTs) was superior to either CNTs or graphene. Mesoporous 3D cubic Ni/KIT-6 were synthesized hydrothermally through organic template route and then were used as catalytic template for the production of g-CNTsmore » using acetylene as a carbon precursor by chemical vapor deposition (CVD) method. The deposited new hybrid carbon materials were purified and analyzed by various physico-chemical techniques such as XRD, TGA, SEM, TEM and Raman spectroscopy techniques. The graphitization of CNTs was confirmed by TGA and HRTEM studies. Thermal stability, surface morphology, and structural morphology of these materials were revealed by TGA, SEM and TEM analysis, respectively. Moreover, the tailored mesoporous Ni/KIT-6 molecular sieves were found to possess better quality and massive quantity of g-CNTs produced compared to other catalytic template route.« less

  7. Development of molecular precursors for deposition of indium sulphide thin film electrodes for photoelectrochemical applications.

    PubMed

    Ehsan, Muhammad Ali; Peiris, T A Nirmal; Wijayantha, K G Upul; Olmstead, Marilyn M; Arifin, Zainudin; Mazhar, Muhammad; Lo, K M; McKee, Vickie

    2013-08-14

    Symmetrical and unsymmetrical dithiocarbamato pyridine solvated and non-solvated complexes of indium(III) with the general formula [In(S2CNRR')3]·n(py) [where py = pyridine; R,R' = Cy, n = 2 (1); R,R' = (i)Pr, n = 1.5 (2); NRR' = Pip, n = 0.5 (3) and R = Bz, R' = Me, n = 0 (4)] have been synthesized. The compositions, structures and properties of these complexes have been studied by means of microanalysis, IR and (1)H-NMR spectroscopy, X-ray single crystal and thermogravimetric (TG/DTG) analyses. The applicability of these complexes as single source precursors (SSPs) for the deposition of β-In2S3 thin films on fluorine-doped SnO2 (FTO) coated conducting glass substrates by aerosol-assisted chemical vapour deposition (AACVD) at temperatures of 300, 350 and 400 °C is studied. All films have been characterized by powder X-ray diffraction (PXRD) and energy dispersive X-ray analysis (EDX) for the detection of phase and stoichiometry of the deposit. Scanning electron microscopy (SEM) studies reveal that precursors (1)-(4), irrespective of different metal ligand design, generate comparable morphologies of β-In2S3 thin films at different temperatures. Direct band gap energies of 2.2 eV have been estimated from the UV-vis spectroscopy for the β-In2S3 films fabricated from precursors (1) and (4). The photoelectrochemical (PEC) properties of β-In2S3 were confirmed by recording the current-voltage plots under light and dark conditions. The plots showed anodic photocurrent densities of 1.25 and 0.65 mA cm(-2) at 0.23 V vs. Ag/AgCl for the β-In2S3 films made at 400 and 350 °C from the precursors (1) and (4), respectively. The photoelectrochemical performance indicates that the newly synthesised precursors are highly useful in fabricating β-In2S3 electrodes for solar energy harvesting and optoelectronic application.

  8. Thermodynamic analysis of vapor-phase epitaxy of CdTe using a metallic Cd source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Murakami, Hisashi; Koukitu, Akinori

    2017-07-01

    Thermodynamic analysis of CdTe growth using cost-effective metallic Cd and dialkyl telluride was performed. The major vapor species at source zone in equilibrium were gaseous Cd for the group-II precursor, and Te2 and H2Te for the group-VI precursors. The driving force for the CdTe deposition was still positive even at 650 °C. This indicates that CdTe formation from gaseous Cd can proceed thermodynamically. Furthermore, the calculations showed that CdTe decomposes at higher temperature and increasing the II/VI ratio increases the limit of the growth temperature, which coincides with the experimental results.

  9. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  10. Growth and Properties of Cl- Incorporated ZnO Nanofilms Grown by Ultrasonic Spray-Assisted Chemical Vapor Deposition.

    PubMed

    Chen, Tingfang; Wang, Aiji; Kong, Lingrui; Li, Yongliang; Wang, Yinshu

    2016-04-01

    Pure and Cl- incorporated ZnO nanofilms were grown by the ultrasonic spray-assisted chemical vapor deposition (CVD) method. The properties of the nanofilms were investigated. The effects of growth temperature and Cl- concentration on the crystal structure, morphology, and optical properties of the nanofilms were studied. Temperature plays an important role in the growth mode and morphology of the pure nanofilms. Preferential growth along the c-axis occurs only at modulating temperature. Lower temperature suppresses the preferential growth, and higher temperature suppresses the growth of the nanofilms. The morphologies of the nanofilms change from lamellar and spherical structures into hexagonal platelets, then into separated nanoparticles with an increase in the temperature. Incorporating Cl- results in the lattice contracting gradually along with c-axis. Grains composing the nanofilms refine, and the optical gap broadens with increasing of Cl- concentration in growth precursor. Incorporating Cl- could reduce oxygen vacancies and passivate the non-irradiated centers, thus enhancing the UV emission and suppressing the visible emission of ZnO nanofilms.

  11. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  12. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  13. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  14. Theoretical modeling and experimental observations of the atomic layer deposition of SrO using a cyclopentadienyl Sr precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fredrickson, Kurt D.; Slepko, Alex; Demkov, Alexander A., E-mail: demkov@physics.utexas.edu

    2016-08-14

    First-principle calculations are used to model the adsorption and hydration of strontium bis(cyclopentadienyl) [Sr(Cp){sub 2}] on TiO{sub 2}-terminated strontium titanate, SrTiO{sub 3} (STO), for the deposition of strontium oxide, SrO, by atomic layer deposition (ALD). The Sr(Cp){sub 2} precursor is shown to adsorb on the TiO{sub 2}-terminated surface, with the Sr atom assuming essentially the bulk position in STO. The C–Sr bonds are weaker than in the free molecule, with a Ti atom at the surface bonding to one of the C atoms in the cyclopentadienyl rings. The surface does not need to be hydrogenated for precursor adsorption. The calculationsmore » are compared with experimental observations for a related Sr cyclopentadienyl precursor, strontium bis(triisopropylcyclopentadienyl) [Sr({sup i}Pr{sub 3}Cp){sub 2}], adsorbed on TiO{sub 2}-terminated STO. High-resolution x-ray photoelectron spectroscopy and low-energy ion scattering spectroscopy show adsorption of the Sr precursor on the TiO{sub 2}-terminated STO after a single precursor dose. This study suggests that ALD growth from the strontium precursors featuring cyclopentadienyl ligands, such as Sr(Cp){sub 2}, may initiate film growth on non-hydroxylated surfaces.« less

  15. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  16. Deposition of amorphous carbon thin films by aerosol-assisted CVD method

    NASA Astrophysics Data System (ADS)

    Fadzilah, A. N.; Dayana, K.; Rusop, M.

    2018-05-01

    This paper reports on the deposition of amorphous carbon (a-C) by Aerosol-assisted Chemical Vapor Deposition (AACVD) using natural source of camphor oil as the precursor material. 4 samples were deposited at 4 different deposition flow rate from 15 sccm to 20 sccm, with 5 sccm interval for each sample. The analysis includes the electrical, optical and structural analysis of the data. The a-C structure which came from the manipulation of synthesis parameter was characterized by the solar simulator system, UV-VIS-NIR, Raman spectroscope and AFM. The properties of a-C are highly dependent on the deposition techniques and deposition parameters; hence the influences of gas flow rate were studied.

  17. Using deposition rate to increase the thermal and kinetic stability of vapor-deposited hole transport layer glasses via a simple sublimation apparatus

    NASA Astrophysics Data System (ADS)

    Kearns, Kenneth L.; Krzyskowski, Paige; Devereaux, Zachary

    2017-05-01

    Deposition rate is known to affect the relative stability of vapor-deposited glasses; slower rates give more stable materials due to enhanced mobility at the free surface of the film. Here we show that the deposition rate can affect both the thermodynamic and kinetic stabilities of N ,N' -bis(3-methylphenyl)-N ,N' -diphenylbenzidine (TPD) and N ,N' -di-[(1-naphthyl)-N ,N' -diphenyl]-1,1'-biphenyl)-4,4'-diamine (NPD) glasses used as hole transport layers for organic light emitting diodes (OLEDs). A simple, low-vacuum glass sublimation apparatus and a high vacuum deposition chamber were used to deposit the glass. 50 μm thick films were deposited in the sublimation apparatus and characterized by differential scanning calorimetry while 75 nm thick films were prepared in the high vacuum chamber and studied by hot-stage spectroscopic ellipsometry (SE). The thermodynamic stability from both preparation chambers was consistent and showed that the fictive temperature (Tfictive) was more than 30 K lower than the conventional glass transition temperature (Tg) at the slowest deposition rates. The kinetic stability, measured as the onset temperature (Tonset) where the glass begins to transform into the supercooled liquid, was 16-17 K greater than Tg at the slowest rates. Tonset was systematically lower for the thin films characterized by SE and was attributed to the thickness dependent transformation of the glass into the supercooled liquid. These results show the first calorimetric characterization of the stability of glasses for OLED applications made by vapor deposition and the first direct comparison of deposition apparatuses as a function of the deposition rate. The ease of fabrication will create an opportunity for others to study the effect of deposition conditions on glass stability.

  18. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  19. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    PubMed

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  20. Boron coating on boron nitride coated nuclear fuels by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Durmazuçar, Hasan H.; Gündüz, Güngör

    2000-12-01

    Uranium dioxide-only and uranium dioxide-gadolinium oxide (5% and 10%) ceramic nuclear fuel pellets which were already coated with boron nitride were coated with thin boron layer by chemical vapor deposition to increase the burn-up efficiency of the fuel during reactor operation. Coating was accomplished from the reaction of boron trichloride with hydrogen at 1250 K in a tube furnace, and then sintering at 1400 and 1525 K. The deposited boron was identified by infrared spectrum. The morphology of the coating was studied by using scanning electron microscope. The plate, grainy and string (fiber)-like boron structures were observed.

  1. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  2. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    NASA Astrophysics Data System (ADS)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  3. Damping mechanisms in chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, James A.; Goldsby, Jon C.

    1993-01-01

    Evaluating the damping of reinforcement fibers is important for understanding their microstructures and the vibrational response of their structural composites. In this study the damping capacities of two types of chemically vapor deposited silicon carbide fibers were measured from -200 C to as high as 800 C. Measurements were made at frequencies in the range 50 to 15000 Hz on single cantilevered fibers. At least four sources were identified which contribute to fiber damping, the most significant being thermoelastic damping and grain boundary sliding. The mechanisms controlling all sources and their potential influence on fiber and composite performance are discussed.

  4. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  5. Effect of oxygen and hydrogen on microstructure of pyrolytic carbon deposited from thermal decomposition of methane and ethanol

    NASA Astrophysics Data System (ADS)

    Ren, Biyun; Zhang, Shouyang; He, LiQun; Gu, Shengyue

    2018-05-01

    Chemical vapor infiltration (CVI) is the most extensive industrial preparation of carbon/carbon (C/C) composites. Precursor affects the CVI process considerably. In the present study, using carbon fiber bundles as preforms, methane and ethanol as precursors, the C/C composites were densified by decomposition of various gases in CVI. The thickness and texture of deposited pyrolytic carbon (PyC) were characterized by polarized light microscopy (PLM). The microstructure of PyC was analyzed by Raman spectroscopy. The morphologies of PyC were characterized by scanning electron microscopy (SEM). The composition of PyC was detected by X-ray photoelectron spectroscopy (XPS). Adding hydrogen in methane precursor resulted in a sharp decrease in the deposition rate and texture of PyC. Mixture of methane and ethanol as the precursor improved the deposition rate and texture remarkably. Besides, O element in ethanol was not remained as a constitution of PyC, and it was removed before the formation of PyC.

  6. Response under low-energy electron irradiation of a thin film of a potential copper precursor for focused electron beam induced deposition (FEBID)

    PubMed Central

    Sala, Leo; Szymańska, Iwona B; Dablemont, Céline; Lafosse, Anne

    2018-01-01

    Background: Focused electron beam induced deposition (FEBID) allows for the deposition of free standing material within nanometre sizes. The improvement of the technique needs a combination of new precursors and optimized irradiation strategies to achieve a controlled fragmentation of the precursor for leaving deposited material of desired composition. Here a new class of copper precursors is studied following an approach that probes some surface processes involved in the fragmentation of precursors. We use complexes of copper(II) with amines and perfluorinated carboxylate ligands that are solid and stable under ambient conditions. They are directly deposited on the surface for studying the fragmentation with surface science tools. Results: Infrared spectroscopy and high-resolution electron energy loss spectroscopy (HREELS) are combined to show that the precursor is able to spontaneously lose amine ligands under vacuum. This loss can be enhanced by mild heating. The combination of mass spectrometry and low-energy electron irradiation (0–15 eV) shows that full amine ligands can be released upon irradiation, and that fragmentation of the perfluorinated ligands is induced by electrons of energy as low as 1.5 eV. Finally, the cross section for this process is estimated from the temporal evolution in the experiments on electron-stimulated desorption (ESD). Conclusion: The release of full ligands under high vacuum and by electron irradiation, and the cross section measured here for ligands fragmentation allow one to envisage the use of the two precursors for FEBID studies. PMID:29379701

  7. XPS study of thermal and electron-induced decomposition of Ni and Co acetylacetonate thin films for metal deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weiss, Theodor; Warneke, Jonas; Zielasek, Volkmar, E-mail: zielasek@uni-bremen.de

    2016-07-15

    Optimizing thin metal film deposition techniques from metal-organic precursors such as atomic layer deposition, chemical vapor deposition (CVD), or electron beam-induced deposition (EBID) with the help of surface science analysis tools in ultrahigh vacuum requires a contamination-free precursor delivery technique, especially in the case of the less volatile precursors. For this purpose, the preparation of layers of undecomposed Ni(acac){sub 2} and Co(acac){sub 2} was tried via pulsed spray evaporation of a liquid solution of the precursors in ethanol into a flow of nitrogen on a CVD reactor. Solvent-free layers of intact precursor molecules were obtained when the substrate was heldmore » at a temperature of 115 °C. A qualitative comparison of thermally initiated and electron-induced precursor decomposition and metal center reduction was carried out. All deposited films were analyzed with respect to chemical composition quasi in situ by x-ray photoelectron spectroscopy. Thermally initiated decomposition yielded higher metal-to-metal oxide ratios in the deposit than the electron-induced process for which ratios of 60:40 and 20:80 were achieved for Ni and Co, resp. Compared to continuous EBID processes, all deposits showed low levels of carbon impurities of ∼10 at. %. Therefore, postdeposition irradiation of metal acetylacetonate layers by a focused electron beam and subsequent removal of intact precursor by dissolution in ethanol or by heating is proposed as electron beam lithography technique on the laboratory scale for the production of the metal nanostructures.« less

  8. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, Robert; Loomis, Gary E.; Thomas, Ian M.

    1999-01-01

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (.about.1.10-1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm.

  9. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  10. Tunable carbon nanotube-tungsten carbide nanoparticles heterostructures by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Min; Guo, Hongyan; Ge, Changchun

    2014-05-14

    A simple, versatile route for the synthesis of carbon nanotube (CNT)-tungsten carbide nanoparticles heterostructures was set up via vapor deposition process. For the first time, amorphous CNTs (α-CNTs) were used to immobilized tungsten carbide nanoparticles. By adjusting the synthesis and annealing temperature, α-CNTs/amorphous tungsten carbide, α-CNTs/W{sub 2}C, and CNTs/W{sub 2}C/WC heterostructures were prepared. This approach provides an efficient method to attach other metal carbides and other nanoparticles to carbon nanotubes with tunable properties.

  11. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  12. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  13. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  14. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  15. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  16. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  17. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  18. Formation mechanisms of boron oxide films fabricated by large-area electron beam-induced deposition of trimethyl borate [Formation Mechanisms of Boron Oxide Fillms Fabricated by Large Area Electron Beam-Induced Deposition of Trimethyl Borate

    DOE PAGES

    Martin, Aiden A.; Depond, Philip J.

    2018-04-24

    Boron-containing materials are increasingly drawing interest for the use in electronics, optics, laser targets, neutron absorbers, and high-temperature and chemically resistant ceramics. In this article, the first investigation into the deposition of boron-based material via electron beam-induced deposition (EBID) is reported. Thin films were deposited using a novel, large-area EBID system that is shown to deposit material at rates comparable to conventional techniques such as laser-induced chemical vapor deposition. The deposition rate and stoichiometry of boron oxide fabricated by EBID using trimethyl borate (TMB) as precursor is found to be critically dependent on the substrate temperature. By comparing the depositionmore » mechanisms of TMB to the conventional, alkoxide-based precursor tetraethyl orthosilicate it is revealed that ligand chemistry does not precisely predict the pathways leading to deposition of material via EBID. Lastly, the results demonstrate the first boron-containing material deposited by the EBID process and the potential for EBID as a scalable fabrication technique that could have a transformative effect on the athermal deposition of materials.« less

  19. Formation mechanisms of boron oxide films fabricated by large-area electron beam-induced deposition of trimethyl borate [Formation Mechanisms of Boron Oxide Fillms Fabricated by Large Area Electron Beam-Induced Deposition of Trimethyl Borate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, Aiden A.; Depond, Philip J.

    Boron-containing materials are increasingly drawing interest for the use in electronics, optics, laser targets, neutron absorbers, and high-temperature and chemically resistant ceramics. In this article, the first investigation into the deposition of boron-based material via electron beam-induced deposition (EBID) is reported. Thin films were deposited using a novel, large-area EBID system that is shown to deposit material at rates comparable to conventional techniques such as laser-induced chemical vapor deposition. The deposition rate and stoichiometry of boron oxide fabricated by EBID using trimethyl borate (TMB) as precursor is found to be critically dependent on the substrate temperature. By comparing the depositionmore » mechanisms of TMB to the conventional, alkoxide-based precursor tetraethyl orthosilicate it is revealed that ligand chemistry does not precisely predict the pathways leading to deposition of material via EBID. Lastly, the results demonstrate the first boron-containing material deposited by the EBID process and the potential for EBID as a scalable fabrication technique that could have a transformative effect on the athermal deposition of materials.« less

  20. The synthesis of graphene at different deposition time from palm oil via thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Salifairus, M. J.; Soga, T.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    The basic building of graphitic materials is graphene that can range from zero-dimensional to three-dimensional. Graphene is a single atomic layer of sp2 bonded carbon atoms. It becomes most potential new materials to replace silicon due to its fascinating properties. In this study, the graphene growth was observed at different deposition time. The 1cm x 1cm polycrystalline nickel substrate was cleaned by etching process. The palm oil, carbon source, was placed in the precursor furnace and the nickel substrate was placed in the second furnace (deposition furnace). The palm oil will mix with Argon and Hydrogen gas was used as carrier gas in the CVD under certain temperature and pressure to undergo pyrolysis process. The deposition temperature was set at 900 °C and the deposition time was varied from 5 - 60 minutes. The graphene was growth at ambient pressure in the CVD system. Raman spectrometer and atomic force microscopy revealed the structural properties and surface topography of the grapheme on the nickel substrate. The D, G and 2D band appear approximately at 1378 cm-1, 1580 cm-1 and 2696 cm-1. It can be concluded that the graphene has successfully synthesized at different deposition time.

  1. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  2. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.

    2003-10-01

    SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  3. Deposition and Characterization of Thin Films on Metallic Substrates

    NASA Technical Reports Server (NTRS)

    Gatica, Jorge E.

    2005-01-01

    A CVD method was successfully developed to produce conversion coatings on aluminum alloys surfaces with reproducible results with a variety of precursors. A well defined protocol to prepare the precursor solutions formulated in a previous research was extended to other additives. It was demonstrated that solutions prepared following such a protocol could be used to systematically generate protective coatings onto aluminum surfaces. Experiments with a variety of formulations revealed that a refined deposition protocol yields reproducible conversion coatings of controlled composition. A preliminary correlation between solution formulations and successful precursors was derived. Coatings were tested for adhesion properties enhancement for commercial paints. A standard testing method was followed and clear trends were identified. Only one precursors was tested systematically. Anticipated work on other precursors should allow a better characterization of the effect of intermetallics on the production of conversion/protective coatings on metals and ceramics. The significance of this work was the practical demonstration that chemical vapor deposition (CVD) techniques can be used to systematically generate protective/conversion coating on non-ferrous surfaces. In order to become an effective approach to replace chromate-based pre- treatment processes, namely in the aerospace or automobile industry, the process parameters must be defined more precisely. Moreover, the feasibility of scale-up designs necessitates a more comprehensive characterization of the fluid flow, transport phenomena, and chemical kinetics interacting in the process. Kinetic characterization showed a significantly different effect of magnesium-based precursors when compared to iron-based precursors. Future work will concentrate on refining the process through computer simulations and further experimental studies on the effect of other transition metals to induce deposition of conversion/protective films

  4. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    NASA Astrophysics Data System (ADS)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  5. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, R.; Loomis, G.E.; Thomas, I.M.

    1999-03-16

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (ca. 1.10--1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm. 2 figs.

  6. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    NASA Technical Reports Server (NTRS)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  7. Physical vapor deposition as a route to glasses with liquid crystalline order

    NASA Astrophysics Data System (ADS)

    Gomez, Jaritza

    Physical vapor deposition (PVD) is an effective route to prepare glasses with a unique combination of properties. Substrate temperatures near the glass transition (Tg) and slow deposition rates can access enhanced mobility at the surface of the glass allowing molecules at the surface additional time to sample different molecular configurations. The temperature of the substrate can be used to control molecular mobility during deposition and properties in the resulting glasses such as higher density, kinetic stability and preferential molecular orientation. PVD was used to prepare glasses of itraconazole, a smectic A liquid crystal. We characterized molecular orientation using infrared and ellipsometry. Molecular orientation can be controlled by choice of Tsubstrate in a range of temperatures near Tg. Glasses deposited at Tsubstrate = Tg show nearly vertical molecular orientation relative to the substrate; at lower Tsubstrate, molecules are nearly parallel to the substrate. The molecular orientation depends on the temperature of the substrate during preparation and not on the molecular orientation of the underlying layer. This allows preparing samples of layers with differing orientations. We find these glasses are homogeneous solids without evidence of domain boundaries and are molecularly flat. We interpret the combination of properties obtained for vapor-deposited glasses of itraconazole to result from a process where molecular orientation is determined by the structure and dynamics at the free surface of the glass during deposition. We report the thermal and structural properties of glasses prepared using PVD of a rod-like molecule, posaconazole, which does not show equilibrium liquid crystal phases. These glasses show substantial molecular orientation that can be controlled by choice of Tsubstrate during deposition. Ellipsometry and IR indicate that glasses prepared at Tg - 3 K are highly ordered. At these Tsubstrate, molecules show preferential vertical

  8. Ferroelectric and reliability properties of metal-organic chemical vapor deposited Pb(Zr0.15Ti0.85)O3 thin films grown in the self-regulation process window

    NASA Astrophysics Data System (ADS)

    Zhao, Jin Shi; Lee, Hyun Ju; Sim, Joon Seop; Lee, Keun; Hwang, Cheol Seong

    2006-04-01

    Ferroelectric reliability of Pb(Zr0.15Ti0.85)O3 films grown by metal-organic chemical vapor deposition at 570°C on an Ir electrode in the self-regulation process window [constant Pb concentration irrespective of the precursor input ratio (Pb /(Zr+Ti), PIR)] was studied. Although the Pb composition and crystallinity of the films grown under different PIR were almost identical, the film grown under a PIR which was near the center of the process window showed the best ferroelectric performance. X-ray photoelectron spectroscopy showed that the films grown at lower and higher PIR have residual ZrO2 and metallic Pb, respectively, which resulted in reduced remanent polarization and reliability.

  9. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Doping and electronic properties of GaAs grown by close-spaced vapor transport from powder sources for scalable III–V photovoltaics

    DOE PAGES

    Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...

    2014-09-01

    The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less

  11. Strain-balanced InAs/GaSb type-II superlattice structures and photodiodes grown on InAs substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Huang, Yong; Ryou, Jae-Hyun; Dupuis, Russell D.; Zuo, Daniel; Kesler, Benjamin; Chuang, Shun-Lien; Hu, Hefei; Kim, Kyou-Hyun; Ting Lu, Yen; Hsieh, K. C.; Zuo, Jian-Min

    2011-07-01

    We propose and demonstrate strain-balanced InAs/GaSb type-II superlattices (T2SLs) grown on InAs substrates employing GaAs-like interfacial (IF) layers by metalorganic chemical vapor deposition (MOCVD) for effective strain management, simplified growth scheme, improved materials crystalline quality, and reduced substrate absorption. The in-plane compressive strain from the GaSb layers in the T2SLs on the InAs was completely balanced by the GaAs-like IF layers formed by controlled precursor carry-over and anion exchange effects, avoiding the use of complicated IF layers and precursor switching schemes that were used for the MOCVD growth of T2SLs on GaSb. An infrared (IR) p-i-n photodiode structure with 320-period InAs/GaSb T2SLs on InAs was grown and the fabricated devices show improved performance characteristics with a peak responsivity of ˜1.9 A/W and a detectivity of ˜6.78 × 109 Jones at 8 μm at 78 K. In addition, the InAs buffer layer and substrate show a lower IR absorption coefficient than GaSb substrates in most of the mid- and long-IR spectral range.

  12. Photoluminescence Segmentation within Individual Hexagonal Monolayer Tungsten Disulfide Domains Grown by Chemical Vapor Deposition.

    PubMed

    Sheng, Yuewen; Wang, Xiaochen; Fujisawa, Kazunori; Ying, Siqi; Elias, Ana Laura; Lin, Zhong; Xu, Wenshuo; Zhou, Yingqiu; Korsunsky, Alexander M; Bhaskaran, Harish; Terrones, Mauricio; Warner, Jamie H

    2017-05-03

    We show that hexagonal domains of monolayer tungsten disulfide (WS 2 ) grown by chemical vapor deposition (CVD) with powder precursors can have discrete segmentation in their photoluminescence (PL) emission intensity, forming symmetric patterns with alternating bright and dark regions. Two-dimensional maps of the PL reveal significant reduction within the segments associated with the longest sides of the hexagonal domains. Analysis of the PL spectra shows differences in the exciton to trion ratio, indicating variations in the exciton recombination dynamics. Monolayers of WS 2 hexagonal islands transferred to new substrates still exhibit this PL segmentation, ruling out local strain in the regions as the dominant cause. High-power laser irradiation causes preferential degradation of the bright segments by sulfur removal, indicating the presence of a more defective region that is higher in oxidative reactivity. Atomic force microscopy (AFM) images of topography and amplitude modes show uniform thickness of the WS 2 domains and no signs of segmentation. However, AFM phase maps do show the same segmentation of the domain as the PL maps and indicate that it is caused by some kind of structural difference that we could not clearly identify. These results provide important insights into the spatially varying properties of these CVD-grown transition metal dichalcogenide materials, which may be important for their effective implementation in fast photo sensors and optical switches.

  13. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  14. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  15. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  16. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  17. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  18. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  19. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  20. Dialkyldiselenophosphinato-metal complexes - a new class of single source precursors for deposition of metal selenide thin films and nanoparticles

    NASA Astrophysics Data System (ADS)

    Malik, Sajid N.; Akhtar, Masood; Revaprasadu, Neerish; Qadeer Malik, Abdul; Azad Malik, Mohammad

    2014-08-01

    We report here a new synthetic approach for convenient and high yield synthesis of dialkyldiselenophosphinato-metal complexes. A number of diphenyldiselenophosphinato-metal as well as diisopropyldiselenophosphinato-metal complexes have been synthesized and used as precursors for deposition of semiconductor thin films and nanoparticles. Cubic Cu2-xSe and tetragonal CuInSe2 thin films have been deposited by AACVD at 400, 450 and 500 °C whereas cubic PbSe and tetragonal CZTSe thin films have been deposited through doctor blade method followed by annealing. SEM investigations revealed significant differences in morphology of the films deposited at different temperatures. Preparation of Cu2-xSe and In2Se3 nanoparticles using diisopropyldiselenophosphinato-metal precursors has been carried out by colloidal method in HDA/TOP system. Cu2-xSe nanoparticles (grown at 250 °C) and In2Se3 nanoparticles (grown at 270 °C) have a mean diameter of 5.0 ± 1.2 nm and 13 ± 2.5 nm, respectively.

  1. Parameter Space of Atomic Layer Deposition of Ultrathin Oxides on Graphene

    PubMed Central

    2016-01-01

    Atomic layer deposition (ALD) of ultrathin aluminum oxide (AlOx) films was systematically studied on supported chemical vapor deposition (CVD) graphene. We show that by extending the precursor residence time, using either a multiple-pulse sequence or a soaking period, ultrathin continuous AlOx films can be achieved directly on graphene using standard H2O and trimethylaluminum (TMA) precursors even at a high deposition temperature of 200 °C, without the use of surfactants or other additional graphene surface modifications. To obtain conformal nucleation, a precursor residence time of >2s is needed, which is not prohibitively long but sufficient to account for the slow adsorption kinetics of the graphene surface. In contrast, a shorter residence time results in heterogeneous nucleation that is preferential to defect/selective sites on the graphene. These findings demonstrate that careful control of the ALD parameter space is imperative in governing the nucleation behavior of AlOx on CVD graphene. We consider our results to have model system character for rational two-dimensional (2D)/non-2D material process integration, relevant also to the interfacing and device integration of the many other emerging 2D materials. PMID:27723305

  2. Formation mechanisms of boron oxide films fabricated by large-area electron beam-induced deposition of trimethyl borate

    PubMed Central

    Depond, Philip J

    2018-01-01

    Boron-containing materials are increasingly drawing interest for the use in electronics, optics, laser targets, neutron absorbers, and high-temperature and chemically resistant ceramics. In this article, the first investigation into the deposition of boron-based material via electron beam-induced deposition (EBID) is reported. Thin films were deposited using a novel, large-area EBID system that is shown to deposit material at rates comparable to conventional techniques such as laser-induced chemical vapor deposition. The deposition rate and stoichiometry of boron oxide fabricated by EBID using trimethyl borate (TMB) as precursor is found to be critically dependent on the substrate temperature. By comparing the deposition mechanisms of TMB to the conventional, alkoxide-based precursor tetraethyl orthosilicate it is revealed that ligand chemistry does not precisely predict the pathways leading to deposition of material via EBID. The results demonstrate the first boron-containing material deposited by the EBID process and the potential for EBID as a scalable fabrication technique that could have a transformative effect on the athermal deposition of materials. PMID:29765806

  3. Formation mechanisms of boron oxide films fabricated by large-area electron beam-induced deposition of trimethyl borate.

    PubMed

    Martin, Aiden A; Depond, Philip J

    2018-01-01

    Boron-containing materials are increasingly drawing interest for the use in electronics, optics, laser targets, neutron absorbers, and high-temperature and chemically resistant ceramics. In this article, the first investigation into the deposition of boron-based material via electron beam-induced deposition (EBID) is reported. Thin films were deposited using a novel, large-area EBID system that is shown to deposit material at rates comparable to conventional techniques such as laser-induced chemical vapor deposition. The deposition rate and stoichiometry of boron oxide fabricated by EBID using trimethyl borate (TMB) as precursor is found to be critically dependent on the substrate temperature. By comparing the deposition mechanisms of TMB to the conventional, alkoxide-based precursor tetraethyl orthosilicate it is revealed that ligand chemistry does not precisely predict the pathways leading to deposition of material via EBID. The results demonstrate the first boron-containing material deposited by the EBID process and the potential for EBID as a scalable fabrication technique that could have a transformative effect on the athermal deposition of materials.

  4. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  5. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  6. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  7. 2017 Report for New LANL Physical Vapor Deposition Capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roman, Audrey Rae; Zhao, Xinxin; Bond, Evelyn M.

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF ismore » the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.« less

  8. An effective approach to synthesize monolayer tungsten disulphide crystals using tungsten halide precursor

    NASA Astrophysics Data System (ADS)

    Thangaraja, Amutha; Shinde, Sachin M.; Kalita, Golap; Tanemura, Masaki

    2016-02-01

    The synthesis of large-area monolayer tungsten disulphide (WS2) single crystal is critical for realistic application in electronic and optical devices. Here, we demonstrate an effective approach to synthesize monolayer WS2 crystals using tungsten hexachloride (WCl6) as a solid precursor in atmospheric chemical vapor deposition process. In this technique, 0.05M solution of WCl6 in ethanol was drop-casted on SiO2/Si substrate to create an even distribution of the precursor, which was reduced and sulfurized at 750 °C in Ar atmosphere. We observed growth of triangular, star-shaped, as well as dendritic WS2 crystals on the substrate. The crystal geometry evolves with the shape and size of the nuclei as observed from the dendritic structures. These results show that controlling the initial nucleation and growth process, large WS2 single crystalline monolayer can be grown using the WCl6 precursor. Our finding shows an easier and effective approach to grow WS2 monolayer using tungsten halide solution-casting, rather than evaporating the precursor for gas phase reaction.

  9. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  10. Solution synthesis of mixed-metal chalcogenide nanoparticles and spray deposition of precursor films

    DOEpatents

    Schulz, Douglas L.; Curtis, Calvin J.; Ginley, David S.

    2000-01-01

    A colloidal suspension comprising metal chalcogenide nanoparticles and a volatile capping agent. The colloidal suspension is made by reacting a metal salt with a chalcogenide salt in an organic solvent to precipitate a metal chalcogenide, recovering the metal chalcogenide, and admixing the metal chalcogenide with a volatile capping agent. The colloidal suspension is spray deposited onto a substrate to produce a semiconductor precursor film which is substantially free of impurities.

  11. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  12. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  13. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    PubMed

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical <111>-oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  14. Fast electrochemical deposition of Ni(OH)2 precursor involving water electrolysis for fabrication of NiO thin films

    NASA Astrophysics Data System (ADS)

    Koyama, Miki; Ichimura, Masaya

    2018-05-01

    Ni(OH)2 precursor films were deposited by galvanostatic electrochemical deposition (ECD), and NiO thin films were fabricated by annealing in air. The effects of the deposition current densities were studied in a range that included current densities high enough to electrolyze water and generate hydrogen bubbles. The films fabricated by ECD involving water electrolysis had higher transparency and smoother surface morphology than those deposited with lower current densities. In addition, the annealed NiO films clearly had preferred (111) orientation when the deposition was accompanied by water electrolysis. p-type conduction was confirmed for the annealed films.

  15. Study of Polymer Crystallization by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jeong, Hyuncheol

    When a polymer is confined under the submicron length scale, confinement size and interfaces can significantly impact the crystallization kinetics and resulting morphology. The ability to tune the morphology of confined polymer systems is of critical importance for the development of high-performance polymer microelectronics. The wisdom from the research on confined crystallization suggests that it would be beneficial to have a processing route in which the crystallization of polymers is driven by interface and temperature effects at a nanometer-scale confinement. In practice, for atomic and small-molecular systems, physical vapor deposition (PVD) has been recognized as the most successful processing route for the precise control of the film structure at surface utilizing confinement effects. While standard PVD technologies are not generally applicable to the deposition of the chemically fragile macromolecules, the development of matrix-assisted pulsed laser evaporation (MAPLE) now enables the non-destructive PVD of high-molecular weight polymers. In this thesis work, we investigated the use of MAPLE for the precise control of the crystallization of polymer films at a molecular level. We also sought to decipher the rules governing the crystallization of confined polymers, by using MAPLE as a tool to form confined polymer systems onto substrates with a controlled temperature. We first explored the early stages of film growth and crystallization of poly(ethylene oxide) (PEO) at the substrate surface formed by MAPLE. The unique mechanism of film formation in MAPLE, the deposition of submicron-sized polymer droplets, allowed for the manifestation of confinement and substrate effects in the crystallization of MAPLE-deposited PEO. Furthermore, we also focused on the property of the amorphous PEO film formed by MAPLE, showing the dependence of polymer crystallization kinetics on the thermal history of the amorphous phase. Lastly, we probed how MAPLE processing affected

  16. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  17. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  18. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  19. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE PAGES

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.; ...

    2017-04-05

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  20. Inhibiting Metal Oxide Atomic Layer Deposition: Beyond Zinc Oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sampson, Matthew D.; Emery, Jonathan D.; Pellin, Michael J.

    The atomic layer deposition (ALD) of several metal oxides is selectivity inhibited on alkanethiol self-assembled monolayers (SAMs) on Au and the eventual nucleation mechanism is investigated. The inhibition ability of the SAM is significantly improved by the in situ H 2-plasma pretreatment of the Au substrate prior to gas-phase deposition of a long-chain alkanethiol, 1-dodecanethiol (DDT). This more rigorous surface preparation inhibits even aggressive oxide ALD precursors, including trimethylaluminum and water, for at least 20 cycles. We study the effect that ALD precursor purge times, growth temperature, alkanethiol chain length, alkanethiol deposition time, and plasma treatment time have on Almore » 2O 3 ALD inhibition. This is the first example of Al 2O 3 ALD inhibition from a vapor-deposited SAM. Inhibition of Al 2O 3, ZnO, and MnO ALD processes are compared, revealing the versatility of this selective surface treatment. As a result, atomic force microscopy (AFM) and grazing incidence x-ray fluorescence (GIXRF) further reveals insight into the mechanism by which the well-defined surface chemistry of ALD may eventually be circumvented to allow metal oxide nucleation and growth on SAM-modified surfaces.« less

  1. Effect of structure and deposition technology on tribological properties of DLC coatings alloyed with VIA group metals

    NASA Astrophysics Data System (ADS)

    Khrushchov, M.; Levin, I.; Marchenko, E.; Avdyukhina, V.; Petrzhik, M.

    2016-07-01

    The results of a comprehensive research on atomic structure, phase composition, micromechanical and tribological characteristics of alloyed DLC coatings have been presented. The coatings have been deposited by reactive magnetron sputtering in acetylene-nitrogen gas mixtures of different compositions (a-C:H:Cr), by plasma-assisted chemical vapor deposition in atmospheres of silicone-organic precursor gases (a-C:H:Mo:Si), and by nonreactive magnetron sputtering of a composite target (a-C:H:W).

  2. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  3. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  4. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  5. A New Commercializable Route for the Preparation of Single-Source Precursors for Bulk, Thin-Film, and Nanocrystallite I-III-IV Semiconductors

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Jin, Michael H. C.; Harris, Jerry D.; Fanwick, Philip E.; Hepp, Aloysius F.

    2004-01-01

    We report a new simplified synthetic procedure for commercial manufacture of ternary single source precursors (SSP). This new synthetic process has been successfully implemented to fabricate known SSPs on bulk scale and the first liquid SSPs to the semiconductors CuInSe2 and AgIn(x)S(y). Single crystal X-ray determination reveals the first unsolvated ternary AgInS SSP. SSPs prepared via this new route have successfully been used in a spray assisted chemical vapor deposition (CVD) process to deposit polycrystalline thin films, and for preparing ternary nanocrystallites.

  6. Vapor-deposited water and nitric acid ices

    NASA Astrophysics Data System (ADS)

    Leu, Ming-Taun; Keyser, Leon F.

    Ices formed by vapor deposition have been the subject of numerous laboratory investigations in connection with snow and glaciers on the ground, ice clouds in the terrestrial atmosphere, surfaces of other planets and their satellites, and the interstellar medium. In this review we will focus on these specific subjects: (1) heterogeneous chemistry on the surfaces of polar stratospheric clouds (PSCs) and (2) surfaces of satellites of the outer planets in our solar system. Stratospheric ozone provides a protective shield for mankind and the global biosphere from harmful ultraviolet solar radiation. In past decades, theoretical atmospheric models for the calculation of ozone balance frequently used only homogeneous gas-phase reactions in their studies. Since the discovery of the Antarctic ozone hole in 1985, however, it has been demonstrated that knowledge of heterogeneous reactions on the surface of PSCs is definitely needed to understand this significant natural event due to the anthropogenic emission of chlorofluorocarbons (CFCs). We will briefly discuss the experimental techniques for the investigation of heterogeneous chemistry on ice surfaces carried out in our laboratories. The experimental apparatus used include: several flow-tube reactors, an electron-impact ionization mass spectrometer, a Fourier transform infrared spectrometer, a BET adsorption apparatus, and a scanning environmental electron microscope. The adsorption experiments and electron microscopic work have demonstrated that the vapor-deposited ices are highly porous. Therefore, it is necessary to develop theoretical models for the elucidation of the uptake and reactivity of trace gases in porous ice substrates. Several measurements of uptake and reaction probabilities of these trace gases on water ices and nitric acid ices have been performed under ambient conditions in the upper troposphere and lower stratosphere, mainly in the temperature range 180-220 K. The trace gases of atmospheric importance

  7. Creep of chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, J. A.

    1984-01-01

    The creep, thermal expansion, and elastic modulus properties for chemically vapor deposited SiC fibers were measured between 1000 and 1500 C. Creep strain was observed to increase logarithmically with time, monotonically with temperature, and linearly with tensile stress up to 600 MPa. The controlling activation energy was 480 + or - 20 kJ/mole. Thermal pretreatments near 1200 and 1450 C were found to significantly reduce fiber creep. These results coupled with creep recovery observations indicate that below 1400 C fiber creep is anelastic with neglible plastic component. This allowed a simple predictive method to be developed for describing fiber total deformation as a function of time, temperature, and stress. Mechanistic analysis of the property data suggests that fiber creep is the result of beta-SiC grain boundary sliding controlled by a small percent of free silicon in the grain boundaries.

  8. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  9. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  10. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  11. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    NASA Astrophysics Data System (ADS)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; Knight, Kim B.; Isselhardt, Brett H.; Matzel, Jennifer E.; Weber, Peter K.; Prussin, Stan G.; Hutcheon, Ian D.

    2017-03-01

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamic fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device (235U/238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO2 is found to be relatively invariable across the samples and interfaces (∼3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may also be

  12. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE PAGES

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; ...

    2016-10-29

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  13. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  14. Preparation of Ti species coating hydrotalcite by chemical vapor deposition for photodegradation of azo dye.

    PubMed

    Xiao, Gaofei; Zeng, HongYan; Xu, Sheng; Chen, ChaoRong; Zhao, Quan; Liu, XiaoJun

    2017-10-01

    TiO 2 in anatase crystal phase is a very effective catalyst in the photocatalytic oxidation of organic compounds in water. To improve its photocatalytic activity, the Ti-coating MgAl hydrotalcite (Ti-MgAl-LDH) was prepared by chemical vapor deposition (CVD) method. Response surface method (RSM) was employed to evaluate the effect of Ti species coating parameters on the photocatalytic activity, which was found to be affected by the furnace temperature, N 2 flow rate and influx time of precursor gas. Application of RSM successfully increased the photocatalytic efficiency of the Ti-MgAl-LDH in methylene blue photodegradation under UV irradiation, leading to improved economy of the process. According to the results from X-ray diffraction, scanning electron microscopy, Brunner-Emmet-Teller and Barrett-Joyner-Hallender, thermogravimetric and differential thermal analysis, UV-vis diffuse reflectance spectra analyses, the Ti species (TiO 2 or/and Ti 4+ ) were successfully coated on the MgAl-LDH matrix. The Ti species on the surface of the Ti-MgAl-LDH lead to a higher photocatalytic performance than commercial TiO 2 -P25. The results suggested that CVD method provided a new approach for the industrial preparation of Ti-coating MgAl-LDH material with good photocatalytic performances. Copyright © 2017. Published by Elsevier B.V.

  15. TiOx thin films grown on Pd(100) and Pd(111) by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Farstad, M. H.; Ragazzon, D.; Grönbeck, H.; Strømsheim, M. D.; Stavrakas, C.; Gustafson, J.; Sandell, A.; Borg, A.

    2016-07-01

    The growth of ultrathin TiOx (0≤x≤2) films on Pd(100) and Pd(111) surfaces by chemical vapor deposition (CVD), using Titanium(IV)isopropoxide (TTIP) as precursor, has been investigated by high resolution photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Three different TiOx phases and one Pd-Ti alloy phase have been identified for both surfaces. The Pd-Ti alloy phase is observed at the initial stages of film growth. Density functional theory (DFT) calculations for Pd(100) and Pd(111) suggest that Ti is alloyed into the second layer of the substrate. Increasing the TTIP dose yields a wetting layer comprising Ti2 + species (TiOx, x ∼0.75). On Pd(100), this phase exhibits a mixture of structures with (3 × 5) and (4 × 5) periodicity with respect to the Pd(100) substrate, while an incommensurate structure is formed on Pd(111). Most importantly, on both surfaces this phase consists of a zigzag pattern similar to observations on other reactive metal surfaces. Further increase in coverage results in growth of a fully oxidized (TiO2) phase on top of the partially oxidized layer. Preliminary investigations indicate that the fully oxidized phase on both Pd(100) and Pd(111) may be the TiO2(B) phase.

  16. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  17. Influence of Substrate Temperature on the Transformation Front Velocities That Determine Thermal Stability of Vapor-Deposited Glasses

    DOE PAGES

    Dalal, Shakeel S.; Ediger, M. D.

    2015-02-09

    Stable organic glasses prepared by physical vapor deposition transform into the supercooled liquid via propagating fronts of molecular mobility, a mechanism different from that exhibited by glasses prepared by cooling the liquid. In this paper, we show that spectroscopic ellipsometry can directly observe this front-based mechanism in real time and explore how the velocity of the front depends upon the substrate temperature during deposition. For the model glass former indomethacin, we detect surface-initiated mobility fronts in glasses formed at substrate temperatures between 0.68T g and 0.94T g. At each of two annealing temperatures, the substrate temperature during deposition can changemore » the transformation front velocity by a factor of 6, and these changes are imperfectly correlated with the density of the glass. We also observe substrate-initiated fronts at some substrate temperatures. By connecting with theoretical work, we are able to infer the relative mobilities of stable glasses prepared at different substrate temperatures. Finally, an understanding of the transformation behavior of vapor-deposited glasses may be relevant for extending the lifetime of organic semiconducting devices.« less

  18. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  19. Solar physical vapor deposition preparation and microstructural characterization of TiO2 based nanophases for dye-sensitized solar cell applications.

    PubMed

    Negrea, Denis; Ducu, Catalin; Moga, Sorin; Malinovschi, Viorel; Monty, Claude J A; Vasile, Bogdan; Dorobantu, Dorel; Enachescu, Marian

    2012-11-01

    Titanium dioxide exists in three crystalline phases: anatase, rutile and brookite. Although rutile is thermodynamically more stable, anatase is considered as the most favorable phase for photocatalysis and solar energy conversion. Recent studies have shown a significant improvement of light harvesting and overall solar conversion efficiency of anatase nanoparticles in dye-sensitized solar cells (DSSCs) when using a mixture of anatase and rutile phases (10-15% rutile). TiO2 nanopowders have been prepared by a solar physical vapor deposition process (SPVD). This method has been developed in Odeillo-Font Romeu France using "heliotron" solar reactors working under concentrated sunlight in 2 kW solar furnaces. By controlling reactor's atmosphere type (air/argon) and gas pressure, several types of anatase/rutile nanophases have been obtained with slightly different microstructural properties and morphological characteristics. X-ray diffraction analyses (XRD) were performed on precursor and on the SPVD obtained nanopowders. Information concerning their phase composition and coherence diffraction domain (crystallites size and strain) was obtained. Nanopowders morphology has been studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM).

  20. PHYSICAL VAPOR DEPOSITION OF TANTALUM ON GUN BARREL STEEL (SYSTEMS ANLAYSIS BRANCH, SUSTAINABLE TECHNOLOGY DIVISION, NRMRL)

    EPA Science Inventory

    This project entails the development of an alternative technology for plating gun barrel steel to replace the process electroplating of chrome (Cr-electroplate) with physical vapor deposition of tantalum (Ta-PVD). Developed by Benet Laboratory at Watervliet Arsenal, this project'...

  1. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  2. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  3. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Structural controls on hydrothermal alteration and ore mineralization

    USGS Publications Warehouse

    Berger, Byron R.; Henley, Richard W.

    2011-01-01

    High-sulfidation copper–gold lode deposits such as Chinkuashih, Taiwan, Lepanto, Philippines, and Goldfield, Nevada, formed within 1500 m of the paleosurface in volcanic terranes. All underwent an early stage of extensive advanced argillic silica–alunite alteration followed by an abrupt change to spatially much more restricted stages of fracture-controlled sulfide–sulfosalt mineral assemblages and gold–silver mineralization. The alteration as well as ore mineralization stages of these deposits were controlled by the dynamics and history of syn-hydrothermal faulting.At the Sulfate Stage, aggressive advanced argillic alteration and silicification were consequent on the in situ formation of acidic condensate from magmatic vapor as it expanded through secondary fracture networks alongside active faults. The reduction of permeability at this stage due to alteration decreased fluid flow to the surface, and progressively developed a barrier between magmatic-vapor expansion constrained by the active faults and peripheral hydrothermal activity dominated by hot-water flow. In conjunction with the increased rock strength resulting from alteration, subsequent fault-slip inversion in response to an increase in compressional stress generated new, highly permeable fractures localized by the embrittled, altered rock. The new fractures focused magmatic-vapor expansion with much lower heat loss so that condensation occurred. Sulfide Stage sulfosalt, sulfide, and gold–silver deposition then resulted from destabilization of vapor phase metal species due to vapor decompression through the new fracture array. The switch from sulfate to sulfide assemblages is, therefore, a logical consequence of changes in structural permeability due to the coupling of alteration and fracture dynamics rather than to changes in the chemistry of the fluid phase at its magmatic source.

  4. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

    NASA Astrophysics Data System (ADS)

    Grill, A.; Patel, V.; Rodbell, K. P.; Huang, E.; Baklanov, M. R.; Mogilnikov, K. P.; Toney, M.; Kim, H.-C.

    2003-09-01

    The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7-2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%-39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.

  5. A structural investigation of a synthesized precursor for optical fiber applications; the heterobimetallic ErNb 2(OPr i) 13

    NASA Astrophysics Data System (ADS)

    Engholm, M.; Norin, L.; Edvardsson, S.; Lashgari, K.; Westin, G.

    2006-12-01

    A structural investigation of a synthesized precursor in a silica glass matrix is performed. Silica soot samples are doped with the heterobimetallic precursor ErNb 2(OPr i) 13 by using a conventional solution doping technique and heat treatments to different temperatures. The precursor has also been introduced into a silica fiber preform by using the modified chemical vapor deposition technique. Analyses are made by using ultraviolet-visible-near infrared absorption spectroscopy, scanning electron microscopy, energy dispersive spectroscopy and powder X-ray diffraction. It is concluded that an immiscible system of ErNbO 4 crystallites and Nb 2O 5 is formed in the silica soot samples at high temperatures. Colloidal particles of ErNbO 4 are also formed in the silica glass fiber preform showing interesting features.

  6. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  7. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  8. Method and apparatus for fabricating a thin-film solar cell utilizing a hot wire chemical vapor deposition technique

    DOEpatents

    Wang, Qi; Iwaniczko, Eugene

    2006-10-17

    A thin-film solar cell is provided. The thin-film solar cell comprises an a-SiGe:H (1.6 eV) n-i-p solar cell having a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer by hot wire chemical vapor deposition. A method for fabricating a thin film solar cell is also provided. The method comprises depositing a n-i-p layer at a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer.

  9. Application of the Taguchi analytical method for optimization of effective parameters of the chemical vapor deposition process controlling the production of nanotubes/nanobeads.

    PubMed

    Sharon, Maheshwar; Apte, P R; Purandare, S C; Zacharia, Renju

    2005-02-01

    Seven variable parameters of the chemical vapor deposition system have been optimized with the help of the Taguchi analytical method for getting a desired product, e.g., carbon nanotubes or carbon nanobeads. It is observed that almost all selected parameters influence the growth of carbon nanotubes. However, among them, the nature of precursor (racemic, R or Technical grade camphor) and the carrier gas (hydrogen, argon and mixture of argon/hydrogen) seem to be more important parameters affecting the growth of carbon nanotubes. Whereas, for the growth of nanobeads, out of seven parameters, only two, i.e., catalyst (powder of iron, cobalt, and nickel) and temperature (1023 K, 1123 K, and 1273 K), are the most influential parameters. Systematic defects or islands on the substrate surface enhance nucleation of novel carbon materials. Quantitative contributions of process parameters as well as optimum factor levels are obtained by performing analysis of variance (ANOVA) and analysis of mean (ANOM), respectively.

  10. Process development for the manufacture of an integrated dispenser cathode assembly using laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnson, Ryan William

    2005-07-01

    Laser Chemical Vapor Deposition (LCVD) has been shown to have great potential for the manufacture of small, complex, two or three dimensional metal and ceramic parts. One of the most promising applications of the technology is in the fabrication of an integrated dispenser cathode assembly. This application requires the deposition of a boron nitride-molybdenum composite structure. In order to realize this structure, work was done to improve the control and understanding of the LCVD process and to determine experimental conditions conducive to the growth of the required materials. A series of carbon fiber and line deposition studies were used to characterize process-shape relationships and study the kinetics of carbon LCVD. These studies provided a foundation for the fabrication of the first high aspect ratio multi-layered LCVD wall structures. The kinetics studies enabled the formulation of an advanced computational model in the FLUENT CFD package for studying energy transport, mass and momentum transport, and species transport within a forced flow LCVD environment. The model was applied to two different material systems and used to quantify deposition rates and identify rate-limiting regimes. A computational thermal-structural model was also developed using the ANSYS software package to study the thermal stress state within an LCVD deposit during growth. Georgia Tech's LCVD system was modified and used to characterize both boron nitride and molybdenum deposition independently. The focus was on understanding the relations among process parameters and deposit shape. Boron nitride was deposited using a B3 N3H6-N2 mixture and growth was characterized by sporadic nucleation followed by rapid bulk growth. Molybdenum was deposited from the MoCl5-H2 system and showed slow, but stable growth. Each material was used to grow both fibers and lines. The fabrication of a boron nitride-molybdenum composite was also demonstrated. In sum, this work served to both advance the

  11. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  12. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  13. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor

    PubMed Central

    2018-01-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner. PMID:29363979

  14. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    PubMed

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  15. Volatility dependence of Henry's law constants of condensable organics: Application to estimate depositional loss of secondary organic aerosols

    NASA Astrophysics Data System (ADS)

    Hodzic, A.; Aumont, B.; Knote, C.; Lee-Taylor, J.; Madronich, S.; Tyndall, G.

    2014-07-01

    The water solubility of oxidation intermediates of volatile organic compounds that can condense to form secondary organic aerosol (SOA) is largely unconstrained in current chemistry-climate models. We apply the Generator of Explicit Chemistry and Kinetics of Organics in the Atmosphere to calculate Henry's law constants for these intermediate species. Results show a strong negative correlation between Henry's law constants and saturation vapor pressures. Details depend on precursor species, extent of photochemical processing, and NOx levels. Henry's law constants as a function of volatility are made available over a wide range of vapor pressures for use in 3-D models. In an application using the Weather Research and Forecasting model coupled with Chemistry (WRF-Chem) over the U.S. in summer, we find that dry (and wet) deposition of condensable organic vapors leads to major reductions in SOA, decreasing surface concentrations by ~50% (10%) for biogenic and ~40% (6%) for short chain anthropogenic precursors under the considered volatility conditions.

  16. An effective approach to synthesize monolayer tungsten disulphide crystals using tungsten halide precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thangaraja, Amutha; Shinde, Sachin M.; Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp

    2016-02-01

    The synthesis of large-area monolayer tungsten disulphide (WS{sub 2}) single crystal is critical for realistic application in electronic and optical devices. Here, we demonstrate an effective approach to synthesize monolayer WS{sub 2} crystals using tungsten hexachloride (WCl{sub 6}) as a solid precursor in atmospheric chemical vapor deposition process. In this technique, 0.05M solution of WCl{sub 6} in ethanol was drop-casted on SiO{sub 2}/Si substrate to create an even distribution of the precursor, which was reduced and sulfurized at 750 °C in Ar atmosphere. We observed growth of triangular, star-shaped, as well as dendritic WS{sub 2} crystals on the substrate. The crystalmore » geometry evolves with the shape and size of the nuclei as observed from the dendritic structures. These results show that controlling the initial nucleation and growth process, large WS{sub 2} single crystalline monolayer can be grown using the WCl{sub 6} precursor. Our finding shows an easier and effective approach to grow WS{sub 2} monolayer using tungsten halide solution-casting, rather than evaporating the precursor for gas phase reaction.« less

  17. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  18. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating

    PubMed Central

    Slifka, A. J.; Filla, B. J.

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature. PMID:27413601

  19. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating.

    PubMed

    Slifka, A J; Filla, B J

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature.

  20. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  1. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  2. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  3. Chemical vapor deposition techniques and related methods for manufacturing microminiature thermionic converters

    DOEpatents

    King, Donald B.; Sadwick, Laurence P.; Wernsman, Bernard R.

    2002-06-25

    Methods of manufacturing microminiature thermionic converters (MTCs) having high energy-conversion efficiencies and variable operating temperatures using MEMS manufacturing techniques including chemical vapor deposition. The MTCs made using the methods of the invention incorporate cathode to anode spacing of about 1 micron or less and use cathode and anode materials having work functions ranging from about 1 eV to about 3 eV. The MTCs also exhibit maximum efficiencies of just under 30%, and thousands of the devices can be fabricated at modest costs.

  4. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  5. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  6. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  7. Electron-Beam Vapor Deposition of Mold Inserts Final Report CRADA No. TSB-777-94

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shepp, T.; Feeley, T.

    Lawrence Livermore National Laboratory and H.G.G. Laser Fare, Inc. studied the application of electron-beam vapor deposition technology to the production of mold inserts for use in an injection molding machine by Laser Fare. Laser Fare provided LLNL with the requirements of the mold inserts as well as sample inserts. LLNL replicated the mold insert(s) to Laser Fare for testing by Laser Fare.

  8. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  9. A kinetic model for the characteristic surface morphologies of thin films by directional vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Huang, Po-Yu

    2017-12-01

    In order to simulate a process of directional vapor deposition, in this study, a numerical approach was applied to model the growth and evolution of surface morphologies for the crystallographic structures of thin films. The critical factors affecting the surface morphologies in a deposition process, such as the crystallographic symmetry, anisotropic interfacial energy, shadowing effect, and deposition rate, were all enclosed in the theoretical model. By altering the parameters of crystallographic symmetry in the structures, the faceted nano-columns with rectangular and hexagonal shapes were established in the simulation results. Furthermore, for revealing the influences of the anisotropic strength and the deposition rate theoretically on the crystallographic structure formations, various parameters adjusted in the numerical calculations were also investigated. Not only the morphologies but also the surface roughnesses for different processing conditions were distinctly demonstrated with the quantitative analysis of the simulations.

  10. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Atomic layer deposition of TiO{sub 2} from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H{sub 2}O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xie Qi; Jiang Yulong; Detavernier, Christophe

    2007-10-15

    Atomic layer deposition (ALD) of TiO{sub 2} thin films using Ti isopropoxide and tetrakis-dimethyl-amido titanium (TDMAT) as two kinds of Ti precursors and water as another reactant was investigated. TiO{sub 2} films with high purity can be grown in a self-limited ALD growth mode by using either Ti isopropoxide or TDMAT as Ti precursors. Different growth behaviors as a function of deposition temperature were observed. A typical growth rate curve-increased growth rate per cycle (GPC) with increasing temperatures was observed for the TiO{sub 2} film deposited by Ti isopropoxide and H{sub 2}O, while surprisingly high GPC was observed at lowmore » temperatures for the TiO{sub 2} film deposited by TDMAT and H{sub 2}O. An energetic model was proposed to explain the different growth behaviors with different precursors. Density functional theory (DFT) calculation was made. The GPC in the low temperature region is determined by the reaction energy barrier. From the experimental results and DFT calculation, we found that the intermediate product stability after the ligand exchange is determined by the desorption behavior, which has a huge effect on the width of the ALD process window.« less

  12. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    NASA Astrophysics Data System (ADS)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  13. Properties of NiO thin films deposited by chemical spray pyrolysis using different precursor solutions

    NASA Astrophysics Data System (ADS)

    Cattin, L.; Reguig, B. A.; Khelil, A.; Morsli, M.; Benchouk, K.; Bernède, J. C.

    2008-07-01

    NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl 2·6H 2O), nickel nitrate hexahydrate (Ni(NO 3) 2·6H 2O), nickel hydroxide hexahydrate (Ni(OH) 2·6H 2O), nickel sulfate tetrahydrate (NiSO 4·4H 2O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 °C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl 2 and Ni(NO 3) 2 precursors. These films have been post-annealed at 425 °C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10 -2 Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.

  14. Carbon nanotubes synthesized by Ni-assisted atmospheric pressure thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Choi, G. S.; Cho, Y. S.; Hong, S. Y.; Park, J. B.; Son, K. H.; Kim, D. J.

    2002-03-01

    A detailed systematic study on the growth morphology of carbon nanotubes (CNTs) on Si in atmospheric pressure thermal chemical vapor deposition was undertaken. The role of NH3 for vertical alignment of CNTs was investigated. The direct cause for the alignment was a dense distribution of the catalytic metal particles, but that the particles are maintained catalytically active under amorphous carbon deposits was established by NH3. It allows a dense nucleation of the CNTs, and consequently, assists vertical alignment through entanglement and mechanical leaning among the tubes. The CNTs grew in a base growth mode. Since Ni is consumed both by silicide reaction and by capture into the growing tube, the growth stops when Ni is totally depleted. It occurs earlier for smaller particles, and thus a long time of growth results in a thin bottom with poor adhesion.

  15. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    NASA Astrophysics Data System (ADS)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  16. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  17. Rare-earth-doped optical-fiber core deposition using full vapor-phase SPCVD process

    NASA Astrophysics Data System (ADS)

    Barnini, A.; Robin, T.; Cadier, B.; Aka, G.; Caurant, D.; Gotter, T.; Guyon, C.; Pinsard, E.; Guitton, P.; Laurent, A.; Montron, R.

    2017-02-01

    One key parameter in the race toward ever-higher power fiber lasers remains the rare earth doped optical core quality. Modern Large Mode Area (LMA) fibers require a fine radial control of the core refractive index (RI) close to the silica level. These low RI are achieved with multi-component materials that cannot be readily obtained using conventional solution doping based Modified Chemical Vapor Deposition (MCVD) technology. This paper presents a study of such optical material obtained through a full-vapor phase Surface Plasma Chemical Vapor Deposition (SPCVD). The SPCVD process generates straight glassy films on the inner surface of a thermally regulated synthetic silica tube under vacuum. The first part of the presented results points out the feasibility of ytterbium-doped aluminosilicate fibers by this process. In the second part we describe the challenge controlling the refractive index throughout the core diameter when using volatile fluorine to create efficient LMA fiber profiles. It has been demonstrated that it is possible to counter-act the loss of fluorine at the center of the core by adjusting the core composition locally. Our materials yielded, when used in optical fibers with numerical apertures ranging from 0.07 to 0.09, power conversion efficiency up to 76% and low background losses below 20 dB/km at 1100nm. Photodarkening has been measured to be similar to equivalent MCVD based fibers. The use of cerium as a co-dopant allowed for a complete mitigation of this laser lifetime detrimental effect. The SPCVD process enables high capacity preforms and is particularly versatile when it comes to radial tailoring of both rare earth doping level and RI. Large core diameter preforms - up to 4mm - were successfully produced.

  18. Synthesis and characterization of LPCVD SiC films using novel precursors

    NASA Astrophysics Data System (ADS)

    Bhaskaran, Mahalingam

    A unique low pressure chemical vapor deposition (LPCVD) process has been developed to synthesize amorphous and crystalline SiC films using environmentally benign chemicals. The interrelationships governing the process variables, compositions and select properties of the resulting films were established. Such films can be used to produce high quality mask membrane for x-ray lithography. These films can also be used in fabricating high power electrical devices, and hetrojunction devices in conjunction with silicon. Amorphous SiC films were synthesized using a single precursor, ditertiarybutylsilane, at temperatures below 850sp°C. Compositional analysis performed on these deposits revealed that, in the deposition temperature range of 625 to 750sp°C, the composition of the deposits changed progressively from slightly silicon rich (55% Si) to slightly carbon rich (51%C). Above 750sp°C, there was a rapid increase in the carbon content from the near stoichiometric value to about 75%-C at 850sp°C. The stoichiometric films exhibited high stress values of 700 ± 50 MPa. Attempts to reduce the stress values resulted in films with excess carbon content of about 60%-C. From the high frequency C-V characterization, the dielectric constant for these films was estimated to be 10.1 ± 0.5. Temperature bias stressing studies revealed a trapped charge density of 0.869× 10sp7 cIsp{-2} within the bulk. Crystalline silicon carbide films were grown on silicon substrates using dichlorosilane and acetylene as precursors, in the temperature range of 950sp°C to 1050sp°C. The carbon content in the film was found to be increasing with the deposition temperature, when the flow ratio of precursors was one. The carbon composition was also found to be sharply dependent on acetylene flow, for constant deposition temperature and pressure. Stoichiometric films were achieved for dichlorosilane to acetylene flow ratio of 4:1. X-ray diffraction studies confirmed the growth of beta-SiC with

  19. Chemical vapor deposited carbon nanotubes for aqueous H2-Cl2 fuel cells.

    PubMed

    Suryavanshi, U B; Bhosale, C H

    2010-06-01

    Carbon nanotubes having large surface area is an interesting material to develop H2-Cl2 fuel cell electrodes. The attempts were made to deposit carbon nanotubes on porous substrates by chemical vapour deposition. Turpentine oil (C10H16) was used as a precursor, decomposed at 1100 degrees C reactor temperature. Nickel, platinum, tin, Ni-Pt, Ni-Sn, Pt-Sn, Ni-Pt-Sn catalysts were used to grow carbon nanotubes. Nickel was deposited with electrodeposition, platinum with sputter coater and tin with vacuum deposition technique. The developed electrodes were characterized by XRD, SEM, TEM, FTIR, and resistivity by van-der Pauw method. Carbon nanotubes have been formed for 0.25 N nickel deposited for 45 and 60 min; 0.5 N, 0.75 N and 1 N nickel deposited for 15 to 60 min, at the interval of 15. Ni-Pt, Ni-Sn, Pt-Sn and Ni-Pt-Sn activated carbon also shows the well grown CNTs. Aqueous H2-Cl2 fuel cell performance was tested with these grown carbon nanotubes. 40% KCl with 1067 mohm(-1) cm(-1) conductivity was used as electrolyte. Linear sweep voltametry shows reduction potential for hydrogen gas. Chronoamperometry results show better half cell performance for nickel, deposited with 1 N, 45 min deposition time period; and combination of Ni-Pt-Sn with 140, and 110-100 mA/cm2 stable current density respectively.

  20. A Strategy to Design High-Density Nanoscale Devices utilizing Vapor Deposition of Metal Halide Perovskite Materials.

    PubMed

    Hwang, Bohee; Lee, Jang-Sik

    2017-08-01

    The demand for high memory density has increased due to increasing needs of information storage, such as big data processing and the Internet of Things. Organic-inorganic perovskite materials that show nonvolatile resistive switching memory properties have potential applications as the resistive switching layer for next-generation memory devices, but, for practical applications, these materials should be utilized in high-density data-storage devices. Here, nanoscale memory devices are fabricated by sequential vapor deposition of organolead halide perovskite (OHP) CH 3 NH 3 PbI 3 layers on wafers perforated with 250 nm via-holes. These devices have bipolar resistive switching properties, and show low-voltage operation, fast switching speed (200 ns), good endurance, and data-retention time >10 5 s. Moreover, the use of sequential vapor deposition is extended to deposit CH 3 NH 3 PbI 3 as the memory element in a cross-point array structure. This method to fabricate high-density memory devices could be used for memory cells that occupy large areas, and to overcome the scaling limit of existing methods; it also presents a way to use OHPs to increase memory storage capacity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Precursor-Surface Reactions in Plasma Deposition of Silicon Thin Films

    NASA Astrophysics Data System (ADS)

    Bakos, Tamas

    2005-03-01

    Device-quality hydrogenated amorphous silicon (a-Si:H) thin films are usually grown by plasma deposition under conditions where the SiH3 radical is the dominant deposition precursor. In this presentation, we report results of first-principles density functional theory calculations on the interactions of the SiH3 radical with the crystalline Si(100)-(2x1):H surface in conjunction with molecular-dynamics simulations of a-Si:H thin film growth by SiH3 radicals, which elucidate the pathways and energetics of surface reactions that govern important film properties. In particular, we show that an SiH3 radical can insert into strained surface Si-Si dimer bonds, abstract surface H through an Eley-Rideal mechanism, and passivate surface dangling bonds; these reactions follow exothermic and barrierless pathways that lead to a temperature-independent growth rate in agreement with experimental measurements. We also identify a thermally activated surface H abstraction process, in which the SiH3 radical diffuses through overcoordinated surface Si atoms until it encounters a favorable site for H abstraction; the diffusion and H-abstraction steps have commensurate activation barriers. This mechanism explains partly the reduction of the film H content at elevated substrate temperatures.

  2. Preparation of cuxinygazsen precursor films and powders by electroless deposition

    DOEpatents

    Bhattacharya, Raghu N.; Batchelor, Wendi Kay; Wiesner, Holm; Ramanathan, Kannan; Noufi, Rommel

    1999-01-01

    A method for electroless deposition of Cu.sub.x In.sub.y Ga.sub.z Se.sub.n (x=0-2, y=0-2, z=0-2, n=0-3) precursor films and powders onto a metallic substrate comprising: preparing an aqueous bath solution of compounds selected from the group consisting of: I) a copper compound, a selenium compound, an indium compound and gallium compound; II) a copper compound, a selenium compound and an indium compound; III) a selenium compound, and indium compound and a gallium compound; IV) a selenium compound and a indium compound; and V) a copper compound and selenium compound; each compound being present in sufficient quantity to react with each other to produce Cu.sub.x In.sub.y Ga.sub.z Se.sub.n (x=0-2, y=0-2, z=0-2, n=0-3); adjusting the pH of the aqueous bath solution to an acidic value by the addition of a dilute acid; and initiating an electroless reaction with an oxidizing counterelectrode for a sufficient time to cause a deposit of Cu.sub.x In.sub.y Ga.sub.z Se.sub.n (x=0-2, y=0-2, z=0-2, n=0-3) from the aqueous bath solution onto a metallic substrate.

  3. ZnxCd1-xSe alloy nanowires covering the entire compositional range grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.

    2005-07-01

    We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.

  4. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  5. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  6. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  7. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  8. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  9. Synthesis of silicon containing materials using liquid hydrosilane compositions through direct injection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srinivasan, Guruvenket; Sailer, Robert A.; Hoey, Justin

    An apparatus and a non-vapor-pressure dependent method of chemical vapor deposition of Si based materials using direct injection of liquid hydrosilane(s) are presented. Liquid silane precursor solutions may also include metal, non-metal or metalloid dopants, nanomaterials and solvents. An illustrative apparatus has a precursor solution and carrier gas system, atomizer and deposit head with interior chamber and a hot plate supporting the substrate. Atomized liquid silane precursor solutions and carrier gas moves through a confined reaction zone that may be heated and the aerosol and vapor are deposited on a substrate to form a thin film. The substrate may bemore » heated prior to deposition. The deposited film may be processed further with thermal or laser processing.« less

  10. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    ERIC Educational Resources Information Center

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  11. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    NASA Astrophysics Data System (ADS)

    Jung, Hanearl; Kim, Doyoung; Kim, Hyungjun

    2014-04-01

    The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O2 gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O2 ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O2 from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10-3 Ω cm for undoped ZnO to 2.05 × 10-3 Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  12. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  13. The contribution of vapor deposition to amorphous rims on lunar soil grains. [Abstract only

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Mckay, D. S.

    1994-01-01

    Recent analysis analytical electron microscope study of lunar soils showed that the approximately 60-nm-wide amorphous rims surrounding many lunar soils grains exhibit distinct compositional differences from their hosts. On average, the amorphous rim compositions reflect the local bulk soil composition with the exceptions of Si and S, which are enriched relative to the bulk soil. These chemical trends led us to propose that the amorphous rims were in fact deposits of impact-generated vapors produced during regolith gardening, a hypothesis that runs contrary to the generally accepted view that the rims are produced through amorphization of the outer parts of mineral grains by interaction with the solar wind. Analytical data are reported for amorphous rims on individual minerals in lunar soils in order to show that the magnitude of the chemical differences between rim and host are so great that they require a major addition of foreign elements to the grain surfaces. The average composition of amorphous rims is listed as a function of host mineralogy as determined in microtone thin sections using energy-dispersive X-ray spectrometry in the transmission electron microscope. As the host mineral becomes chemically more complex, the chemical differences are not as clear. The average rim compositions are remarkably similar and are independent of the host grain mineralogy. Whether there are 'sputtering' or radiation effects superimposed on the vapor-deposited material can be debated. We do not explicitly exclude the effects of radiation damage as a contributing factor to the formation of amorphous rims; we are merely emphasizing the major role played by condensed vapors in the formation of amorphous rims on lunar soil grains.

  14. Solid source MOCVD system

    DOEpatents

    Hubert, Brian N.; Wu, Xin Di

    1998-01-01

    A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metalorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition.

  15. Vapor purification with self-cleaning filter

    DOEpatents

    Josephson, Gary B.; Heath, William O.; Aardahl, Christopher L.

    2003-12-09

    A vapor filtration device including a first electrode, a second electrode, and a filter between the first and second electrodes is disclosed. The filter is formed of dielectric material and the device is operated by applying a first electric potential between the electrodes to polarize the dielectric material such that upon passing a vapor stream through the filter, particles from the vapor stream are deposited onto the filter. After depositing the particles a second higher voltage is applied between the electrodes to form a nonthermal plasma around the filter to vaporize the collected particles thereby cleaning the filter. The filter can be a packed bed or serpentine filter mat, and an optional upstream corona wire can be utilized to charge airborne particles prior to their deposition on the filter.

  16. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  17. Enhanced vacuum arc vapor deposition electrode

    NASA Technical Reports Server (NTRS)

    Weeks, Jack L. (Inventor); Todd, Douglas M. (Inventor)

    1999-01-01

    A process for forming a thin metal coating on a substrate wherein a gas stream heated by an electrical current impinges on a metallic target in a vacuum chamber to form a molten pool of the metal and then vaporize a portion of the pool, with the source of the heated gas stream being on one side of the target and the substrate being on the other side of the target such that most of the metallic vapor from the target is directed at the substrate.

  18. Carbon nanotube synthesis via the catalytic chemical vapor deposition of methane in the presence of iron, molybdenum, and iron-molybdenum alloy thin layer catalysts

    NASA Astrophysics Data System (ADS)

    Yahyazadeh, Arash; Khoshandam, Behnam

    In this study, we documented the catalytic chemical vapor deposition synthesis of carbon nanotubes (CNTs) using ferrocene and molybdenum hexacarbonyl as catalyst nanoparticle precursors and methane as a nontoxic and economical carbon source for the first time. Field emission scanning electron microscopy, energy dispersive X-ray spectroscopy, wavelength dispersive X-ray spectrometry and transmission electron microscopy of the thin layer catalyst as a simple and cost effective catalyst preparation after methane decomposition reaction, along with Fourier transform infrared spectroscopy and Raman spectroscopy confirmed the growth of CNTs, from bimetallic nanoparticles, which are converted into iron-molybdenum alloy nanoparticles at 700 °C for pretreatment by hydrogen after chemical vapor deposition of thin layers. An investigation of the weight percentages of the chemical elements present in the CNTs synthesized from iron-molybdenum catalyst using quartz sheet substrate at 750 °C, confirmed a significant carbon yield of 75.4% which represents high catalyst activity. Additionally, multi-walled carbon nanotubes (∼16-55 nm in diameter and 1.2 μm in length) were observed in the iron-molybdenum alloy sample after methane decomposition reaction at 750 °C for 35 min. To show the role of iron and molybdenum coated on silicon substrate as two thin layer catalysts, samples were considered for CNTs growth (diameter ∼47-69 nm) at 800 °C and 830 °C, respectively. Moreover, the effect of hydrogen pretreatment was evaluated in terms of active metal coating properly. The best graphitic structure due to Raman spectroscopy outcomes (ID/IG ratio) was obtained for iron coated on a quartz sheet, which was estimated at 0.8505. Thermogravimetric analysis proved the thermal stability of the synthesized CNTs using iron thin-layer catalyst up to 350 °C.

  19. Growth behavior and properties of atomic layer deposited tin oxide on silicon from novel tin(II)acetylacetonate precursor and ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kannan Selvaraj, Sathees; Feinerman, Alan; Takoudis, Christos G., E-mail: takoudis@uic.edu

    In this work, a novel liquid tin(II) precursor, tin(II)acetylacetonate [Sn(acac){sub 2}], was used to deposit tin oxide films on Si(100) substrate, using a custom-built hot wall atomic layer deposition (ALD) reactor. Three different oxidizers, water, oxygen, and ozone, were tried. Resulting growth rates were studied as a function of precursor dosage, oxidizer dosage, reactor temperature, and number of ALD cycles. The film growth rate was found to be 0.1 ± 0.01 nm/cycle within the wide ALD temperature window of 175–300 °C using ozone; no film growth was observed with water or oxygen. Characterization methods were used to study the composition, interface quality, crystallinity, microstructure,more » refractive index, surface morphology, and resistivity of the resulting films. X-ray photoelectron spectra showed the formation of a clean SnO{sub x}–Si interface. The resistivity of the SnO{sub x} films was calculated to be 0.3 Ω cm. Results of this work demonstrate the possibility of introducing Sn(acac){sub 2} as tin precursor to deposit conducting ALD SnO{sub x} thin films on a silicon surface, with clean interface and no formation of undesired SiO{sub 2} or other interfacial reaction products, for transparent conducting oxide applications.« less

  20. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  1. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  2. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  3. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken; Shoute, Gem

    2016-09-15

    Although atomic layer deposition (ALD) of ZnO using diethyl zinc (DEZ) precursor has been extensively reported, variation in growth-per-cycle (GPC) values and the range of substrate temperature (T{sub sub}) for ALD growth between related studies remain unexplained. For identical processes, GPC for the characteristic self-limiting ALD growth is expected to be comparable. Hence, a significant variation in GPC among published ZnO ALD studies strongly suggests a concealed non-ALD growth component. To investigate this, the authors report plasma-enhanced ALD growth of ZnO using DEZ precursor and O{sub 2} inductively coupled plasma. The effect of T{sub sub} on ZnO GPC was studiedmore » with deposition cycles (1) 0.02 s–15 s–6 s–15 s, (2) 0.10 s–15 s–15 s–15 s, and (3) 0.20 s–15 s–30 s–15 s, where the cycle parameters t{sub 1}–t{sub 2}–t{sub 3}–t{sub 4} denote duration of DEZ pulse, post-DEZ purge, plasma exposure, and postplasma purge, respectively. The non-ALD growth characteristics observed at T{sub sub} ≥ 60 °C are discussed and attributed to DEZ precursor decomposition. The authors demonstrate ZnO growth at T{sub sub} = 50 °C to be self-limiting with respect to both t{sub 1} and t{sub 3} giving GPC of 0.101 ± 0.001 nm/cycle. The effect of precursor decomposition related (non-ALD) growth at T{sub sub} ≥ 60 °C is illustrated from comparison of optical dielectric function, electrical resistivity, and surface roughness of ZnO films deposited at T{sub sub} = 50, 125, and 200 °C.« less

  4. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  5. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.

  6. Method of making AlInSb by metal-organic chemical vapor deposition

    DOEpatents

    Biefeld, Robert M.; Allerman, Andrew A.; Baucom, Kevin C.

    2000-01-01

    A method for producing aluminum-indium-antimony materials by metal-organic chemical vapor deposition (MOCVD). This invention provides a method of producing Al.sub.X In.sub.1-x Sb crystalline materials by MOCVD wherein an Al source material, an In source material and an Sb source material are supplied as a gas to a heated substrate in a chamber, said Al source material, In source material, and Sb source material decomposing at least partially below 525.degree. C. to produce Al.sub.x In.sub.1-x Sb crystalline materials wherein x is greater than 0.002 and less than one.

  7. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  8. Solid source MOCVD system

    DOEpatents

    Hubert, B.N.; Wu, X.D.

    1998-10-13

    A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metallorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition. 13 figs.

  9. Characterization of electrochemically deposited films from aqueous and ionic liquid cobalt precursors toward hydrogen evolution reactions

    NASA Astrophysics Data System (ADS)

    Dushatinski, Thomas; Huff, Clay; Abdel-Fattah, Tarek M.

    2016-11-01

    Electrodepositions of cobalt films were achieved using an aqueous or an ethylene glycol based non-aqueous solution containing choline chloride (vitamin B4) with cobalt chloride hexahydrate precursor toward hydrogen evolution reactions from sodium borohydride (NaBH4) as solid hydrogen feedstock (SHF). The resulting cobalt films had reflectivity at 550 nm of 2.2% for aqueously deposited films (ACoF) and 1.3% for non-aqueously deposited films (NCoF). Surface morphology studied by scanning electron microscopy showed a positive correlation between particle size and thickness. The film thicknesses were tunable between >100 μm and <300 μm for each film. The roughness (Ra) value measurements by Dektak surface profiling showed that the NCoF (Ra = 165 nm) was smoother than the ACoF (Ra = 418 nm). The NCoFs and ACoFs contained only α phase (FCC) crystallites. The NCoFs were crystalline while the ACoFs were largely amorphous from X-ray diffraction analysis. The NCoF had an average Vickers hardness value of 84 MPa as compared to 176 MPa for ACoF. The aqueous precursor has a single absorption maximum at 510 nm and the non-aqueous precursor had three absorption maxima at 630, 670, and 695 nm. The hydrogen evolution reactions over a 1 cm2 catalytic surface with aqueous NaBH4 solutions generated rate constants (K) = equal to 4.9 × 10-3 min-1, 4.6 × 10-3 min-1, and 3.3 × 10-3 min-1 for ACoF, NCoF, and copper substrate respectively.

  10. Effect of the carrier gas flow rate on the microstructure evolution and the generation of the charged nanoparticles during silicon chemical vapor deposition.

    PubMed

    Youn, Woong-Kyu; Kim, Chan-Soo; Hwang, Nong-Moon

    2013-10-01

    The generation of charged nanoparticles in the gas phase has been continually reported in many chemical vapor deposition processes. Charged silicon nanoparticles in the gas phase were measured using a differential mobility analyzer connected to an atmospheric-pressure chemical vapor deposition reactor at various nitrogen carrier gas flow rates (300-1000 standard cubic centimeter per minute) under typical conditions for silicon deposition at the reactor temperature of 900 degrees C. The carrier gas flow rate affected not only the growth behavior of nanostructures but also the number concentration and size distribution of both negatively and positively charged nanoparticles. As the carrier gas flow rate decreased, the growth behavior changed from films to nanowires, which grew without catalytic metal nanoparticles on a quartz substrate.

  11. Heteroepitaxial diamond growth on 4H-SiC using microwave plasma chemical vapor deposition.

    PubMed

    Moore, Eric; Jarrell, Joshua; Cao, Lei

    2017-09-01

    Deposition of heteroepitaxial diamond via microwave chemical vapor deposition has been performed on a 4H-SiC substrate using bias enhanced nucleation followed by a growth step. In future work, the diamond film will serve as a protective layer for an alpha particle sensor designed to function in an electrorefiner during pyroprocessing of spent fuel. The diamond deposition on the 4H-SiC substrate was carried out using a methane-hydrogen gas mixture with varying gas flow rates. The nucleation step was conducted for 30 minutes and provided sufficient nucleation sites to grow a diamond film on various locations on the substrate. The resulting diamond film was characterized using Raman spectroscopy exhibiting the strong Raman peak at 1332 cm -1 . Scanning electron microscopy was used to observe the surface morphology and the average grain size of the diamond film was observed to be on the order of ∼2-3 μm.

  12. Selective growth of chirality-enriched semiconducting carbon nanotubes by using bimetallic catalysts from salt precursors.

    PubMed

    Zhao, Xiulan; Yang, Feng; Chen, Junhan; Ding, Li; Liu, Xiyan; Yao, Fengrui; Li, Meihui; Zhang, Daqi; Zhang, Zeyao; Liu, Xu; Yang, Juan; Liu, Kaihui; Li, Yan

    2018-04-19

    Bimetallic catalysts play important roles in the selective growth of single-walled carbon nanotubes (SWNTs). Using the simple salts (NH4)6W7O24·6H2O and Co(CH3COO)2·4H2O as precursors, tungsten-cobalt catalysts were prepared. The catalysts were composed of W6Co7 intermetallic compounds and tungsten-dispersed cobalt. With the increase of the W/Co ratio in the precursors, the content of W6Co7 was increased. Because the W6Co7 intermetallic compound can enable the chirality specified growth of SWNTs, the selectivity of the resulting SWNTs is improved at a higher W/Co ratio. At a W/Co ratio of 6 : 4 and under optimized chemical vapor deposition conditions, we realized the direct growth of semiconducting SWNTs with the purity of ∼96%, in which ∼62% are (14, 4) tubes. Using salts as precursors to prepare tungsten-cobalt bimetallic catalysts is flexible and convenient. This offers an efficient pathway for the large-scale preparation of chirality enriched semiconducting SWNTs.

  13. Localized growth of carbon nanotubes via lithographic fabrication of metallic deposits

    PubMed Central

    Tu, Fan; Drost, Martin; Szenti, Imre; Kiss, Janos; Kónya, Zoltan

    2017-01-01

    We report on the fabrication of carbon nanotubes (CNTs) at predefined positions and controlled morphology, for example, as individual nanotubes or as CNT forests. Electron beam induced deposition (EBID) with subsequent autocatalytic growth (AG) was applied to lithographically produce catalytically active seeds for the localized growth of CNTs via chemical vapor deposition (CVD). With the precursor Fe(CO)5 we were able to fabricate clean iron deposits via EBID and AG. After the proof-of-principle that these Fe deposits indeed act as seeds for the growth of CNTs, the influence of significant EBID/AG parameters on the deposit shape and finally the yield and morphology of the grown CNTs was investigated in detail. Based on these results, the parameters could be optimized such that EBID point matrixes (6 × 6) were fabricated on a silica surface whereby at each predefined site only one CNT was produced. Furthermore, the localized fabrication of CNT forests was targeted and successfully achieved on an Al2O3 layer on a silicon sample. A peculiar lift-up of the Fe seed structures as “flakes” was observed and the mechanism was discussed. Finally, a proof-of-principle was presented showing that EBID deposits from the precursor Co(CO)3NO are also very effective catalysts for the CNT growth. Even though the metal content (Co) of the latter is reduced in comparison to the Fe deposits, effective CNT growth was observed for the Co-containing deposits at lower CVD temperatures than for the corresponding Fe deposits. PMID:29259874

  14. Airfoil deposition model

    NASA Technical Reports Server (NTRS)

    Kohl, F. J.

    1982-01-01

    The methodology to predict deposit evolution (deposition rate and subsequent flow of liquid deposits) as a function of fuel and air impurity content and relevant aerodynamic parameters for turbine airfoils is developed in this research. The spectrum of deposition conditions encountered in gas turbine operations includes the mechanisms of vapor deposition, small particle deposition with thermophoresis, and larger particle deposition with inertial effects. The focus is on using a simplified version of the comprehensive multicomponent vapor diffusion formalism to make deposition predictions for: (1) simple geometry collectors; and (2) gas turbine blade shapes, including both developing laminar and turbulent boundary layers. For the gas turbine blade the insights developed in previous programs are being combined with heat and mass transfer coefficient calculations using the STAN 5 boundary layer code to predict vapor deposition rates and corresponding liquid layer thicknesses on turbine blades. A computer program is being written which utilizes the local values of the calculated deposition rate and skin friction to calculate the increment in liquid condensate layer growth along a collector surface.

  15. Improved quality of graphene in the absence of hydrogen in a low-temperature growth process using an alcohol precursor

    NASA Astrophysics Data System (ADS)

    Choi, Kyeonggon; Lee, Kiyeol; Jeong, Jaehoon; Ye, Jongpil

    2017-03-01

    We present the results of low-temperature growth of graphene on polycrystalline copper foil surfaces at 800 °C by using low-pressure chemical-vapor deposition of alcohol precursors. The structural quality of the graphene sample was found to depend significantly on the ambient conditions during the annealing and the growth processes. The improved quality of graphene grown in an oxidizing environment was found to be associated with a lower nucleation density, suggesting that chemisorbed oxygen atoms play a critical role in determining the quality of graphene.

  16. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  17. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  18. Electron beam physical vapor deposition of YSZ electrolyte coatings for SOFCs

    NASA Astrophysics Data System (ADS)

    He, Xiaodong; Meng, Bin; Sun, Yue; Liu, Bochao; Li, Mingwei

    2008-09-01

    YSZ electrolyte coatings were prepared by electron beam physical vapor deposition (EB-PVD) at a high deposition rate of up to 1 μm/min. The YSZ coating consisted of a single cubic phase and no phase transformation occurred after annealing treatment at 1000 °C. A typical columnar structure was observed in this coating by SEM and feather-like characteristics appeared in every columnar grain. In columnar grain boundaries there were many micron-sized gaps and pores. In TEM image, many white lines were found, originating from the alignment of nanopores existing within feather-like columnar grains. The element distribution along the cross-section of the coating was homogeneous except Zr with a slight gradient. The coating exhibited a characteristic anisotropic behavior in electrical conductivity. In the direction perpendicular to coating surface the electrical conductivity was remarkably higher than that in the direction parallel to coating surface. This mainly attributed to the typical columnar structure for EB-PVD coating and the existence of many grain boundaries along the direction parallel to coating surface. For as-deposited coating, the gas permeability coefficient of 9.78 × 10 -5 cm 4 N -1 s -1 was obtained and this value was close to the critical value of YSZ electrolyte layer required for solid oxide fuel cell (SOFC) operation.

  19. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  20. Chemical vapor deposition on chabazite (CHA) zeolite membranes for effective post-combustion CO2 capture.

    PubMed

    Kim, Eunjoo; Lee, Taehee; Kim, Hyungmin; Jung, Won-Jin; Han, Doug-Young; Baik, Hionsuck; Choi, Nakwon; Choi, Jungkyu

    2014-12-16

    Chabazite (CHA) zeolites with a pore size of 0.37 × 0.42 nm(2) are expected to separate CO2 (0.33 nm) from larger N2 (0.364 nm) in postcombustion flue gases by recognizing their minute size differences. Furthermore, the hydrophobic siliceous constituent in CHA membranes can allow for maintaining the CO2/N2 separation performance in the presence of H2O in contrast with the CO2 affinity-based membranes. In an attempt to increase the molecular sieving ability, the pore mouth size of all silica CHA (Si-CHA) particles was reduced via the chemical vapor deposition (CVD) of a silica precursor (tetraethyl orthosilicate). Accordingly, an increase of the CVD treatment duration decreased the penetration rate of CO2 into the CVD-treated Si-CHA particles. Furthermore, the CVD process was applied to siliceous CHA membranes in order to improve their CO2/N2 separation performance. Compared to the intact CHA membranes, the CO2/N2 maximum separation factor (max SF) for CVD-treated CHA membranes was increased by ∼ 2 fold under dry conditions. More desirably, the CO2/N2 max SF was increased by ∼ 3 fold under wet conditions at ∼ 50 °C, a representative temperature of the flue gas stream. In fact, the presence of H2O in the feed disfavored the permeation of N2 more than that of CO2 through CVD-modified CHA membranes and thus, contributed to the increased CO2/N2 separation factor.