Sample records for vapor deposition rf-pecvd

  1. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  2. Characterization of Diamond-like Carbon (DLC) films deposited by RF ICP PECVD method

    NASA Astrophysics Data System (ADS)

    Oleszkiewicz, Waldemar; Kijaszek, Wojciech; Gryglewicz, Jacek; Zakrzewski, Adrian; Gajewski, Krzysztof; Kopiec, Daniel; Kamyczek, Paulina; Popko, Ewa; Tłaczała, Marek

    2013-07-01

    The work presents the results of a research carried out with Plasmalab Plus 100 system, manufactured by Oxford Instruments Company. The system was configured for deposition of diamond-like carbon films by ICP PECVD method. The deposition processes were carried out in CH4 or CH4/H2 atmosphere and the state of the plasma was investigated by the OES method. The RF plasma was capacitively coupled by 13.56 MHz generator with supporting ICP generator (13.56 Mhz). The deposition processes were conducted in constant value of RF generator's power and resultant value of the DC Bias. The power values of RF generator was set at 70 W and the power values of ICP generator was set at 300 W. In this work we focus on the influence of DLC film's thickness on optical, electrical and structural properties of the deposited DLC films. The quality of deposited DLC layers was examined by the Raman spectroscopy, AFM microscopy and spectroscopic ellipsometry. In the investigated DLC films the calculated sp3 content was ranging from 60 % to 70 %. The films were characterized by the refractive index ranging from 2.03 to 2.1 and extinction coefficient ranging from 0.09 to 0.12.

  3. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  4. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  5. Effect of RF power density on micro- and macro-structural properties of PECVD grown hydrogenated nanocrystalline silicon thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gokdogan, Gozde Kahriman, E-mail: gozdekahriman@gmail.com; Anutgan, Tamila, E-mail: tamilaanutgan@karabuk.edu.tr

    2016-03-25

    This contribution provides the comparison between micro- and macro-structure of hydrogenated nanocrystalline silicon (nc-Si:H) thin films grown by plasma enhanced chemical vapor deposition (PECVD) technique under different RF power densities (P{sub RF}: 100−444 mW/cm{sup 2}). Micro-structure is assessed through grazing angle X-ray diffraction (GAXRD), while macro-structure is followed by surface and cross-sectional morphology via field emission scanning electron microscopy (FE-SEM). The nanocrystallite size (∼5 nm) and FE-SEM surface conglomerate size (∼40 nm) decreases with increasing P{sub RF}, crystalline volume fraction reaches maximum at 162 mW/cm{sup 2}, FE-SEM cross-sectional structure is columnar except for the film grown at 162 mW/cm{sup 2}. The dependence of previously determinedmore » ‘oxygen content–refractive index’ correlation on obtained macro-structure is investigated. Also, the effect of P{sub RF} is discussed in the light of plasma parameters during film deposition process and nc-Si:H film growth models.« less

  6. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  7. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  8. Simulation and experimental verification of silicon dioxide deposition by PECVD

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Deposition of silicon dioxide in high-density plasma is an important process in integrated circuit manufacturing. A software named CFD-ACE was used to simulate the mechanism of plasma in the chamber of plasma enhanced chemical vapor deposition (PECVD) system, and the evolution of the feature profile was simulated based on CFD-TOPO. Simulation and experiment of silicon dioxide that deposited in SiH4/N2O mixture by PECVD system was researched. The particle density, energy and angular distribution in the chamber were simulated and discussed. We also studied how the depth/width ratio affected the step coverage of the trench and analyzed the deposition rate of silicon dioxide on the feature scale. X-ray photoelectron spectroscopy (XPS) was used to analyze the elemental composition of thin films. Images of the feature profiles were taken by scanning electron microscope (SEM). The simulation results were in good agreement with experimental, which could guide the semiconductor device manufacture.

  9. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  10. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  11. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  12. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  13. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    PubMed

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  14. Surface roughness analysis of SiO2 for PECVD, PVD and IBD on different substrates

    NASA Astrophysics Data System (ADS)

    Amirzada, Muhammad Rizwan; Tatzel, Andreas; Viereck, Volker; Hillmer, Hartmut

    2016-02-01

    This study compares surface roughness of SiO2 thin layers which are deposited by three different processes (plasma-enhanced chemical vapor deposition, physical vapor deposition and ion beam deposition) on three different substrates (glass, Si and polyethylene naphthalate). Plasma-enhanced chemical vapor deposition (PECVD) processes using a wide range of deposition temperatures from 80 to 300 °C have been applied and compared. It was observed that the nature of the substrate does not influence the surface roughness of the grown layers very much. It is also perceived that the value of the surface roughness keeps on increasing as the deposition temperature of the PECVD process increases. This is due to the increase in the surface diffusion length with the rise in substrate temperature. The layers which have been deposited on Si wafer by ion beam deposition (IBD) process are found to be smoother as compared to the other two techniques. The layers which have been deposited on the glass substrates using PECVD reveal the highest surface roughness values in comparison with the other substrate materials and techniques. Different existing models describing the dynamics of clusters on surfaces are compared and discussed.

  15. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    NASA Astrophysics Data System (ADS)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  16. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  17. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  18. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  19. Deposition of amorphous silicon using a tubular reactor with concentric-electrode confinement

    NASA Astrophysics Data System (ADS)

    Conde, J. P.; Chan, K. K.; Blum, J. M.; Arienzo, M.; Cuomo, J. J.

    1992-04-01

    High-quality, hydrogenated amorphous silicon (a-Si:H) is deposited at room temperature by rf glow discharge at a high deposition rate using a tubular reactor with cylindrical symmetry (concentric-electrode plasma-enhanced chemical vapor deposition, CE-PECVD). Using the novel CE-PECVD design, room-temperature deposition of a-Si:H with growth rates up to 14 Å s-1, low hydrogen concentration (≲10%), and the bonded hydrogen in the Si-H monohydride configuration, is achieved for the first time using an rf glow-discharge technique. The influence of the deposition parameters (silane flow rate, pressure, and power density) on the growth rate, optical band gap, and silicon-hydrogen bonding configuration, is quantitatively predicted using a deposition mechanism based on the additive contribution of three growth precursors, SiH2, SiH3, and Si2H6, with decreasing sticking coefficients of 0.7, 0.1, and 0.001, respectively. The low hydrogen concentration is due to the enhanced ion bombardment resulting from the concentric electrode design.

  20. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  1. PECVD Growth of Carbon Nanotubes

    NASA Technical Reports Server (NTRS)

    McAninch, Ian; Arnold, James O. (Technical Monitor)

    2001-01-01

    Plasma enhanced chemical vapor deposition (PECVD), using inductively coupled plasma, has been used to grow carbon nanotubes (CNTs) and graphitic carbon fibers (GCF) on substrates sputtered with aluminum and iron catalyst. The capacitive plasma's power has been shown to cause a transition from nanotubes to nanofibers, depending on the strength of the plasma. The temperature, placement, and other factors have been shown to affect the height and density of the tube and fiber growth.

  2. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  3. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  4. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  5. Design and fabrication of asymmetric nanopores using pulsed PECVD

    NASA Astrophysics Data System (ADS)

    Kelkar, Sanket S.

    Manipulating matter at nanometric length scales is important for many electronic, chemical and biological applications. Structures such as nanopores demonstrate a phenomenon known as hindered transport which can be exploited in analytical applications such as DNA sequencing, ionic transistors, and molecular sieving. Precisely controlling the size, geometry and surface characteristics of the nanopores is important for realizing these applications. In this work, we employ relatively large template structures (˜ 100 nm) produced by track-etching or electron beam lithography. The pore size is then reduced to the desired level by deposition of material using pulsed plasma enhanced chemical vapor deposition (PECVD). Pulsed PECVD has been developed as a high throughput alternative to atomic layer deposition (ALD) to deliver self-limiting growth of thin films. The goal of this thesis is to extend the application of pulsed PECVD to fabricate asymmetric nanopores. In contrast to ALD, pulsed PECVD does not result in perfectly conformal deposition profiles, and predicting the final nanostructure is more complicated. A two dimensional feature scale model was developed to predict film profile evolution. The model was built in COMSOL, and is based on a diffusion reaction framework with a spatially varying Knudsen diffusion coefficient to account for the molecular transport inside the features. A scaling analysis was used to account for ALD exposure limitations that commonly occur when coating these extremely high aspect ratio features. The model was verified by cross-section microscopy of deposition profiles on patterned cylinders and trenches. The model shows that it is possible to obtain unique nanopore morphologies in pulsed PECVD that are distinct from either steady state deposition processes such as physical vapor deposition (PVD) or conventional ALD. Polymeric track etched (TE) membrane supports with a nominal size of 100 nm were employed as model template structures to

  6. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD.

    PubMed

    Sahu, B B; Yin, Y Y; Tsutsumi, T; Hori, M; Han, Jeon G

    2016-05-14

    Control of the plasma densities and energies of the principal plasma species is crucial to induce modification of the plasma reactivity, chemistry, and film properties. This work presents a systematic and integrated approach to the low-temperature deposition of hydrogenated amorphous silicon nitride films looking into optimization and control of the plasma processes. Radiofrequency (RF) and ultrahigh frequency (UHF) power are combined to enhance significantly the nitrogen plasma and atomic-radical density to enforce their effect on film properties. This study presents an extensive investigation of the influence of combining radiofrequency (RF) and ultrahigh frequency (UHF) power as a power ratio (PR = RF : UHF), ranging from 4 : 0 to 0 : 4, on the compositional, structural, and optical properties of the synthesized films. The data reveal that DF power with a characteristic bi-Maxwellian electron energy distribution function (EEDF) is effectively useful for enhancing the ionization and dissociation of neutrals, which in turn helps in enabling high rate deposition with better film properties than that of SF operations. Utilizing DF PECVD, a wide-bandgap of ∼3.5 eV with strong photoluminescence features can be achieved only by using a high-density plasma and high nitrogen atom density at room temperature. The present work also proposes the suitability of the DF PECVD approach for industrial applications.

  7. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    NASA Astrophysics Data System (ADS)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  8. PECVD de composes de silicium sur polymeres: Etude de la premiere phase du depot

    NASA Astrophysics Data System (ADS)

    Dennler, Gilles

    Since their first introduction in the early 90's, transparent barriers against oxygen and/or water vapor permeation through polymers, such as SiO 2, are the object of increasing interest in the food and pharmaceutical packaging industries, and more recently for the encapsulation of organic-based displays. It is now well known that these thin layers possess barrier properties only if they are thicker than a certain critical thickness, dc. For example, dc is around 12 nm in the case of SiO2 on KaptonRTM PI; below this value, the measured "Oxygen Transmission Rate" (OTR, in standard cm3/m2/day/bar) is roughly the same as that of the uncoated polymer. Until now, no detailed research has been carried out to explain this observation, but a hypothesis was proposed in the literature, based on island-like growth structure of the coating for d ≤ dc. According to this hypothesis, the surface energy of the polymeric substrates is so low that the Volmer-Weber (island-coalescence) growth mode occurs. We have aimed to verify this explanation, that is, to study the initial phase of silicon-compound (SiO2 and SiN) growth on four different polymeric substrates, namely polyimide (KaptonRTM PI), polycarbonate (LexanRTM PC), polypropylene (PP), and polyethyleneterephthalate (MylarRTM PET). Three different deposition methods were used, namely reactive evaporation of SiO, radio-frequency (RF) Plasma Enhanced Chemical Vapor Deposition (RF PECVD), and Distributed Electron Cyclotron Resonance (DECR) PECVD. In this latter case, the substrates were placed in three different positions: (i) in the active glow zone, (ii) downstream, and (iii) downstream, but shielded from photon emission (e.g. VUV) from the plasma. Angle-Resolved X-Ray Photoelectron Spectroscopy (ARXPS), Rutherford Backscattering Spectroscopy (RBS), and Scanning Electron Microscopy (SEM), the latter performed after Reactive Ion Etching (RIE) by oxygen plasma, revealed that growth indeed occurs in a Volmer-Weber mode in the

  9. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  10. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  11. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    PubMed

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  12. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  13. Enhanced stability of Cu-BTC MOF via perfluorohexane plasma-enhanced chemical vapor deposition.

    PubMed

    Decoste, Jared B; Peterson, Gregory W; Smith, Martin W; Stone, Corinne A; Willis, Colin R

    2012-01-25

    Metal organic frameworks (MOFs) are a leading class of porous materials for a wide variety of applications, but many of them have been shown to be unstable toward water. Cu-BTC (1,3,5 benzenetricarboxylic acid, BTC) was treated with a plasma-enhanced chemical vapor deposition (PECVD) of perfluorohexane creating a hydrophobic form of Cu-BTC. It was found that the treated Cu-BTC could withstand high humidity and even submersion in water much better than unperturbed Cu-BTC. Through Monte Carlo simulations it was found that perfluorohexane sites itself in such a way within Cu-BTC as to prevent the formation of water clusters, hence preventing the decomposition of Cu-BTC by water. This PECVD of perfluorohexane could be exploited to widen the scope of practical applications of Cu-BTC and other MOFs. © 2012 American Chemical Society

  14. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    PubMed

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  15. Upcycling Waste Lard Oil into Vertical Graphene Sheets by Inductively Coupled Plasma Assisted Chemical Vapor Deposition.

    PubMed

    Wu, Angjian; Li, Xiaodong; Yang, Jian; Du, Changming; Shen, Wangjun; Yan, Jianhua

    2017-10-12

    Vertical graphene (VG) sheets were single-step synthesized via inductively coupled plasma (ICP)-enhanced chemical vapor deposition (PECVD) using waste lard oil as a sustainable and economical carbon source. Interweaved few-layer VG sheets, H₂, and other hydrocarbon gases were obtained after the decomposition of waste lard oil. The influence of parameters such as temperature, gas proportion, ICP power was investigated to tune the nanostructures of obtained VG, which indicated that a proper temperature and H₂ concentration was indispensable for the synthesis of VG sheets. Rich defects of VG were formed with a high I D / I G ratio (1.29), consistent with the dense edges structure observed in electron microscopy. Additionally, the morphologies, crystalline degree, and wettability of nanostructure carbon induced by PECVD and ICP separately were comparatively analyzed. The present work demonstrated the potential of our PECVD recipe to synthesize VG from abundant natural waste oil, which paved the way to upgrade the low-value hydrocarbons into advanced carbon material.

  16. Switching Vertical to Horizontal Graphene Growth Using Faraday Cage-Assisted PECVD Approach for High-Performance Transparent Heating Device.

    PubMed

    Qi, Yue; Deng, Bing; Guo, Xiao; Chen, Shulin; Gao, Jing; Li, Tianran; Dou, Zhipeng; Ci, Haina; Sun, Jingyu; Chen, Zhaolong; Wang, Ruoyu; Cui, Lingzhi; Chen, Xudong; Chen, Ke; Wang, Huihui; Wang, Sheng; Gao, Peng; Rummeli, Mark H; Peng, Hailin; Zhang, Yanfeng; Liu, Zhongfan

    2018-02-01

    Plasma-enhanced chemical vapor deposition (PECVD) is an applicable route to achieve low-temperature growth of graphene, typically shaped like vertical nanowalls. However, for transparent electronic applications, the rich exposed edges and high specific surface area of vertical graphene (VG) nanowalls can enhance the carrier scattering and light absorption, resulting in high sheet resistance and low transmittance. Thus, the synthesis of laid-down graphene (LG) is imperative. Here, a Faraday cage is designed to switch graphene growth in PECVD from the vertical to the horizontal direction by weakening ion bombardment and shielding electric field. Consequently, laid-down graphene is synthesized on low-softening-point soda-lime glass (6 cm × 10 cm) at ≈580 °C. This is hardly realized through the conventional PECVD or the thermal chemical vapor deposition methods with the necessity of high growth temperature (1000 °C-1600 °C). Laid-down graphene glass has higher transparency, lower sheet resistance, and much improved macroscopic uniformity when compare to its vertical graphene counterpart and it performs better in transparent heating devices. This will inspire the next-generation applications in low-cost transparent electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    PubMed

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  18. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  19. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  20. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  1. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    PubMed Central

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  2. Effects of rf power on chemical composition and surface roughness of glow discharge polymer films

    NASA Astrophysics Data System (ADS)

    Zhang, Ling; He, Xiaoshan; Chen, Guo; Wang, Tao; Tang, Yongjian; He, Zhibing

    2016-03-01

    The glow discharge polymer (GDP) films for laser fusion targets were successfully fabricated by plasma enhanced chemical vapor deposition (PECVD) at different radio frequency (rf) powers. The films were deposited using trans-2-butene (T2B) mixed with hydrogen as gas sources. The composition and state of plasma were diagnosed by quadrupole mass spectrometer (QMS) and Langmuir probe during the deposition process. The composition, surface morphology and roughness were investigated by Fourier transform infrared spectroscopy (FTIR), scanning electron microscope (SEM) and white-light interferometer (WLI), respectively. Based on these observation and analyses, the growth mechanism of defects in GDP films were studied. The results show that, at low rf power, there is a larger probability for secondary polymerization and formation of multi-carbon C-H species in the plasma. In this case, the surface of GDP film turns to be cauliflower-like. With the increase of rf power, the degree of ionization is high, the relative concentration of smaller-mass hydrocarbon species increases, while the relative concentration of larger-mass hydrocarbon species decreases. At higher rf power, the energy of smaller-mass species are high and the etching effects are strong correspondingly. The GDP film's surface roughness shows a trend of decrease firstly and then increase with the increasing rf power. At rf power of 30 W, the surface root-mean-square roughness (Rq) drops to the lowest value of 12.8 nm, and no ;void; defect was observed.

  3. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  4. Influence of interfaces density and thermal processes on mechanical stress of PECVD silicon nitride

    NASA Astrophysics Data System (ADS)

    Picciotto, A.; Bagolini, A.; Bellutti, P.; Boscardin, M.

    2009-10-01

    The paper focuses on a particular silicon nitride thin film (SiN x) produced by plasma enahanced chemical vapor deposition (PECVD) technique with high deposition rate (26 nm/min) and low values of mechanical stress (<100 MPa). This was perfomed with mixed frequency procedure varying the modulation of high frequency at 13.56 MHz and low frequency at 308 kHz of RF power supply during the deposition, without changing the ratio of reaction gases. Low stress silicon nitride is commonly obtained by tailoring the thickness ratio of high frequency vs. low frequency silicon nitride layers. The attention of this work was directed to the influence of the number of interfaces per thickness unit on the stress characteristics of the deposited material. Two sets of wafer samples were deposited with low stress silicon nitride, with a thickness of 260 nm and 2 μm, respectively. Thermal annealing processes at 380 and 520 °C in a inert enviroment were also performed on the wafers. The Stoney-Hoffman model was used to estimate the stress values by wafer curvature measurement with a mechanical surface profilometer: the stress was calculated for the as-deposited layer, and after each annealing process. The thickness and the refractive index of the SiN x were also measured and charaterized by variable angle spectra elliposometry (VASE) techinique. The experimental measurements were performed at the MT-LAB, IRST (Istituto per la Ricerca Scientifica e Tecnologica) of Bruno Kessler Foundation for Research in Trento.

  5. Modeling and experimental study on the growth of silicon germanium film by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Lai

    Hydrogenated microcrystalline silicon germanium µc-SiGe:H deposited by plasma enhanced chemical vapor deposition (PECVD) is of great interest to photovoltaic (PV) applications due to its low process temperature and good uniformity over large area. The nature of high optical absorption and low optical bandgap makes it promising as the bottom cell absorbing layer for tandem junction solar cells. However, the addition of germane (GeH4) gas changes deposited film properties and makes it rather complicated for the established silane (SiH4) based discharge process with hydrogen (H2) dilution. Despite existing experimental studies for SiH 4/GeH4/H2 3-gas mixture discharge and comprehensive numerical simulations for SiH4/H2 or SiH4/Ar plasma, to the author's best knowledge, a numerical model for both SiH 4 and GeH4 in a high pressure regime is yet to be developed. The plasma discharge, the film growth and their effects on film properties and the solar device performance need deep understanding. In this dissertation, the growth of the µc-SiGe:H film by radio frequency (RF) PECVD is studied through modeling simulation as well as experiments. The first numerical model for the glow discharge of SiH4/GeH 4/H2 3-gas mixture in a high pressure regime is developed based on one dimensional fluid model. Transports of electrons, molecules, radicals and ions in the RF excitation are described by diffusion equations that are coupled with the Poisson's equation. The deposition is integrated as the boundary conditions for discharge equations through the sticking coefficient model. Neutral ionizations, radical dissociations and chemical reactions in the gas phase and surface kinetics such as the diffusive motion, chemical reactions and the hydrogen etching are included with interaction rate constants. Solved with an explicit central-difference discretization scheme, the model simulates mathematical features that reflect the plasma physics such as the plasma sheath and gas species

  6. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  7. PECVD silicon-rich nitride and low stress nitride films mechanical characterization using membrane point load deflection

    NASA Astrophysics Data System (ADS)

    Bagolini, Alvise; Picciotto, Antonino; Crivellari, Michele; Conci, Paolo; Bellutti, Pierluigi

    2016-02-01

    An analysis of the mechanical properties of plasma enhanced chemical vapor (PECVD) silicon nitrides is presented, using micro fabricated silicon nitride membranes under point load deflection. The membranes are made of PECVD silicon-rich nitride and low stress nitride films. The mechanical performance of the bended membranes is examined both with analytical models and finite element simulation in order to extract the elastic modulus and residual stress values. The elastic modulus of low stress silicon nitride is calculated using stress free analytical models, while for silicon-rich silicon nitride and annealed low stress silicon nitride it is estimated with a pre-stressed model of point-load deflection. The effect of annealing both in nitrogen and hydrogen atmosphere is evaluated in terms of residual stress, refractive index and thickness variation. It is demonstrated that a hydrogen rich annealing atmosphere induces very little change in low stress silicon nitride. Nitrogen annealing effects are measured and shown to be much higher in silicon-rich nitride than in low stress silicon nitride. An estimate of PECVD silicon-rich nitride elastic modulus is obtained in the range between 240-320 GPa for deposited samples and 390 GPa for samples annealed in nitrogen atmosphere. PECVD low stress silicon nitride elastic modulus is estimated to be 88 GPa as deposited and 320 GPa after nitrogen annealing.

  8. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  9. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  10. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  11. Effect of power on growth of nanocrystalline silicon films deposited by VHF PECVD technique for solar cell applications

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Verma, Payal; Savelyev, Dmitry A.; Khonina, Svetlana N.; Sudhakar, S.; Kumar, Sushil

    2016-04-01

    An investigation of the effect of power on the deposition of nanocrystalline silicon thin films were carried out using a gaseous mixture of silane and hydrogen in the 60MHz assisted VHF plasma enhanced chemical vapor deposition (PECVD) technique. The power was varied from 10 to 50 watt maintaining all other parameters constant. Corresponding layer properties w.r.t. material microstructure, optical, hydrogen content and electrical transport are studied in detail. The structural properties have been studied by Raman spectroscopy and x-ray diffraction (XRD). The presence of nano-sized crystals and their morphology have been investigated using atomic force microscopy (AFM). The role of bonded hydrogen content in the films have been studied from the results of Fourier transform infrared spectroscopy. It was observed from the results that with increase in power, crystalline volume fraction increases and crystallite size changes from 4 to 9 nm. The optical band gap varies from 1.7 to 2.1eV due to quantum confinement effect and which further can be explained with reduced hydrogen content. These striking features of nc-Si films can be used to fabricate stable thin film solar cells.

  12. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  13. Silicon Cluster Tool | Photovoltaic Research | NREL

    Science.gov Websites

    Material Deposition/Device Fabrication Very-high-frequency plasma-enhanced chemical vapor deposition (VHF PECVD) for microcrystalline silicon (µc-Si:H) Combinatorial plasma-enhanced chemical vapor deposition (Combi-PECVD) for p-type a-Si:H Plasma-enhanced chemical vapor deposition (PECVD) for n-type a-Si:H

  14. Low-stress PECVD amorphous silicon carbide (α-SiC) layers for biomedical application

    NASA Astrophysics Data System (ADS)

    Wei, Jiashen; Chen, Bangtao; Poenar, Daniel P.; Lee, Yong Yeow; Iliescu, Ciprian

    2008-12-01

    A detailed characterization of PECVD to produce low stress amorphous silicon carbide (α-SiC) layers at high deposition rate has been done and the biomedical applications of α-SiC layers are reported in this paper. By investigating different working principles in high-frequency mode (13.56MHz) and in low frequency mode (380KHz), it is found that deposition in high-frequency mode can achieve low stress layers at high deposition rates due to the structural rearrangement from high HF power, rather than the ion bombardment effect from high LF power which results in high compressive stress for α-SiC layers. Furthermore, the effects of deposition temperature, pressure and reactant gas ratios are also investigated and then an optimal process is achieved to produce low stress α-SiC layers with high deposition rates. To characterize the PECVD α-SiC layers from optimized process, a series of wet etching experiments in KOH and HF solutions have been completed. The very low etching rates of PECVD α-SiC layers in these two solutions show the good chemical inertness and suitability for masking layers in micromachining. Moreover, cell culture tests by seeding fibroblast NIH3T3 cells on the monocrystalline SiC, low-stress PECVD α-SiC released membranes and non-released PECVD α-SiC films on silicon substrates have been done to check the feasibility of PECVD α-SiC layers as substrate materials for biomedical applications. The results indicate that PECVD α-SiC layers are good for cell culturing, especially after treated in NH4F.

  15. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon.

    PubMed

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca I

    2017-03-06

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C.

  16. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  17. Optimization of PECVD Chamber Cleans Through Fundamental Studies of Electronegative Fluorinated Gas Discharges.

    NASA Astrophysics Data System (ADS)

    Langan, John

    1996-10-01

    The predominance of multi-level metalization schemes in advanced integrated circuit manufacturing has greatly increased the importance of plasma enhanced chemical vapor deposition (PECVD) and in turn in-situ plasma chamber cleaning. In order to maintain the highest throughput for these processes the clean step must be as short as possible. In addition, there is an increasing desire to minimize the fluorinated gas usage during the clean, while maximizing its efficiency, not only to achieve lower costs, but also because many of the gases used in this process are global warming compounds. We have studied the fundamental properties of discharges of NF_3, CF_4, and C_2F6 under conditions relevant to chamber cleaning in the GEC rf reference cell. Using electrical impedance analysis and optical emission spectroscopy we have determined that the electronegative nature of these discharges defines the optimal processing conditions by controlling the power coupling efficiency and mechanisms of power dissipation in the discharge. Examples will be presented where strategies identified by these studies have been used to optimize actual manufacturing chamber clean processes. (This work was performed in collaboration with Mark Sobolewski, National Institute of Standards and Technology, and Brian Felker, Air Products and Chemicals, Inc.)

  18. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  19. Influence of deposition rate on the structural properties of plasma-enhanced CVD epitaxial silicon

    PubMed Central

    Chen, Wanghua; Cariou, Romain; Hamon, Gwenaëlle; Léal, Ronan; Maurice, Jean-Luc; Cabarrocas, Pere Roca i

    2017-01-01

    Solar cells based on epitaxial silicon layers as the absorber attract increasing attention because of the potential cost reduction. In this work, we studied the influence of the deposition rate on the structural properties of epitaxial silicon layers produced by plasma-enhanced chemical vapor deposition (epi-PECVD) using silane as a precursor and hydrogen as a carrier gas. We found that the crystalline quality of epi-PECVD layers depends on their thickness and deposition rate. Moreover, increasing the deposition rate may lead to epitaxy breakdown. In that case, we observe the formation of embedded amorphous silicon cones in the epi-PECVD layer. To explain this phenomenon, we develop a model based on the coupling of hydrogen and built-in strain. By optimizing the deposition conditions to avoid epitaxy breakdown, including substrate temperatures and plasma potential, we have been able to synthesize epi-PECVD layers up to a deposition rate of 8.3 Å/s. In such case, we found that the incorporation of hydrogen in the hydrogenated crystalline silicon can reach 4 at. % at a substrate temperature of 350 °C. PMID:28262840

  20. Morphology and Structural Characterization of Carbon Nanowalls Grown via VHF-PECVD

    NASA Astrophysics Data System (ADS)

    Akmal Hasanudin, M.; Wahab, Y.; Ismail, A. K.; Zahid Jamal, Z. A.

    2018-03-01

    A 150 MHz very high frequency plasma enhanced chemical vapor deposition (150 MHz VHF-PECVD) system was utilized to fabricate two-dimensional carbon nanostructure from the mixture of methane and hydrogen. Morphology and structural properties of the grown nanostructure were investigated by FESEM imaging and Raman spectroscopy. Carbon nanowalls (CNW) with dense and wavy-like structure were successfully synthesized. The wavy-like morphology of CNW was found to be more distinct during growth at small electrode spacing and denser with increasing deposition time due to better flux of hydrocarbon radicals to the substrate and higher rate of reaction, respectively. Typical characteristics of CNW were observed from strong D band, narrow bandwidth of G band and single broad peak of 2D band of Raman spectra indicating the presence of disordered nanocrystalline graphite structure with high degree of graphitization.

  1. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  2. Photonic band gap and defects modes in inorganic/organic photonic crystal based on Si and HMDSO layers deposited by sputtering and PECVD

    NASA Astrophysics Data System (ADS)

    Amri, R.; Sahel, S.; Gamra, D.; Lejeune, M.; Clin, M.; Zellama, K.; Bouchriha, H.

    2018-02-01

    Hybrid inorganic/organic one dimensional photonic crystal based on alternating layers of Si/HMDSO is elaborated. The inorganic silicon is deposited by radiofrequency magnetron sputtering and the organic HMDSO is deposited by PECVD technique. As the Si refractive index is n = 3.4, and the refractive index of HMDSO layer depend on the deposition conditions, to get a photonic crystal with high and low refractive index presenting a good contrast, we have varied the radiofrequency power of PECVD process to obtain HMDSO layer with low refractive index (n = 1.45). Photonic band gap of this hybrid structure is obtained from the transmission and reflection spectra and appears after 9 alternative layers of Si/HMDSO. The introduction of defects in our photonic crystal leads to the emergence of localized modes within the photonic band gap. Our results are interpreted by using a theoretical model based on transfer matrix.

  3. Development of Advanced Deposition Technology for Microcrystalline Si Based Solar Cells and Modules: Final Technical Report, 1 May 2002-31 July 2004

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Y. M.

    2004-12-01

    The key objective of this subcontract was to take the first steps to extend the radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) manufacturing technology of Energy Photovoltaics, Inc. (EPV), to the promising field of a-Si/nc-Si solar cell fabrication by demonstrating ''proof-of-concept'' devices of good efficiencies that previously were believed to be unobtainable in single-chamber reactors owing to contamination problems. A complementary goal was to find a new high-rate deposition method that can conceivably be deployed in large PECVD-type reactors. We emphasize that our goal was not to produce 'champion' devices of near-record efficiencies, but rather, to achieve modestly high efficiencies usingmore » a far simpler (cheaper) system, via practical processing methods and materials. To directly attack issues in solar-cell fabrication at EPV, the nc-Si thin films were studied almost exclusively in the p-i-n device configuration (as absorbers or i-layers), not as stand-alone films. Highly efficient, p-i-n type, nc-Si-based solar cells are generally grown on expensive, laboratory superstrates, such as custom ZnO/glass of high texture (granular surface) and low absorption. Also standard was the use of a highly effective back-reflector ZnO/Ag, where the ZnO can be surface-textured for efficient diffuse reflection. The high-efficiency ''champion'' devices made by the PECVD methods were invariably prepared in sophisticated (i.e., expensive), multi-chamber, or at least load-locked deposition systems. The electrode utilization efficiency, defined as the surface-area ratio of the powered electrode to that of the substrates, was typically low at about one (1:1). To evaluate the true potential of nc-Si absorbers for cost-competitive, commercially viable manufacturing of large-area PV modules, we took a more down-to-earth approach, based on our proven production of a-Si PV modules by a massively parallel batch process in single-chamber RF-PECVD systems, to

  4. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  5. High power RF window deposition apparatus, method, and device

    DOEpatents

    Ives, Lawrence R.; Lucovsky, Gerald; Zeller, Daniel

    2017-07-04

    A process for forming a coating for an RF window which has improved secondary electron emission and reduced multipactor for high power RF waveguides is formed from a substrate with low loss tangent and desirable mechanical characteristics. The substrate has an RPAO deposition layer applied which oxygenates the surface of the substrate to remove carbon impurities, thereafter has an RPAN deposition layer applied to nitrogen activate the surface of the substrate, after which a TiN deposition layer is applied using Titanium tert-butoxide. The TiN deposition layer is capped with a final RPAN deposition layer of nitridation to reduce the bound oxygen in the TiN deposition layer. The resulting RF window has greatly improved titanium layer adhesion, reduced multipactor, and is able to withstand greater RF power levels than provided by the prior art.

  6. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  7. Vertical graphene nanosheets synthesized by thermal chemical vapor deposition and the field emission properties

    NASA Astrophysics Data System (ADS)

    Guo, Xin; Qin, Shengchun; Bai, Shuai; Yue, Hongwei; Li, Yali; Chen, Qiang; Li, Junshuai; He, Deyan

    2016-09-01

    In this paper, we explored synthesis of vertical graphene nanosheets (VGNs) by thermal chemical vapor deposition (CVD). Through optimizing the experimental condition, growth of well aligned VGNs with uniform morphologies on nickel-coated stainless steel (SS) was realized for the first time by thermal CVD. In the meantime, influence of growth parameters on the VGN morphology was understood based on the balancing between the concentration and kinetic energy of carbon-containing radicals. Structural characterizations demonstrate that the achieved VGNs are normally composed of several graphene layers and less corrugated compared to the ones synthesized by other approaches, e.g. plasma enhanced (PE) CVD. The field emission measurement indicates that the VGNs exhibit relatively stable field emission and a field enhancement factor of about 1470, which is comparable to the values of VGNs prepared by PECVD can be achieved.

  8. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  9. Effect of RF power and annealing on chemical bonding and morphology of a-CN{sub x} thin films as humidity sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aziz, N. F. H; Hussain, N. S. Mohamed; Awang, R.

    2013-11-27

    Amorphous carbon nitride (a-CN{sub x}) thin films were deposited using radio frequency plasma enhanced chemical vapor deposition (rf-PECVD) technique. A set of a-CN{sub x} thin films were prepared using pure methane (CH{sub 4}) gas diluted with nitrogen (N{sub 2}) gas. The rf power was varied at 50, 60, 70, 80, 90 and 100 W. These films were then annealed at 400 °C in a quartz tube furnace in argon (Ar) gas. The effects of rf power and thermal annealing on the chemical bonding and morphology of these samples were studied. Surface profilometer was used to measure film thickness. Fourier transformmore » infra-red spectroscopy (FTIR) and Field emission scanning electron microscopy (FESEM) measurements were used to determine their chemical bonding and morphology respectively. The deposition rate of the films increased constantly with increasing rf power up to 80W, before decreasing with further increase in rf power. Fourier transform infra-red spectroscopy (FTIR) studies showed a systematic change in the spectra and revealed three main peaks included C-N, C=N, C=C and C≡N triple bond. C=N and C≡N bonds decreased with increased C-N bonds after thermal annealing process. The FESEM images showed that the structure is porous for as-deposited and covered by granule-like grain structure after thermal annealing process was done. The resistance of the a-CN{sub x} thin film changed from 23.765 kΩ to 5.845 kΩ in the relative humidity range of 5 to 92 % and the film shows a good response and repeatability as a humidity sensing materials. This work showed that rf power and thermal annealing has significant effects on the chemical bonding and surface morphology of the a-CN{sub x} films and but yield films which are potential candidate as humidity sensor device.« less

  10. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  11. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  12. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  13. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  14. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  15. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  16. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  17. Investigation of Gate-Stacked In-Ga-Zn-O TFTs with Ga-Zn-O Source/Drain Electrodes by Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn; Hsu, Jui-Mei

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) was employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO TFTs) with high transparent gallium zinc oxide (GZO) source/drain electrodes. The influence of post-deposition annealing (PDA) temperature on GZO source/drain and device performance was studied. Device with a 300 °C annealing demonstrated excellent electrical characteristics with on/off current ratio of 2.13 × 108, saturation mobility of 10 cm2/V-s, and low subthreshold swing of 0.2 V/dec. The gate stacked LaAlO3/ZrO2 of AP-IGZO TFTs with highly transparent and conductive AP-GZO source/drain electrode show excellent gate control ability at a low operating voltage.

  18. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  19. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  20. Structural and optical properties of arsenic sulfide films synthesized by a novel PECVD-based approach

    NASA Astrophysics Data System (ADS)

    Mochalov, Leonid; Kudryashov, Mikhail; Logunov, Aleksandr; Zelentsov, Sergey; Nezhdanov, Aleksey; Mashin, Alexandr; Gogova, Daniela; Chidichimo, Giuseppe; De Filpo, Giovanni

    2017-11-01

    A new plasma-enhanced chemical vapor deposition-based (PECVD) approach for synthesizing of As-S films, with As content in the range 60-40 at.%, is demonstrated. The process has been carried out in a low-temperature Ar-plasma, employing for the first time volatile As and S as precursors. Utilization of inorganic elemental precursors, in contrast to the typically used in CVD metal-organic compounds or volatile hydrides/halides of Va- and VIa-group-elements, gives the possibility to reach the highest quality and purity of the As-S ≿halcogenide films. Quantum-chemical calculations have been performed to gain insight into the PECVD As-S chalcogenide films structure and the mechanism of its formation in the plasma discharge. An additional vibrational band near 650 cm-1 corresponding to cycled 2-dimensional units is observed by Raman spectroscopy. The process developed is cost-efficient one due to the very precise control and the long-term stability of the plasma parameters and it possesses a high potential for large-area applications such as fabrication of miniature integrated optical elements and 2D/3D printing of optical devices.

  1. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  2. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    PubMed

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  3. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  4. Effect of sulfur passivation on the InP surface prior to plasma-enhanced chemical vapor deposition of SiNx

    NASA Astrophysics Data System (ADS)

    Tang, Hengjing; Wu, Xiaoli; Xu, Qinfei; Liu, Hongyang; Zhang, Kefeng; Wang, Yang; He, Xiangrong; Li, Xue; Gong, Hai Mei

    2008-03-01

    The fabrication of Au/SiNx/InP metal-insulator-semiconductor (MIS) diodes has been achieved by depositing a layer of SiNx on the (NH4)2Sx-treated n-InP. The SiNx layer was deposited at 200 °C using plasma-enhanced chemical vapor deposition (PECVD). The effect of passivation on the InP surface before and after annealing was evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements, and Auger electron spectroscopy (AES) analysis was used to investigate the depth profiles of several atoms. The results indicate that the SiNx passivation layer exhibits good insulative characteristics. The annealing process causes distinct inter-diffusion in the SiNx/InP interface and contributes to the decrease of the fixed charge density and minimum interface state density, which are 1.96 × 1012 cm-2 and 7.41 × 1011 cm-2 eV-1, respectively. A 256 × 1 InP/InGaAs/InP heterojunction photodiode, fabricated with sulfidation and SiNx passivation layer, has good response uniformity.

  5. Reducing flicker noise in chemical vapor deposition graphene field-effect transistors

    NASA Astrophysics Data System (ADS)

    Arnold, Heather N.; Sangwan, Vinod K.; Schmucker, Scott W.; Cress, Cory D.; Luck, Kyle A.; Friedman, Adam L.; Robinson, Jeremy T.; Marks, Tobin J.; Hersam, Mark C.

    2016-02-01

    Single-layer graphene derived from chemical vapor deposition (CVD) holds promise for scalable radio frequency (RF) electronic applications. However, prevalent low-frequency flicker noise (1/f noise) in CVD graphene field-effect transistors is often up-converted to higher frequencies, thus limiting RF device performance. Here, we achieve an order of magnitude reduction in 1/f noise in field-effect transistors based on CVD graphene transferred onto silicon oxide substrates by utilizing a processing protocol that avoids aqueous chemistry after graphene transfer. Correspondingly, the normalized noise spectral density (10-7-10-8 μm2 Hz-1) and noise amplitude (4 × 10-8-10-7) in these devices are comparable to those of exfoliated and suspended graphene. We attribute the reduction in 1/f noise to a decrease in the contribution of fluctuations in the scattering cross-sections of carriers arising from dynamic redistribution of interfacial disorder.

  6. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  7. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  8. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    NASA Astrophysics Data System (ADS)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  9. Growth of single wall carbon nanotubes using PECVD technique: An efficient chemiresistor gas sensor

    NASA Astrophysics Data System (ADS)

    Lone, Mohd Yaseen; Kumar, Avshish; Husain, Samina; Zulfequar, M.; Harsh; Husain, Mushahid

    2017-03-01

    In this work, the uniform and vertically aligned single wall carbon nanotubes (SWCNTs) have been grown on Iron (Fe) deposited Silicon (Si) substrate by plasma enhanced chemical vapor deposition (PECVD) technique at very low temperature of 550 °C. The as-grown samples of SWCNTS were characterized by field emission scanning electron microscope (FESEM), high resolution transmission electron microscope (HRTEM) and Raman spectrometer. SWCNT based chemiresistor gas sensing device was fabricated by making the proper gold contacts on the as-grown SWCNTs. The electrical conductance and sensor response of grown SWCNTs have been investigated. The fabricated SWCNT sensor was exposed to ammonia (NH3) gas at 200 ppm in a self assembled apparatus. The sensor response was measured at room temperature which was discussed in terms of adsorption of NH3 gas molecules on the surface of SWCNTs. The achieved results are used to develope a miniaturized gas sensor device for monitoring and control of environment pollutants.

  10. Single-Step Seeded-Growth of Graphene Nanoribbons (GNRs) via Plasma-Enhanced Chemical Vapor Deposition (PECVD)

    NASA Astrophysics Data System (ADS)

    Hsu, C.-C.; Yang, K.; Tseng, W.-S.; Li, Yiliang; Li, Yilun; Tour, J. M.; Yeh, N.-C.

    One of the main challenges in the fabrication of GNRs is achieving large-scale low-cost production with high quality. Current techniques, including lithography and unzipped carbon nanotubes, are not suitable for mass production. We have recently developed a single-step PECVD growth process of high-quality graphene sheets without any active heating. By adding some substituted aromatic as seeding molecules, we are able to rapidly grow GNRs vertically on various transition-metal substrates. The morphology and electrical properties of the GNRs are dependent on the growth parameters such as the growth time, gas flow and species of the seeding molecules. On the other hand, all GNRs exhibit strong infrared and optical absorption. From studies of the Raman spectra, scanning electron microscopic images, and x-ray/ultraviolet photoelectron spectra of these GNRs as functions of the growth parameters, we propose a model for the growth mechanism. Our findings suggest that our approach opens up a pathway to large-scale, inexpensive production of GNRs for applications to supercapacitors and solar cells. This work was supported by the Grubstake Award and NSF through IQIM at Caltech.

  11. Deposition of dopant impurities and pulsed energy drive-in

    DOEpatents

    Wickboldt, Paul; Carey, Paul G.; Smith, Patrick M.; Ellingboe, Albert R.

    2008-01-01

    A semiconductor doping process which enhances the dopant incorporation achievable using the Gas Immersion Laser Doping (GILD) technique. The enhanced doping is achieved by first depositing a thin layer of dopant atoms on a semiconductor surface followed by exposure to one or more pulses from either a laser or an ion-beam which melt a portion of the semiconductor to a desired depth, thus causing the dopant atoms to be incorporated into the molten region. After the molten region recrystallizes the dopant atoms are electrically active. The dopant atoms are deposited by plasma enhanced chemical vapor deposition (PECVD) or other known deposition techniques.

  12. Deposition of dopant impurities and pulsed energy drive-in

    DOEpatents

    Wickboldt, Paul; Carey, Paul G.; Smith, Patrick M.; Ellingboe, Albert R.

    1999-01-01

    A semiconductor doping process which enhances the dopant incorporation achievable using the Gas Immersion Laser Doping (GILD) technique. The enhanced doping is achieved by first depositing a thin layer of dopant atoms on a semiconductor surface followed by exposure to one or more pulses from either a laser or an ion-beam which melt a portion of the semiconductor to a desired depth, thus causing the dopant atoms to be incorporated into the molten region. After the molten region recrystallizes the dopant atoms are electrically active. The dopant atoms are deposited by plasma enhanced chemical vapor deposition (PECVD) or other known deposition techniques.

  13. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  14. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  15. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  16. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  17. Deposition of dopant impurities and pulsed energy drive-in

    DOEpatents

    Wickboldt, P.; Carey, P.G.; Smith, P.M.; Ellingboe, A.R.

    1999-06-29

    A semiconductor doping process which enhances the dopant incorporation achievable using the Gas Immersion Laser Doping (GILD) technique is disclosed. The enhanced doping is achieved by first depositing a thin layer of dopant atoms on a semiconductor surface followed by exposure to one or more pulses from either a laser or an ion-beam which melt a portion of the semiconductor to a desired depth, thus causing the dopant atoms to be incorporated into the molten region. After the molten region recrystallizes the dopant atoms are electrically active. The dopant atoms are deposited by plasma enhanced chemical vapor deposition (PECVD) or other known deposition techniques. 2 figs.

  18. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  19. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  20. High density gold nanoparticles immobilized on surface via plasma deposited APTES film for decomposing organic compounds in microchannels

    NASA Astrophysics Data System (ADS)

    Rao, Xi; Guyon, Cédric; Ognier, Stephanie; Da Silva, Bradley; Chu, Chenglin; Tatoulian, Michaël; Hassan, Ali Abou

    2018-05-01

    Immobilization of colloidal particles (e.g. gold nanoparticles (AuNps)) on the inner surface of micro-/nano- channels has received a great interest for catalysis. A novel catalytic ozonation setup using a gold-immobilized microchannel reactor was developed in this work. To anchor AuNps, (3-aminopropyl) triethoxysilane (APTES) with functional amine groups was deposited using plasma enhanced chemical vapor deposition (PECVD) process. The results clearly evidenced that PECVD processing exhibited relatively high efficiency for grafting amine groups and further immobilizing AuNPs. The catalytic activity of gold immobilized microchannel was evaluated by pyruvic acid ozonation. The decomposition rate calculated from High Performance Liquid Chromatography (HPLC) indicated a much better catalytic performance of gold in microchannel than that in batch. The results confirmed immobilizing gold nanoparticles on plasma deposited APTES for preparing catalytic microreactors is promising for the wastewater treatment in the future.

  1. Phosphorus-doped glass proton exchange membranes for low temperature direct methanol fuel cells

    NASA Astrophysics Data System (ADS)

    Prakash, Shruti; Mustain, William E.; Park, SeongHo; Kohl, Paul A.

    Phosphorus-doped silicon dioxide thin films were used as ion exchange membranes in low temperature proton exchange membrane fuel cells. Phosphorus-doped silicon dioxide glass (PSG) was deposited via plasma-enhanced chemical vapor deposition (PECVD). The plasma deposition of PSG films allows for low temperature fabrication that is compatible with current microelectronic industrial processing. SiH 4, PH 3 and N 2O were used as the reactant gases. The effect of plasma deposition parameters, substrate temperature, RF power, and chamber pressure, on the ionic conductivity of the PSG films is elucidated. PSG conductivities as high as 2.54 × 10 -4 S cm -1 were realized, which is 250 times higher than the conductivity of pure SiO 2 films (1 × 10 -6 S cm -1) under identical deposition conditions. The higher conductivity films were deposited at low temperature, moderate pressure, limited reactant gas flow rate, and high RF power.

  2. Formation of nanocrystalline diamond in polymer like carbon films deposited by plasma CVD.

    PubMed

    Bhaduri, A; Chaudhuri, P

    2009-09-01

    Conventional plasma enhanced chemical vapour deposition (PECVD) method is generally not suitable for the growth of nanocrystalline diamond (NCD) films. However, our study shows that conditions favourable for powder formation help to grow large amount of nanocrystallites in conventional PECVD. With CH4 as the carbon source gas, dilution with Ar and moderate (50 W) rf power enhances formations of powders (nanoparticles) and C2 dimers within the plasma. On the other hand, with pure CH4 or with hydrogen diluted CH4, powder formation as also NCD growth is hindered. It is proposed that the nanoparticles formed in the plasma act as the "islands" while the C2 dimers are the "seeds" for the NCD growth. The structure of the films deposited on the grounded anode under different conditions of dilution has been studied. It is observed that with high Ar dilution the films contain NCD embedded in polymer like carbon (PLC) matrix.

  3. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  4. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  5. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  6. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  7. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  8. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  9. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  10. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD

    NASA Astrophysics Data System (ADS)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-01

    In order to confirm the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar+ ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar+ ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar+ ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar+ ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar+ ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar+ ion state. As for vertically oriented few-layer graphene (VFG), Ar+ ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar+ ion bombardment, and these special NCMs are promising in many fields.

  11. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD.

    PubMed

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-24

    In order to confirm the key role of Ar + ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar + ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar + ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar + ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar + ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar + ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar + ion state. As for vertically oriented few-layer graphene (VFG), Ar + ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar + ion bombardment, and these special NCMs are promising in many fields.

  12. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  13. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  14. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    NASA Astrophysics Data System (ADS)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  15. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  16. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  17. Characterizations of the Core-Shell Structured MgB2/CARBON Fiber Synthesis by Rf-Sputtering and Thermal Evaporation

    NASA Astrophysics Data System (ADS)

    Park, Sung Chang; Lim, Yeong Jin; Lee, Tae-Keun; Kim, Cheol Jin

    MgB2/carbon fibers have been synthesized by the combination of RF-sputtering of B and thermal evaporation of Mg, followed by co-evaporation. First, boron layer was deposited by RF-sputtering on the carbon fiber with average diameter of 7.1 μm. Later this coated layer of B was reacted with Mg vapor to transform into MgB2. Since the MgB2 reaction proceed with Mg diffusion into the boron layer, Mg vapor pressure and the diffusion time had to be controlled precisely to secure the complete reaction. Also the deposition rate of each element was controlled separately to obtain stoichiometric MgB2, since Mg was evaporated by thermal heating and B by sputtering system. The sintered B target was magnetron sputtered at the RF-power of ~200 W, which corresponded to the deposition rate of ~3.6 Å/s. With the deposition rate of B fixed, the vapor pressure of Mg was controlled by varying the temperature of tungsten boat with heating element control unit between 100 and 900°C. The MgB2 layers with the thickness of 200-950 nm could be obtained and occasionally MgO appeared as a second phase. Superconducting transition temperatures were measured around ~38 K depending on the deposition condition.

  18. Solid coatings deposited from liquid methyl methacrylate via Plasma Polymerization

    NASA Astrophysics Data System (ADS)

    Wurlitzer, Lisa; Maus-Friedrichs, Wolfgang; Dahle, Sebastian

    2016-09-01

    The polymerization of methyl methacrylate via plasma discharges is well known today. Usually, plasma-enhanced chemical vapor deposition (PECVD) is used to deposit polymer coatings. Solid coatings are formed out of the liquid phase from methyl methacrylate via dielectric barrier discharge. The formation of the coating proceeds in the gas and the liquid phase. To learn more about the reactions in the two phases, the coatings from MMA monomer will be compared to those from MMA resin. Finally, attenuated total reflection infrared spectroscopy, confocal laser scanning microscopy and X-ray photoelectron spectroscopy are employed to characterize the solid coatings. In conclusion, the plasma enhanced chemical solution deposition is compared to the classical thermal polymerization of MMA.

  19. Effectiveness of plasma and radical control for the low temperature synthesis and properties of a-SiNx:H films using RF-near microwave PECVD

    NASA Astrophysics Data System (ADS)

    Sahu, Bibhuti Bhusan; Toyoda, Hirotaka; Han, Jeon Geon

    2018-02-01

    By mixing and alternating power conditions of radio frequency and microwave plasma sources, a detailed study of a-SiNx:H films in the SiH4/N2 plasma enhanced chemical vapour deposition processes is undertaken. Data reveal a remarkable coherence between the deposition conditions, material's quality, bond densities, optical property, and stoichiometry of the films. The film composition can simply vary from Si-rich to N-rich by incorporating suitable plasma and atomic radical parameters. Highly transparent and wide bandgap films with N to Si and N to H atomic ratios up to ˜2.3 and 3.1, respectively, are prepared by controlling the plasma parameters and radicals. The presented results pave the way for dual frequency PECVD utilization in a-SiNx:H films for their use in controlled-bandgap nanodevices and light emitting applications.

  20. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  1. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  2. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  3. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  4. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  5. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  6. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  7. Germanium MOS capacitors grown on Silicon using low temperature RF-PECVD

    NASA Astrophysics Data System (ADS)

    Dushaq, Ghada; Rasras, Mahmoud; Nayfeh, Ammar

    2017-10-01

    In this paper, Ge metal-oxide-semiconductor capacitors (MOSCAPs) are fabricated on Si using a low temperature two-step deposition technique by radio frequency plasma enhanced chemical vapor deposition. The MOSCAP gate stack consists of atomic layer deposition of Al2O3 as the gate oxide and a Ti/Al metal gate electrode. The electrical characteristics of 9 nm Al2O3/i-Ge/Si MOSCAPs exhibit an n-type (p-channel) behavior and normal high frequency C-V responses. In addition to CV measurements, the gate leakage versus the applied voltage is measured and discussed. Moreover, the electrical behavior is discussed in terms of the material and interface quality. The Ge/high-k interface trap density versus the surface potential is extracted using the most commonly used methods in detemining the interface traps based on the capacitance-voltage (C-V) curves. The discussion included the Dit calculation from the conductance method, the high-low frequency (Castagné-Vapaille) method, and the Terman (high-frequency) method. Furthermore, the origins of the discrepancies in the interface trap densities determined from the different methods are discussed. The study of the post annealed Ge layers at different temperatures in H2 and N2 gas ambient revealed an improved electrical and transport properties of the films treated at T  <  600 °C. Also, samples annealed at  <550 °C show the lowest threading dislocation density of ~1  ×  106 cm-2. The low temperature processing of Ge/Si demonstrates a great potential for p-channel transistor applications in a monolithically integrated CMOS platform.

  8. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  9. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  10. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  11. Highly ionized physical vapor deposition plasma source working at very low pressure

    NASA Astrophysics Data System (ADS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  12. Commissioning results of Nb 3Sn cavity vapor diffusion deposition system at JLab

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory; Clemens, William A.; Macha, Kurt M.

    2015-09-01

    Nb 3Sn as a BCS superconductor with a superconducting critical temperature higher than that of niobium offers potential benefit for SRF cavities via a lower-than-niobium surface resistance at the same temperature and frequency. A Nb 3Sn vapor diffusion deposition system designed for coating of 1.5 and 1.3 GHz single-cell cavities was built and commissioned at JLab. As the part of the commissioning, RF performance at 2.0 K of a single-cell 1.5 GHz CEBAF-shaped cavity was measured before and after coating in the system. Before Nb 3Sn coating the cavity had a Q 0 of about 10 10 and was limitedmore » by the high field Q-slope at E acc ≅ 27 MV/m. Coated cavity exhibited the superconducting transition at about 17.9 K. The low-field quality factor was about 5∙10 9 at 4.3 K and 7∙10 9 at 2.0 K decreasing with field to about 1∙10 9 at E acc ≅ 8 MV/m at both temperatures. The highest field was limited by the available RF power.« less

  13. Plasma enhanced chemical vapour deposition of silica onto Ti: Analysis of surface chemistry, morphology and functional hydroxyl groups

    PubMed Central

    Szili, Endre J.; Kumar, Sunil; Smart, Roger St. C.; Lowe, Rachel; Saiz, Eduardo; Voelcker, Nicolas H.

    2009-01-01

    Previously, we have developed and characterised a procedure for the deposition of thin silica films by a plasma enhanced chemical vapour deposition (PECVD) procedure using tetraethoxysilane (TEOS) as the main precursor. We have used the silica coatings for improving the corrosion resistance of metals and for enhancing the bioactivity of biomedical metallic implants. Recently, we have been fine-tuning the PECVD method for producing high quality and reproducible PECVD-silica (PECVD-Si) coatings on metals, primarily for biomaterial applications. In order to understand the interaction of the PECVD-Si coatings with biological species (such as proteins and cells), it is important to first analyse the properties of the silica films deposited using the optimised parameters. Therefore, this current investigation was carried out to analyse the characteristic features of PECVD-Si deposited on Ti substrates (PECVD-Si-Ti). We determined that the PECVD-Si coatings on Ti were conformal to the substrate surface, strongly adhered to the underlying substrate and were resistant to delamination. The PECVD-Si surface was composed of stoichiometric SiO2, showed a low carbon content (below 10 at.%) and was very hydrophilic (contact angle <10°). Finally, we also showed that the PECVD-Si coatings contain functional hydroxyl groups. PMID:19809536

  14. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  15. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  16. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties.

    PubMed

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-02-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive ( Enterococcus faecalis ) and -negative ( Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation.

  17. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties

    PubMed Central

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-01-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive (Enterococcus faecalis) and -negative (Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation. PMID:26166926

  18. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  19. Effects of surface passivation dielectrics on carrier transport in AlGaN/GaN heterostructure field-effect transistors

    NASA Astrophysics Data System (ADS)

    Oh, Sejoon; Jang, Han-Soo; Choi, Chel-Jong; Cho, Jaehee

    2018-04-01

    Dielectric layers prepared by different deposition methods were used for the surface passivation of AlGaN/GaN heterostructure field-effect transistors (HFETs) and the corresponding electrical characteristics were examined. Increases in the sheet charge density and the maximum drain current by approximately 45% and 28%, respectively, were observed after the deposition of a 100 nm-thick SiO2 layer by plasma-enhanced chemical vapor deposition (PECVD) on the top of the AlGaN/GaN HFETs. However, SiO2 deposited by a radio frequency (rf) sputter system had the opposite effect. As the strain applied to AlGaN was influenced by the deposition methods used for the dielectric layers, the carrier transport in the two-dimensional electron gas formed at the interface between AlGaN and GaN was affected accordingly.

  20. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  1. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  2. Study of p-type and intrinsic materials for amorphous silicon based solar cells

    NASA Astrophysics Data System (ADS)

    Du, Wenhui

    This dissertation summarizes the research work on the investigation and optimization of high efficiency hydrogenated amorphous silicon (a-Si:H) based thin film n-i-p single-junction and multi-junction solar cells, deposited using radio frequency (RF) and very high frequency (VHF) plasma enhanced chemical vapor deposition (PECVD) techniques. The fabrication and characterization of high quality p-type and intrinsic materials for a-Si:H based solar cells have been systematically and intensively studied. Hydrogen dilution, substrate temperature, gas flow rate, RF- or VHF-power density, and films deposition time have been optimized to obtain "on-the-edge" materials. To understand the material structure of the silicon p-layer providing a high Voc a-Si:H solar cell, hydrogenated amorphous, protocrystalline, and nanocrystalline silicon p-layers have been prepared using RF-PECVD and characterized by Raman spectroscopy and high resolution transmission electronic microscopy (HRTEM). It was found that the optimum Si:H p-layer for n-i-p a-Si:H solar cells is composed of fine-grained nanocrystals with crystallite sizes in the range of 3-5 nm embedded in an amorphous network. Using the optimized p-layer, an a-Si:H single-junction solar cell with a very high Voc value of 1.042 V and a FF value of 0.74 has been obtained. a-Si:H, a-SiGe:H and nc-Si:H i-layers have been prepared using RF- and VHF-PECVD techniques and monitored by different optical and electrical characterizations. Single-junction a-Si:H, a-SiGe and nc-Si:H cells have been developed and optimized. Intermediate bandgap a-SiGe:H solar cells achieved efficiencies over 12.5%. On the basis of optimized component cells, we achieved a-Si:Hla-SiGe:H tandem solar cells with efficiencies of ˜12.9% and a-Si:H/a-SiGe:H/a-SiGe:H triple-junction cells with efficiencies of ˜12.03%. VHF-PECVD technique was used to increase the deposition rates of the narrow bandgap materials. The deposition rate for a-SiGe:H i-layer attained 9 A

  3. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    NASA Astrophysics Data System (ADS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows <110> preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a <111> preferred orientation on a <001>-oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  4. Low-temperature (120 °C) growth of nanocrystalline silicon films prepared by plasma enhanced chemical vapor deposition from SiCl 4/H 2 gases: Microstructure characterization

    NASA Astrophysics Data System (ADS)

    Zhang, L.; Gao, J. H.; Xiao, J. Q.; Wen, L. S.; Gong, J.; Sun, C.

    2012-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films were prepared using diluted tetrachlorosilane (SiCl4) with various hydrogen flow rates (Hf) by plasma enhanced chemical vapor deposition (PECVD) at a constant substrate temperature (Ts) as low as 120 °C. Raman spectroscopy, transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), infrared spectra (IR) and spectroscopic ellipsometry (SE) were employed to investigate the microstructure and hydrogen bonding of the nc-Si:H films. Our results showed that the microstructure and hydrogen content of the films could be effectively tailored by the hydrogen flow rates, and a distinct transition from amorphous to nanocrystalline phase was observed with an increase of Hf. At an optimal preparation condition, a deposition rate was as high as 3.7 nm/min and the crystallinity reached up to 64.1%. In addition, the effect of hydrogen on the low-temperature growth of nc-Si:H film was proposed in relation to the surface reaction of radicals and the hydrogen diffusion in the surface growing region.

  5. Synthesis and Characterization of High c-axis ZnO Thin Film by Plasma Enhanced Chemical Vapor Deposition System and its UV Photodetector Application

    PubMed Central

    Chao, Chung-Hua; Wei, Da-Hua

    2015-01-01

    In this study, zinc oxide (ZnO) thin films with high c-axis (0002) preferential orientation have been successfully and effectively synthesized onto silicon (Si) substrates via different synthesized temperatures by using plasma enhanced chemical vapor deposition (PECVD) system. The effects of different synthesized temperatures on the crystal structure, surface morphologies and optical properties have been investigated. The X-ray diffraction (XRD) patterns indicated that the intensity of (0002) diffraction peak became stronger with increasing synthesized temperature until 400 oC. The diffraction intensity of (0002) peak gradually became weaker accompanying with appearance of (10-10) diffraction peak as the synthesized temperature up to excess of 400 oC. The RT photoluminescence (PL) spectra exhibited a strong near-band-edge (NBE) emission observed at around 375 nm and a negligible deep-level (DL) emission located at around 575 nm under high c-axis ZnO thin films. Field emission scanning electron microscopy (FE-SEM) images revealed the homogeneous surface and with small grain size distribution. The ZnO thin films have also been synthesized onto glass substrates under the same parameters for measuring the transmittance. For the purpose of ultraviolet (UV) photodetector application, the interdigitated platinum (Pt) thin film (thickness ~100 nm) fabricated via conventional optical lithography process and radio frequency (RF) magnetron sputtering. In order to reach Ohmic contact, the device was annealed in argon circumstances at 450 oC by rapid thermal annealing (RTA) system for 10 min. After the systematic measurements, the current-voltage (I-V) curve of photo and dark current and time-dependent photocurrent response results exhibited a good responsivity and reliability, indicating that the high c-axis ZnO thin film is a suitable sensing layer for UV photodetector application. PMID:26484561

  6. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  7. Plasma-deposited amorphous silicon carbide films for micromachined fluidic channels

    NASA Astrophysics Data System (ADS)

    Wuu, Dong-Sing; Horng, Ray-Hua; Chan, Chia-Chi; Lee, Yih-Shing

    1999-04-01

    The stress properties of the a-SiC:H films on Si by plasma-enhanced chemical vapor deposition (PECVD) are investigated. It is found that the stability of the a-SiC:H films relates to Si-H bonds breaking and changes the stress toward tensile. No evident reduction in the content of Si-H bonds after thermal cycles was found in the carbon-rich samples. Moreover, a new method to fabricate microchannels by through-hole etching with subsequent planarization is proposed. The process is based on etching out the deep grooves through a perforated a-SiC:H membrane, where poly-Si is used as a sacrificial layer to define the channel structure, followed by PECVD sealing the SiC:H membrane. In order to improve the etching performance, the agitated KOH etch is performed at low temperatures (<50°C). The process technology is demonstrated on the fabrication of microfluidic channels with the low-stress (<0.1 GPa) a-SiC:H membranes.

  8. Plasma vapor deposited n-indium tin oxide/p-copper indium oxide heterojunctions for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Jaya, T. P.; Pradyumnan, P. P.

    2017-12-01

    Transparent crystalline n-indium tin oxide/p-copper indium oxide diode structures were fabricated on quartz substrates by plasma vapor deposition using radio frequency (RF) magnetron sputtering. The p-n heterojunction diodes were highly transparent in the visible region and exhibited rectifying current-voltage (I-V) characteristics with a good ideality factor. The sputter power during fabrication of the p-layer was found to have a profound effect on I-V characteristics, and the diode with the p-type layer deposited at a maximum power of 200 W exhibited the highest value of the diode ideality factor (η value) of 2.162, which suggests its potential use in optoelectronic applications. The ratio of forward current to reverse current exceeded 80 within the range of applied voltages of -1.5 to +1.5 V in all cases. The diode structure possessed an optical transmission of 60-70% in the visible region.

  9. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  10. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  11. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  12. Growth kinetics and characterizations of gallium nitride thin films by remote PECVD

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Bachmann, K. J.; Lucovsky, G.

    1993-01-01

    Thin films of GaN have been deposited at relatively low growth temperatures by remote plasma-enhanced chemical-vapor deposition (RPECVD), using a plasma excited NH3, and trimethylgallium (TMG), injected downstream from the plasma. The activation energy for GaN growth has been tentatively assigned to the dissociation of NH groups as the primary N-atom precursors in the surface reaction with adsorbed TMG, or TMG fragments. At high He flow rates, an abrupt increase in the growth rate is observed and corresponds to a change in the reaction mechanism attributed to the formation of atomic N. XRD reveals an increased tendency to ordered growth in the (0001) direction with increasing growth temperature, He flow rate, and RF plasma power. IR spectra show the fundamental lattice mode of GaN at 530 cm without evidence for vibrational modes of hydrocarbon groups.

  13. Practical silicon deposition rules derived from silane monitoring during plasma-enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartlome, Richard, E-mail: richard.bartlome@alumni.ethz.ch; De Wolf, Stefaan; Demaurex, Bénédicte

    2015-05-28

    We clarify the difference between the SiH{sub 4} consumption efficiency η and the SiH{sub 4} depletion fraction D, as measured in the pumping line and the actual reactor of an industrial plasma-enhanced chemical vapor deposition system. In the absence of significant polysilane and powder formation, η is proportional to the film growth rate. Above a certain powder formation threshold, any additional amount of SiH{sub 4} consumed translates into increased powder formation rather than into a faster growing Si film. In order to discuss a zero-dimensional analytical model and a two-dimensional numerical model, we measure η as a function of themore » radio frequency (RF) power density coupled into the plasma, the total gas flow rate, the input SiH{sub 4} concentration, and the reactor pressure. The adjunction of a small trimethylboron flow rate increases η and reduces the formation of powder, while the adjunction of a small disilane flow rate decreases η and favors the formation of powder. Unlike η, D is a location-dependent quantity. It is related to the SiH{sub 4} concentration in the plasma c{sub p}, and to the phase of the growing Si film, whether the substrate is glass or a c-Si wafer. In order to investigate transient effects due to the RF matching, the precoating of reactor walls, or the introduction of a purifier in the gas line, we measure the gas residence time and acquire time-resolved SiH{sub 4} density measurements throughout the ignition and the termination of a plasma.« less

  14. The effect of copper substrate’s roughness on graphene growth process via PECVD

    NASA Astrophysics Data System (ADS)

    Fan, Tengfei; Yan, Cuixia; Lu, Jianchen; Zhang, Lianchang; Cai, Jinming

    2018-04-01

    Despite many excellent properties, the synthesis of high quality graphene with low-cost way is still a challenge, thus many different factors have been researched. In this work, the effect of surface roughness to the graphene quality was studied. Graphene was synthesized by plasma enhanced chemical vapor deposition (PECVD) method on copper substrates with different roughness from 0.074 μm to 0.339 μm, which were prepared via annealing, corrosion or polishing, respectively. Ar+ plasma cleaning was applied before graphene growth in order to accommodate similar surface chemical reactivity to each other. Scanning electron microscope and Raman spectroscope were employed to investigate the effect of surface roughness, which reveals that the graphene quality decrease first and then increase again according to the ratio of ID/IG in Raman spectroscopy. When the ratio of ID/IG reaches the largest number, the substrate roughness is 0.127 μm, where is the graphene quality changing point. First principle calculation was applied to explain the phenomenon and revealed that it is strongly affected by the graphene grain size and quantity which can induce defects. This strategy is expected to guide the industrial production of graphene.

  15. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  16. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  17. Methods for passivating silicon devices at low temperature to achieve low interface state density and low recombination velocity while preserving carrier lifetime

    DOEpatents

    Chen, Zhizhang; Rohatgi, Ajeet

    1995-01-01

    A new process has been developed to achieve a very low SiO.sub.x /Si interface state density D.sub.it, low recombination velocity S (<2 cm/s), and high effective carrier lifetime T.sub.eff (>5 ms) for oxides deposited on silicon substrates at low temperature. The technique involves direct plasma-enhanced chemical vapor deposition (PECVD), with appropriate growth conditions, followed by a photo-assisted rapid thermal annealing (RTA) process. Approximately 500-A-thick SiO.sub.x layers are deposited on Si by PECVD at 250.degree. C. with 0.02 W/cm.sup.-2 rf power, then covered with SiN or an evaporated thin aluminum layer, and subjected to a photo-assisted anneal in forming gas ambient at 350.degree. C., resulting in an interface state density D.sub.it in the range of about 1-4.times.10.sup.10 cm.sup.-2 eV.sup.-1, which sets a record for the lowest interface state density D.sub.it for PECVD oxides fabricated to date. Detailed analysis shows that the PECVD deposition conditions, photo-assisted anneal, forming gas ambient, and the presence of an aluminum layer on top of the oxides during the anneal, all contributed to this low value of interface state density D.sub.it. Detailed metal-oxide semiconductor analysis and model calculations show that such a low recombination velocity S is the result of moderately high positive oxide charge (5.times.10.sup.11 -1.times.10.sup.12 cm.sup.-2) and relatively low midgap interface state density (1.times.10.sup.10 -4.times.10.sup.10 cm.sup.-2 eV.sup.-1). Photo-assisted anneal was found to be superior to furnace annealing, and a forming gas ambient was better than a nitrogen ambient for achieving a very low surface recombination velocity S.

  18. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  19. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  20. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  1. Highly conducting and preferred <220> oriented boron doped nc–Si films for window layers in nc–Si solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mondal, Praloy; Das, Debajyoti, E-mail: erdd@iacs.res.in

    2016-05-23

    Growth and optimization of the boron dopednanocrystalline silicon (nc-Si) films have been studied by varyingthe gaspressure applied to the hydrogendiluted silane plasma in RF (13.56 MHz) plasma-enhanced chemical vapor deposition (PECVD) system, using diborane (B{sub 2}H{sub 6}) as the dopant gas. High magnitudeof electrical conductivity (~10{sup 2} S cm{sup −1}) and<220>orientedcrystallographic lattice planes have been obtained with high crystalline volume fraction (~86 %) at an optimum pressure of 2.5 Torr. XRD and Raman studies reveal good crystallinity with preferred orientation, suitable for applications in stacked layer devices, particularly in nc–Si solar cells.

  2. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  3. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  4. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  5. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  6. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  7. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  8. Detection Of Gas-Phase Polymerization in SiH4 And GeH4

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han; Perry, Joseph W.; Allevato, Camillo E.

    1990-01-01

    Inelastic scattering of laser light found to indicate onset of gas-phase polymerization in plasma-enhanced chemical-vapor deposition (PECVD) of photoconductive amorphous hydrogenated silicon/germanium alloy (a-SiGe:H) film. In PECVD process, film deposited from radio-frequency glow-discharge plasma of silane (SiH4) and germane (GeH4) diluted with hydrogen. Gas-phase polymerization undesirable because it causes formation of particulates and defective films.

  9. Optical properties of diamond like carbon nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  10. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  11. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  12. Infrared and Raman spectroscopy study of AsS chalcogenide films prepared by plasma-enhanced chemical vapor deposition.

    PubMed

    Mochalov, Leonid; Dorosz, Dominik; Kudryashov, Mikhail; Nezhdanov, Aleksey; Usanov, Dmitry; Gogova, Daniela; Zelentsov, Sergey; Boryakov, Aleksey; Mashin, Alexandr

    2018-03-15

    AsS chalcogenide films, where As content is 60-40at.%, have been prepared via a RF non-equilibrium low-temperature argon plasma discharge, using volatile As and S as the precursors. Optical properties of the films were studied in UV-visible-NIR region in the range from 0.2 to 2.5μm. Infrared and Raman spectroscopy have been employed for the elucidation of the molecular structure of the newly developed material. It was established that PECVD films possess a higher degree of transparency (up to 80%) and a wider transparency window (>20μm) in comparison with the "usual" AsS thin films, prepared by different thermal methods, which is highly advantageous for certain applications. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Effects of various deposition times and RF powers on CdTe thin film growth using magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2016-09-01

    Cadmium telluride (CdTe) is a p-type II-VI compound semiconductor, which is an active component for producing photovoltaic solar cells in the form of thin films, due to its desirable physical properties. In this study, CdTe film was deposited using the radio frequency (RF) magnetron sputtering system onto a glass substrate. To improve the properties of the CdTe film, effects of two experimental parameters of deposition time and RF power were investigated on the physical properties of the CdTe films. X-ray Diffraction (XRD), atomic force microscopy (AFM) and spectrophotometer were used to study the structural, morphological and optical properties of the CdTe samples grown at different experimental conditions, respectively. Our results suggest that film properties strongly depend on the experimental parameters and by optimizing these parameters, it is possible to tune the desired structural, morphological and optical properties. From XRD data, it is found that increasing the deposition time and RF power leads to increasing the crystallinity as well as the crystal sizes of the grown film, and all the films represent zinc blende cubic structure. Roughness values given from AFM images suggest increasing the roughness of the CdTe films by increasing the RF power and deposition times. Finally, optical investigations reveal increasing the film band gaps by increasing the RF power and the deposition time.

  14. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  15. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  16. Physical Vapor Deposition and Defect Engineering of Europium Doped Lutetium Oxide

    NASA Astrophysics Data System (ADS)

    Gillard, Scott James

    Lutetium oxide doped with europium (Lu2O3:Eu 3+) has been established as a promising scintillator material with properties that are advantageous when compared to other scintillators such as cesium iodide doped with thallium (CsI:Tl). Due to high X-ray attenuation characteristics, Lu2O3:Eu3+ is an attractive material for use in high resolution digital X-ray imaging systems. However, challenges still remain especially in the area of light output for Lu 2O3:Eu3+. Processing by physical vapor deposition (PVD) and manipulation of oxygen defect structure was explored in order to better understand the effect on the scintillation phenomena. PVD results were obtained using high temperature radio frequency sputtering (RF) and pulsed laser deposition (PLD) systems. Characterization of light output by radial noise power spectrum density measurements revealed that high temperature RF films were superior to those obtained using PLD. Optimization of sputtered films based on light output over a range of process parameters, namely temperature, power, pressure, and substrate orientation was investigated. Parameterization of deposition conditions revealed that: 75 watts, 10.00 mtorr, and 800°C were optimum conditions for Lu2O3:Eu 3+ films. Manipulation of anionic defect structure in similar material systems has been shown to improve scintillation response. Similar methods for Lu 2O3:Eu3+ were explored for hot pressed samples of Lu2O3:Eu3+; via controlled atmosphere annealing, and use of extrinsic co-doping with calcium. The controlled atmosphere experiments established the importance of oxygen defect structure within Lu 2O3:Eu3+ and showed that fully oxidized samples were preferred for light output. The second method utilized co-doping by the addition of calcium which induced oxygen vacancies and by Frenkel equilibrium changed the oxygen interstitial population within the Lu2O 3:Eu3+ structure. The addition of calcium was investigated and revealed that scintillation was improved

  17. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  18. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  19. Uniformity control of the deposition rate profile of a-Si:H film by gas velocity and temperature distributions in a capacitively coupled plasma reactor

    NASA Astrophysics Data System (ADS)

    Kim, Ho Jun; Lee, Hae June

    2018-03-01

    The effect of neutral transport on the deposition rate profiles of thin films formed by plasma-enhanced chemical vapor deposition (PECVD) is investigated to improve the uniformity of amorphous hydrogenated silicon films. The PECVD reactor with a cylindrical showerhead is numerically simulated with a variation of the gas velocity and temperature in the capacitively coupled plasma with an intermediate-pressure SiH4/He gas mixture. The modulation of the gas velocity distribution results in a noticeable change in the density distributions of neutral molecules such as SiH4, SiH3, H, SiH2, and Si2H6, especially in the vicinity of the electrode edge. With the locally accelerated gas flow, the concomitant increase in Si2H6 density near the electrode edge induces increases in both the electron density and the deposition rate profile near the electrode edge. In addition, it is observed that changing the surface temperature distribution by changing the sidewall temperature can also effectively modulate the plasma density distributions. The simulated deposition rate profile matches the experimental data well, even under non-isothermal wall boundary conditions.

  20. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  1. Influence of residual stress on the adhesion and surface morphology of PECVD-coated polypropylene

    NASA Astrophysics Data System (ADS)

    Jaritz, Montgomery; Hopmann, Christian; Behm, Henrik; Kirchheim, Dennis; Wilski, Stefan; Grochla, Dario; Banko, Lars; Ludwig, Alfred; Böke, Marc; Winter, Jörg; Bahre, Hendrik; Dahlmann, Rainer

    2017-11-01

    The properties of plasma-enhanced chemical vapour deposition (PECVD) coatings on polymer materials depend to some extent on the surface and material properties of the substrate. Here, isotactic polypropylene (PP) substrates are coated with silicon oxide (SiO x ) films. Plasmas for the deposition of SiO x are energetic and oxidative due to the high amount of oxygen in the gas mixture. Residual stress measurements using single Si cantilever stress sensors showed that these coatings contain high compressive stress. To investigate the influence of the plasma and the coatings, residual stress, silicon organic (SiOCH) coatings with different thicknesses between the PP and the SiO x coating are used as a means to protect the substrate from the oxidative SiO x coating process. Pull-off tests are performed to analyse differences in the adhesion of these coating systems. It could be shown that the adhesion of the PECVD coatings on PP depends on the coatings’ residual stress. In a PP/SiOCH/SiO x -multilayer system the residual stress can be significantly reduced by increasing the thickness of the SiOCH coating, resulting in enhanced adhesion.

  2. Laboratory-scale uranium RF plasma confinement experiments

    NASA Technical Reports Server (NTRS)

    Roman, W. C.

    1976-01-01

    An experimental investigation was conducted using 80 kW and 1.2 MW RF induction heater facilities to aid in developing the technology necessary for designing a self-critical fissioning uranium plasma core reactor. Pure uranium hexafluoride (UF6) was injected into argon-confined, steady-state, RF-heated plasmas in different uranium plasma confinement tests to investigate the characteristics of plamas core nuclear reactors. The objectives were: (1) to confine as high a density of uranium vapor as possible within the plasma while simultaneously minimizing the uranium compound wall deposition; (2) to develop and test materials and handling techniques suitable for use with high-temperature, high-pressure gaseous UF6; and (3) to develop complementary diagnostic instrumentation and measurement techniques to characterize the uranium plasma and residue deposited on the test chamber components. In all tests, the plasma was a fluid-mechanically-confined vortex-type contained within a fused-silica cylindrical test chamber. The test chamber peripheral wall was 5.7 cm ID by 10 cm long.

  3. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  4. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  5. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  6. Experimental investigation of defect-assisted and intrinsic water vapor permeation through ultrabarrier films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyungchul; Singh, Ankit Kumar; Wang, Cheng-Yin

    In the development of ultrabarrier films for packaging electronics, the effective water vapor transmission rate is a combination of permeation through pinhole defects and the intrinsic permeation through the actual barrier film. While it is possible to measure the effective permeation rate through barriers, it is important to develop a better understanding of the contribution from defects to the overall effective barrier performance. Here, we demonstrate a method to investigate independently defect-assisted permeation and intrinsic permeation rates by observing the degradation of a calcium layer encapsulated with a hybrid barrier film, that is, prepared using atomic layer deposition (ALD) andmore » plasma enhanced deposition (PECVD). The results are rationalized using an analytical diffusion model to calculate the permeation rate as a function of spatial position within the barrier. It was observed that a barrier film consisting of a PECVD SiN{sub x} layer combined with an ALD Al{sub 2}O{sub 3}/HfO{sub x} nanolaminate resulted in a defect-assisted water vapor transmission rate (WVTR) of 4.84 × 10{sup −5} g/m{sup 2} day and intrinsic WVTR of 1.41 × 10{sup −4} g/m{sup 2} day at 50 °C/85% RH. Due to the low defect density of the tested barrier film, the defect-assisted WVTR was found to be three times lower than the intrinsic WVTR, and an effective (or total) WVTR value was 1.89 × 10{sup −4} g/m{sup 2} day. Thus, improvements of the barrier performance should focus on reducing the number of defects while also improving the intrinsic barrier performance of the hybrid layer.« less

  7. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  8. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  9. Structurally controlled deposition of silicon onto nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weijie; Liu, Zuqin; Han, Song

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  10. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  11. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  12. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  13. Hybrid radio-frequency/direct-current plasma-enhanced chemical vapor deposition system for deposition on inner surfaces of polyethylene terephthalate bottles

    NASA Astrophysics Data System (ADS)

    Li, Jing; Tian, Xiubo; Gong, Chunzhi; Yang, Shiqin; Fu, Ricky K. Y.; Chu, Paul K.

    2009-12-01

    A hybrid radio-frequency (rf)/direct-current (dc) system has been developed to control the biasing effects during deposition of diamondlike carbon (DLC) films onto the inner wall of polyethylene terephthalate (PET) bottles. An additional dc bias is coupled to the rf electrode to produce the effect of equivalent rf self-biasing. This allows more flexible control of the deposition of the DLC films which are intended to improve the gas barrier characteristics. The experimental results demonstrate that the additional dc bias improves the adhesion strength between the DLC film and PET, although the enhancement in the gas barrier properties is not significantly larger compared to the one without dc bias. The apparatus and methodology have practical importance in the food and beverage industry.

  14. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  15. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  16. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  17. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  18. Deposition of TiOxNy Thin Films with Various Nitrogen Flow Rate:. Growth Behavior and Structural Properties

    NASA Astrophysics Data System (ADS)

    Cho, S.-J.; Jung, C.-K.; Bae, I.-S.; Song, Y.-H.; Boo, J.-H.

    2011-06-01

    We have deposited TiOxNy thin films on Si(100) substrates at 500 °C using RF PECVD system. Titanium iso-propoxide was used as precursor with different nitrogen flow rate to control oxygen and nitrogen contents in the films. Changes of chemical states of constituent elements in the deposited films were examined by XPS analysis. The data showed that with increasing nitrogen flow rate, the total amounts of nitrogen and titanium were increased while that of oxygen was decreased, resulting in a binding energy shift toward high energy side. The characteristics of film growth orientation and structure as well as morphology change behavior were also analyzed by XRD, TED, FT-IR, TEM, and SEM. Deposition at higher nitrogen flow rate results in finer clusters with a nanograin size and more effective photocatalytic TiOxNy thin films with hydrophilic surface.

  19. Plasma core reactor simulations using RF uranium seeded argon discharges

    NASA Technical Reports Server (NTRS)

    Roman, W. C.

    1975-01-01

    An experimental investigation was conducted using the United Technologies Research Center (UTRC) 80 kW and 1.2 MW RF induction heater systems to aid in developing the technology necessary for designing a self-critical fissioning uranium plasma core reactor (PCR). A nonfissioning, steady-state RF-heated argon plasma seeded with pure uranium hexafluoride (UF6) was used. An overall objective was to achieve maximum confinement of uranium vapor within the plasma while simultaneously minimizing the uranium compound wall deposition. Exploratory tests were conducted using the 80 kW RF induction heater with the test chamber at approximately atmospheric pressure and discharge power levels on the order of 10 kW. Four different test chamber flow configurations were tested to permit selection of the configuration offering the best confinement characteristics for subsequent tests at higher pressure and power in the 1.2 MW RF induction heater facility.

  20. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  1. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  2. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  3. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  4. Structural changes of a-CNx thin films induced by thermal annealing

    NASA Astrophysics Data System (ADS)

    Aziz, Siti Aisyah Abd; Awang, Rozidawati

    2018-04-01

    In this work, amorphous carbon nitride (a-CNx) thin films were deposited by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) technique at different RF powers of 60, 70, 80, 90 and 100 W for 30 min. These films were prepared using a mixture of acetylene (C2H2) at 20 sccm and nitrogen (N2) gases at 50 sccm. The films were then annealed at 400 °C in a quartz tube furnace in argon (Ar) gas. The chemical bondings of the film were analyzed by Fourier Transform Infra-red Spectroscopy (FTIR) while surface morphology and film roughness were determined by Atomic Force Microscopy (AFM). The FTIR analysis reveals that annealing resulted in the loss of C-H and C-N bonds and formation of graphitic sp2C cluster with the dissociation of N and C in the films. AFM indicates that the film surface becomes less rough which effectually enhances structural modifications and the rearrangement of the microstructure of the films after annealing.

  5. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  7. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  8. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  9. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  10. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  11. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  12. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  13. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  14. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  15. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  16. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  17. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  18. CMUTs with high-K atomic layer deposition dielectric material insulation layer.

    PubMed

    Xu, Toby; Tekes, Coskun; Degertekin, F

    2014-12-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (Six)Ny)) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2) such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD Six)Ny) and 100-nm HfO2) insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure.

  19. CMUTs with High-K Atomic Layer Deposition Dielectric Material Insulation Layer

    PubMed Central

    Xu, Toby; Tekes, Coskun; Degertekin, F. Levent

    2014-01-01

    Use of high-κ dielectric, atomic layer deposition (ALD) materials as an insulation layer material for capacitive micromachined ultrasonic transducers (CMUTs) is investigated. The effect of insulation layer material and thickness on CMUT performance is evaluated using a simple parallel plate model. The model shows that both high dielectric constant and the electrical breakdown strength are important for the dielectric material, and significant performance improvement can be achieved, especially as the vacuum gap thickness is reduced. In particular, ALD hafnium oxide (HfO2) is evaluated and used as an improvement over plasma-enhanced chemical vapor deposition (PECVD) silicon nitride (SixNy) for CMUTs fabricated by a low-temperature, complementary metal oxide semiconductor transistor-compatible, sacrificial release method. Relevant properties of ALD HfO2 such as dielectric constant and breakdown strength are characterized to further guide CMUT design. Experiments are performed on parallel fabricated test CMUTs with 50-nm gap and 16.5-MHz center frequency to measure and compare pressure output and receive sensitivity for 200-nm PECVD SixNy and 100-nm HfO2 insulation layers. Results for this particular design show a 6-dB improvement in receiver output with the collapse voltage reduced by one-half; while in transmit mode, half the input voltage is needed to achieve the same maximum output pressure. PMID:25474786

  20. Process for forming planarized films

    DOEpatents

    Pang, Stella W.; Horn, Mark W.

    1991-01-01

    A planarization process and apparatus which employs plasma-enhanced chemical vapor deposition (PECVD) to form plarnarization films of dielectric or conductive carbonaceous material on step-like substrates.

  1. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  2. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  3. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  4. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  5. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  6. The effect of fluoroalkylsilanes on tribological properties and wettability of Si-DLC coatings

    NASA Astrophysics Data System (ADS)

    Bystrzycka, E.; Prowizor, M.; Piwoński, I.; Kisielewska, A.; Batory, D.; Jędrzejczak, A.; Dudek, M.; Kozłowski, W.; Cichomski, M.

    2018-03-01

    Silicon-containing diamond-like carbon (Si-DLC) coatings were prepared on silicon wafers by Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF-PECVD) method using methane/hexamethyl-disiloxane atmosphere. Herein, we report that Si-DLC coatings can be effectively modified by fluoroalkylsilanes which results in significant enhancement of frictional and wettability properties. Two types of fluoroalkylsilanes differing in the length of fluorocarbon chains were deposited on Si-DLC coatings with the use of Vapor Phase Deposition (VPD) method. The chemical composition of Si-DLC coatings and effectiveness of modification with fluoroalkylsilanes were confirmed by Fourier Transform Infrared Spectroscopy (FTIR) and x-ray Photoelectron Spectroscopy (XPS). Frictional properties in microscale were investigated with the use of ball-on-flat apparatus operating at millinewton (mN) load range. It was found that the presence of silicon enhances the chemisorption of fluoroalkylsilanes on Si-DLC coatings by creating adsorption anchoring centers. In consequence, a decrease of adhesion and an increase of hydrophobicity along with a decrease of coefficient of friction were observed. Experimental results indicate, that tribological properties are correlated with dispersive and acid-base components of the surface free energy as well as with the work of adhesion.

  7. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    NASA Astrophysics Data System (ADS)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  8. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  9. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  10. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  11. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  12. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  13. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  14. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  15. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  16. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  17. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  18. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  19. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  20. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  1. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  2. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  3. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  4. The silane depletion fraction as an indicator for the amorphous/crystalline silicon interface passivation quality

    NASA Astrophysics Data System (ADS)

    Descoeudres, A.; Barraud, L.; Bartlome, R.; Choong, G.; De Wolf, Stefaan; Zicarelli, F.; Ballif, C.

    2010-11-01

    In silicon heterojunction solar cells, thin amorphous silicon layers passivate the crystalline silicon wafer surfaces. By using in situ diagnostics during plasma-enhanced chemical vapor deposition (PECVD), the authors report how the passivation quality of such layers directly relate to the plasma conditions. Good interface passivation is obtained from highly depleted silane plasmas. Based upon this finding, layers deposited in a large-area very high frequency (40.68 MHz) PECVD reactor were optimized for heterojunction solar cells, yielding aperture efficiencies up to 20.3% on 4 cm2 cells.

  5. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    NASA Astrophysics Data System (ADS)

    Kirchheim, Dennis; Jaritz, Montgomery; Mitschker, Felix; Gebhard, Maximilian; Brochhagen, Markus; Hopmann, Christian; Böke, Marc; Devi, Anjana; Awakowicz, Peter; Dahlmann, Rainer

    2017-03-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments.

  6. Deposition of silicon nitride from SiCl4 and NH3 in a low pressure RF plasma

    NASA Technical Reports Server (NTRS)

    Ron, Y.; Raveh, A.; Carmi, U.; Inspektor, A.; Avni, R.

    1983-01-01

    Silicon nitride coatings were deposited in a low-pressure (1-10 Torr) RF plasma from SiCl4 and NH3 in the presence of argon onto stainless martensitic steel grounded and floating substrates at 300 C and 440 C respectively. The heating of the substrates depends mainly on the position and the induced RF power. The coatings were identified as silicon nitride by X-ray investigation and were found to contain chlorine by energy-dispersive analysis of X-rays. The growth rate, the microhardness and the chlorine concentration of the coatings were determined as a function of the total gas pressure, the RF power input and the NH3-to-SiCl4 ratio. It was observed that the coatings on the floating substrates have higher deposition rates and are of superior quality.

  7. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  8. High temperature UF6 RF plasma experiments applicable to uranium plasma core reactors

    NASA Technical Reports Server (NTRS)

    Roman, W. C.

    1979-01-01

    An investigation was conducted using a 1.2 MW RF induction heater facility to aid in developing the technology necessary for designing a self critical fissioning uranium plasma core reactor. Pure, high temperature uranium hexafluoride (UF6) was injected into an argon fluid mechanically confined, steady state, RF heated plasma while employing different exhaust systems and diagnostic techniques to simulate and investigate some potential characteristics of uranium plasma core nuclear reactors. The development of techniques and equipment for fluid mechanical confinement of RF heated uranium plasmas with a high density of uranium vapor within the plasma, while simultaneously minimizing deposition of uranium and uranium compounds on the test chamber peripheral wall, endwall surfaces, and primary exhaust ducts, is discussed. The material tests and handling techniques suitable for use with high temperature, high pressure, gaseous UF6 are described and the development of complementary diagnostic instrumentation and measurement techniques to characterize the uranium plasma, effluent exhaust gases, and residue deposited on the test chamber and exhaust system components is reported.

  9. Characterisation and optimisation of PECVD SiNx as an antireflection coating and passivation layer for silicon solar cells

    NASA Astrophysics Data System (ADS)

    Wan, Yimao; McIntosh, Keith R.; Thomson, Andrew F.

    2013-03-01

    In this work, we investigate how the film properties of silicon nitride (SiNx) depend on its deposition conditions when formed by plasma enhanced chemical vapour deposition (PECVD). The examination is conducted with a Roth & Rau AK400 PECVD reactor, where the varied parameters are deposition temperature, pressure, gas flow ratio, total gas flow, microwave plasma power and radio-frequency bias voltage. The films are evaluated by Fourier transform infrared spectroscopy to determine structural properties, by spectrophotometry to determine optical properties, and by capacitance-voltage and photoconductance measurements to determine electronic properties. After reporting on the dependence of SiNx properties on deposition parameters, we determine the optimized deposition conditions that attain low absorption and low recombination. On the basis of SiNx growth models proposed in the literature and of our experimental results, we discuss how each process parameter affects the deposition rate and chemical bond density. We then focus on the effective surface recombination velocity Seff, which is of primary importance to solar cells. We find that for the SiNx prepared in this work, 1) Seff does not correlate universally with the bulk structural and optical properties such as chemical bond densities and refractive index, and 2) Seff depends primarily on the defect density at the SiNx-Si interface rather than the insulator charge. Finally, employing the optimized deposition condition, we achieve a relatively constant and low Seff,UL on low-resistivity (≤1.1 Ωcm) p- and n-type c-Si substrates over a broad range of n = 1.85-4.07. The results of this study demonstrate that the trade-off between optical transmission and surface passivation can be circumvented. Although we focus on photovoltaic applications, this study may be useful for any device for which it is desirable to maximize light transmission and surface passivation.

  10. Chemical changes in DMP1-null murine bone & silica based pecvd coatings for titanium implant osseoapplications

    NASA Astrophysics Data System (ADS)

    Maginot, Megen

    In order to improve clinical outcomes in bone-implant systems, a thorough understanding of both local bone chemistry and implant surface chemistry is necessary. This study consists, therefore, of two main parts: one focused on determining the nature of the changes in bone chemistry in a DMP1-null transgenic disease model and the other on the development of amorphous silica-based coatings for potential use as titanium bone implant coatings. For the study of bone mineral in the DMP1 transgenic model, which is known to have low serum phosphate levels, transgenic DMP1-null and wild type mice were fed a high phosphate diet, sacrificed, and had their long bone harvested. This bone was characterized using SEM, FTIR, microCT and XANES and compared to DMP1-null and wild type control groups to assess the therapeutic effect of high Pi levels on the phenotype and the role of DMP1 in mineralization in vivo. Findings suggest that though the high phosphate diet results in restoring serum phosphate levels, it does not completely rescue the bone mineral phenotype at an ultrastructural level and implicates DMP1 in phosphate nucleation. Since plasma enhanced chemical vapor deposition (PECVD) silica like coatings have not previously been fabricated for use in oessoapplications, the second part of this study initially focused on the characterization of novel SiOx chemistries fabricated via a chemical vapor deposition process that were designed specifically to act as bioactive coatings with a loose, hydrogenated structure. These coatings were then investigated for their potential initial stage response to bone tissue through immersion in a simulated body fluid and through the culture of MC3T3 cells on the coating surfaces. Coating surfaces were characterized by SEM, FTIR, contact angle measurements, and XANES. Coating dissolution and ionic release were also investigated by ICP-OES. Findings suggest that some SiOx chemistries may form a bioactive coating while more highly substituted

  11. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  12. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  13. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  14. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  15. Fabrication and Characterization of Thermoresponsive Films Deposited by an RF Plasma Reactor

    PubMed Central

    Lucero, Adrianne E.; Reed, Jamie A.; Wu, Xiaomei; Canavan, Heather E.

    2014-01-01

    Summary Poly(N-isopropyl acrylamide) (pNIPAM) undergoes a sharp property change in response to a moderate thermal stimulus at physiological temperatures. In this work, we constructed a radio frequency (RF) plasma reactor for the plasma polymerization of pNIPAM. RF deposition is a method that coats surfaces of any geometry producing surfaces that are sterile and uniform, making this technique useful for forming biocompatible films. The films generated are characterized using X-ray photoelectron spectroscopy (XPS), contact angles, cell culture, and interferometry. We find that a plasma with a decreasing series of power settings (i.e., from 100W to 1W) at a pressure of 140 millitorr yields the most favorable results. PMID:24634643

  16. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  17. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  18. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  19. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  20. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  1. Plasma deposition of amorphous silicon carbide thin films irradiated with neutrons

    NASA Astrophysics Data System (ADS)

    Huran, J.; Bohacek, P.; Kucera, M.; Kleinova, A.; Sasinkova, V.; IEE SAS, Bratislava, Slovakia Team; Polymer Institute, SAS, Bratislava, Slovakia Team; Institute of Chemistry, SAS, Bratislava, Slovakia Team

    2015-09-01

    Amorphous silicon carbide and N-doped silicon carbide thin films were deposited on P-type Si(100) wafer by plasma enhanced chemical vapor deposition (PECVD) technology using silane, methane, ammonium and argon gases. The concentration of elements in the films was determined by RBS and ERDA method. Chemical compositions were analyzed by FTIR spectroscopy. Photoluminescence properties were studied by photoluminescence spectroscopy (PL). Irradiation of samples with various neutron fluencies was performed at room temperature. The films contain silicon, carbon, hydrogen, nitrogen and small amount of oxygen. From the IR spectra, the films contained Si-C, Si-H, C-H, Si-N, N-H and Si-O bonds. No significance effect on the IR spectra after neutron irradiation was observed. PL spectroscopy results of films showed decreasing PL intensity after neutron irradiation and PL intensity decreased with increased neutron fluencies. The measured current of the prepared structures increased after irradiation with neutrons and rise up with neutron fluencies.

  2. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  3. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    NASA Astrophysics Data System (ADS)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  4. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    PubMed Central

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  5. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  6. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A laboratory type CVD reactor system with a vertical deposition chamber and sample pedestal heated by an external RF coil has been extensively modified by installation of mass flow controllers, automatic process sequence timers, and special bellows-sealed air-operated valves for overall improved performance. Various film characterization procedures, including classical metallography, SEM analyses, X ray diffraction analyses, surface profilometry, and electrical measurements (resistivity, carrier concentration, mobility, spreading resistance profiles, and minority-carrier lifetime by the C-V-t method) area used to correlate Si sheet properties with CVD parameters and substrate properties. Evaluation procedures and measurements are given. Experimental solar cell structures were made both in epitaxial Si sheet (on sapphire substrates) and in polycrystalline material on alumina substrates, the former to provide an indication of what might be an upper limit on performance of the latter. Preliminary results are given, as obtained in cell structures not specially designed to allow for the unique properties of the sheet material, and fabricated in material known to be far from optimum for photovoltaic performance. Low power conversion efficiencies have been obtained in the epitaxial as well as the polycrystalline Si sheet.

  7. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  8. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Uncooled Cantilever Microbolometer Focal Plane Arrays with mK Temperature Resolution: Engineering Mechanics for the Next Generation

    DTIC Science & Technology

    2009-11-25

    34Nanoindentation Stress-Strain Curves of Plasma Enhanced Chemical Vapor Deposited Silicon Oxide Thin Films," Thin Solid Films, 516 (8) (2008) 1941-1951. 9. S...1604. 5. Z. Cao* and X. Zhang, "Measurement of Stress-Strain Curves of PECVD Silicon Oxide Thin Films by Means of Nanoindentation," in Processing...Microsystems (Transducers 󈧋), Lyon, France, June 10-14, 2007. 9. Z. Cao* and X. Zhang, “Measurement of Stress-strain Curves of PECVD Silicon Oxide

  10. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    NASA Astrophysics Data System (ADS)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  11. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  12. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  13. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  14. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  15. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  16. Effect of Ag Surfactant on Cu/Co Multilayers Deposited by RF-Ion Beam Sputtering

    NASA Astrophysics Data System (ADS)

    Amir, S. M.; Gupta, M.; Gupta, A.; Wildes, A.

    2011-07-01

    In this work, the effect of Ag surfactant in RF-ion beam sputtered Cu/Co multilayers was studied. It was found that when a sub-monolayer of Ag (termed as surfactant) is deposited prior to the deposition of Cu/Co multilayers, the asymmetry in the Cu/Co or Co/Cu interfaces becomes small. Low surface free energy of Ag helps Ag atoms to float when a Cu or Co layer is getting deposited. This balances the difference between the surface free energy of Cu and Co making the interfaces in the multilayers smoother as compared to the case when no Ag surfactant was used.

  17. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  18. Photovoltaic properties of ferroelectric BaTiO3 thin films RF sputter deposited on silicon

    NASA Technical Reports Server (NTRS)

    Dharmadhikari, V. S.; Grannemann, W. W.

    1982-01-01

    Ferroelectric thin films of BaTiO3 have been successfully deposited on n-type silicon substrates at temperatures above 500 C by RF sputtering in an O2/Ar atmosphere. Analysis by X-ray diffraction patterns show that films deposited at room temperature are amorphous. At temperatures above 500 C, crystalline BaTiO3 films with a tetragonal structure are obtained. The polarization-electric field (P-E) hysteresis loops and a broad peak in the dielectric constant versus temperature curve at Curie point indicate that the RF sputtered BaTiO3 films are ferroelectric. An anomalous photovoltaic effect is observed in these thin films which is related to the remanent polarization of the material. The results on open-circuit and short-circuit measurements provide an important basis for a better understanding of the role of photovoltaic field, photovoltaic current, and the pyroelectric properties in photoferroelectric domain switching.

  19. Influence of Deposition Pressure on the Properties of Round Pyramid Textured a-Si:H Solar Cells for Maglev.

    PubMed

    Lee, Jaehyeong; Choi, Wonseok; Lee, Kyuil; Lee, Daedong; Kang, Hyunil

    2016-05-01

    HIT (Heterojunction with Intrinsic Thin-layer) photovoltaic cells is one of the highest efficiencies in the commercial solar cells. The pyramid texturization for reducing surface reflectance of HIT solar cells silicon wafers is widely used. For the low leakage current and high shunt of solar cells, the intrinsic amorphous silicon (a-Si:H) on substrate must be uniformly thick of pyramid structure. However, it is difficult to control the thickness in the traditional pyramid texturing process. Thus, we textured the intrinsic a-Si:H thin films with the round pyramidal structure by using HNO3, HF, and CH3COOH solution. The characteristics of round pyramid a-Si:H solar cells deposited at pressure of 500, 1000, 1500, and 2000 mTorr by PECVD (Plasma Enhanced Chemical Vapor Deposition) was investigated. The lifetime, open circuit voltage, fill factor and efficiency of a-Si:H solar cells were investigated with respect to various deposition pressure.

  20. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  1. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition

    PubMed Central

    Zhan, Hualin; Garrett, David J.; Apollo, Nicholas V.; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-01

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm3, were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail. PMID:26805546

  2. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition.

    PubMed

    Zhan, Hualin; Garrett, David J; Apollo, Nicholas V; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-25

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm(3), were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail.

  3. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  4. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  5. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  6. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  7. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  8. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  9. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  10. Initial deposition of calcium phosphate ceramic on polystyrene and polytetrafluoroethylene by rf magnetron sputtering deposition

    NASA Astrophysics Data System (ADS)

    Feddes, B.; Wolke, J. G. C.; Jansen, J. A.; Vredenberg, A. M.

    2003-03-01

    Calcium phosphate (CaP) coatings can be applied to improve the biological performance of polymeric medical implants. A strong interfacial bond between ceramic and polymer is required for clinical applications. Because the chemical structure of an interface plays an important role in the adhesion of a coating, we studied the formation of the interface between CaP and polystyrene (PS) and polytetrafluoroethylene (PTFE). The coating was deposited in a radio frequency (rf) magnetron sputtering deposition system. Prior to the deposition, some samples received an oxygen plasma pretreatment. We found that the two substrates show a strongly different reactivity towards CaP. On PS a phosphorus and oxygen enrichment is present at the interface. This is understood from POx complexes that are able to bind to the PS. The effects of the plasma pretreatment are overruled by the deposition process itself. On PTFE, a calcium enrichment and an absence of phosphorus is found at the interface. The former is the result of CaF2-like material being formed at the interface. The latter may be the result of phosphorus reacting with escaping fluorine to a PF3 molecule, which than escapes from the material as a gas molecule. We found that the final structure of the interface is mostly controlled by the bombardment of energetic particles escaping either from the plasma or from the sputtering target. The work described here can be used to understand and improve the adhesion of CaP coatings deposited on medical substrates.

  11. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  12. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  13. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  14. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  15. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  16. Aqueous, Room Temperature Deposition of Silicon, Molybdenum and Germanium onto Aluminum Substrates

    NASA Astrophysics Data System (ADS)

    Krishnamurthy, Aarti Krishna

    Electrochemical deposition of active materials such as Si, Mo and Ge is notoriously difficult, so they are typically deposited using expensive vacuum methods such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and magnetron sputtering. However, for most materials, electrochemical deposition has significant advantages of cost, scalability, and manufacturability. There are two main challenges in depositing these materials from aqueous electrolytes at room temperature, namely their highly cathodic standard reduction potential and the formation of native oxides. This has led researchers to use non-aqueous electrolytes such as organic solvents, room temperature ionic liquids (RTILs), and high temperature molten salts. However, these have drawbacks over aqueous electrolytes such as high cost, low conductivity, flammability, and corrosive behavior. During my PhS studies, these two challenges were overcome by using the galvanic method of deposition and by including HF in the electrolyte. Si thin films are employed in a variety of technologies, including microelectronic and photovoltaic devices, Li ion battery anodes, and corrosion-resistant coatings. A galvanic and a combined galvanic/electroless method of Si deposition were developed using aqueous electrolytes at room temperature to obtain nanoporous and compact films, respectively. These films were characterized to understand the surface morphology, thickness, crystallinity, growth rate, composition and nucleation behavior. Approximately 7-10 µm thick compact Si films were achieved with a deposition time of around 28 hours. The galvanic method of deposition was also extended to deposit compact Mo films. Mo thin films have a number of technological applications, including back contacts for CIGS/CZTS photovoltaic devices and corrosion-resistant coatings. Mo thin films were also thoroughly characterized and approximately 4.5 µm thick films were obtained after 3 hours. Similar to Si

  17. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  18. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  19. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  20. Structural properties of a-Si films and their effect on aluminum induced crystallization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tankut, Aydin; Ozkol, Engin; Karaman, Mehmet

    2015-10-15

    In this paper, we report the influence of the structural properties of amorphous silicon (a-Si) on its subsequent crystallization behavior via the aluminum induced crystallization (AIC) method. Two distinct a-Si deposition techniques, electron beam evaporation and plasma enhanced chemical vapor deposition (PECVD), are compared for their effect on the overall AIC kinetics as well as the properties of the final poly-crystalline (poly-Si) silicon film. Raman and FTIR spectroscopy results indicate that the PECVD grown a-Si films has higher intermediate-range order, which is enhanced for increased hydrogen dilution during deposition. With increasing intermediate-range order of the a-Si, the rate of AICmore » is diminished, leading larger poly-Si grain size.« less

  1. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  2. FAST TRACK COMMUNICATION: Deposition of amino-rich thin films by RF magnetron sputtering of nylon

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Hanuš, J.; Choukourov, A.; Kousal, J.; Slavínská, D.; Biederman, H.

    2009-07-01

    RF magnetron sputtering of a nylon target in different gas mixtures was studied in order to evaluate the capability of this process to deposit amino-rich coatings needed in a wide range of biomedical applications. It has been demonstrated that both the deposition rate of the coatings and the surface density of primary amino groups are strongly linked with working gas mixture composition. From this point of view, a sufficiently high deposition rate as well as the highest amine efficiency reaching a NH2/C value of 18% was observed in the N2/H2 discharge, which leads to the surface exhibiting a high rate of protein adsorption.

  3. Chemical sputtering by H{sub 2}{sup +} and H{sub 3}{sup +} ions during silicon deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landheer, K., E-mail: c.landheer@uu.nl; Poulios, I.; Rath, J. K.

    2016-08-07

    We investigated chemical sputtering of silicon films by H{sub y}{sup +} ions (with y being 2 and 3) in an asymmetric VHF Plasma Enhanced Chemical Vapor Deposition (PECVD) discharge in detail. In experiments with discharges created with pure H{sub 2} inlet flows, we observed that more Si was etched from the powered than from the grounded electrode, and this resulted in a net deposition on the grounded electrode. With experimental input data from a power density series of discharges with pure H{sub 2} inlet flows, we were able to model this process with a chemical sputtering mechanism. The obtained chemicalmore » sputtering yields were (0.3–0.4) ± 0.1 Si atom per bombarding H{sub y}{sup +} ion at the grounded electrode and at the powered electrode the yield ranged from (0.4 to 0.65) ± 0.1. Subsequently, we investigated the role of chemical sputtering during PECVD deposition with a series of silane fractions S{sub F} (S{sub F}(%) = [SiH{sub 4}]/[H{sub 2}]*100) ranging from S{sub F} = 0% to 20%. We experimentally observed that the SiH{sub y}{sup +} flux is not proportional to S{sub F} but decreasing from S{sub F} = 3.4% to 20%. This counterintuitive SiH{sub y}{sup +} flux trend was partly explained by an increasing chemical sputtering rate with decreasing S{sub F} and partly by the reaction between H{sub 3}{sup +} and SiH{sub 4} that forms SiH{sub 3}{sup +}.« less

  4. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  5. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  6. Synthesis and electrochemical properties of Ti-doped DLC films by a hybrid PVD/PECVD process

    NASA Astrophysics Data System (ADS)

    Jo, Yeong Ju; Zhang, Teng Fei; Son, Myoung Jun; Kim, Kwang Ho

    2018-03-01

    Low electrical conductivity and poor adhesion to metallic substrates are the main drawbacks of diamond-like carbon (DLC) films when used in electrode applications. In this study, Ti-doped DLC films with various Ti contents were synthesized on metal Ti substrates by a hybrid PVD/PECVD process, where PECVD was used for deposition of DLC films and PVD was used for Ti doping. The effects of the Ti doping ratio on the microstructure, adhesion strength, and electrical and electrochemical properties of the DLC films were systematically investigated. An increase in the Ti content led to increased surface roughness and a higher sp2/sp3 ratio of the Ti-DLC films. Ti atoms existed as amorphous-phase Ti carbide when the Ti doping ratio was less than 2.8 at.%, while the nanocrystalline TiC phase was formed in DLC films when the Ti doping ratio was exceeded 4.0 at.%. The adhesion strength, electrical resistivity, electrochemical activity and reversibility of the DLC films were greatly improved by Ti doping. The influence of Ti doping ratio on the electrical and electrochemical properties of the DLC films were also investigated and the best performance was obtained at a Ti content of 2.8 at.%.

  7. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  8. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  9. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  10. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  11. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  12. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  13. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  14. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  15. The role of the interface in germanium quantum dots: when not only size matters for quantum confinement effects.

    PubMed

    Cosentino, S; Mio, A M; Barbagiovanni, E G; Raciti, R; Bahariqushchi, R; Miritello, M; Nicotra, G; Aydinli, A; Spinella, C; Terrasi, A; Mirabella, S

    2015-07-14

    Quantum confinement (QC) typically assumes a sharp interface between a nanostructure and its environment, leading to an abrupt change in the potential for confined electrons and holes. When the interface is not ideally sharp and clean, significant deviations from the QC rule appear and other parameters beyond the nanostructure size play a considerable role. In this work we elucidate the role of the interface on QC in Ge quantum dots (QDs) synthesized by rf-magnetron sputtering or plasma enhanced chemical vapor deposition (PECVD). Through a detailed electron energy loss spectroscopy (EELS) analysis we investigated the structural and chemical properties of QD interfaces. PECVD QDs exhibit a sharper interface compared to sputter ones, which also evidences a larger contribution of mixed Ge-oxide states. Such a difference strongly modifies the QC strength, as experimentally verified by light absorption spectroscopy. A large size-tuning of the optical bandgap and an increase in the oscillator strength occur when the interface is sharp. A spatially dependent effective mass (SPDEM) model is employed to account for the interface difference between Ge QDs, pointing out a larger reduction in the exciton effective mass in the sharper interface case. These results add new insights into the role of interfaces on confined systems, and open the route for reliable exploitation of QC effects.

  16. Optimizing electrical conductivity and optical transparency of IZO thin film deposited by radio frequency (RF) magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Zhang, Lei

    Transparent conducting oxide (TCO) thin films of In2O3, SnO2, ZnO, and their mixtures have been extensively used in optoelectronic applications such as transparent electrodes in solar photovoltaic devices. In this project I deposited amorphous indium-zinc oxide (IZO) thin films by radio frequency (RF) magnetron sputtering from a In2O3-10 wt.% ZnO sintered ceramic target to optimize the RF power, argon gas flowing rate, and the thickness of film to reach the maximum conductivity and transparency in visible spectrum. The results indicated optimized conductivity and transparency of IZO thin film is closer to ITO's conductivity and transparency, and is even better when the film was deposited with one specific tilted angle. National Science Foundation (NSF) MRSEC program at University of Nebraska Lincoln, and was hosted by Professor Jeff Shields lab.

  17. Effect of deposition parameters and heat-treatment on the microstructure, mechanical and electrochemical properties of hydroxyapatite/titanium coating deposited on Ti6Al4V by RF-magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Qi, Jianwei; Chen, Zhangbo; Han, Wenjun; He, Danfeng; Yang, Yiming; Wang, Qingliang

    2017-09-01

    Functionally graded HA/Ti coatings were deposited on silicon and Ti6Al4V substrate by radio-frequency (RF) magnetron sputtering. The effect of RF-power, negative bias and heat-treatment on the microstructure, mechanical and electrochemical properties of the coatings were characterized by SEM, XRD, FTIR, AFM Nanoindentation and electrochemical workstation. The obtained results showed that the as-deposited HA/Ti coatings were characteristic of amorphous structure, which transformed into a crystal structure after heat-treatment, and reformed O-H peak. The content of crystallization was increasing with the increase of negative bias. A dense, homogenous, smooth and featured surface, and columnar cross-section structure was observed in SEM observation. AFM results showed that the surface roughness became higher after heat-treatment, and increased with increasing RF-power. The mechanical test indicated that the coating had a higher nanohardness (9.1 GPa) in the case of  -100 V and 250 W than that of Ti6Al4V substrate, and a critical load as high as 17  ±  3.5 N. The electrochemical test confirmed the HA/Ti coating served as a stable protecting barrier in improving the corrosion resistance, which the corrosion current density was 1.3% of Ti6Al4V, but it was significantly influenced by RF-power and negative bias. The contact angle test demonstrated that all the coatings exhibited favorable hydrophilic properties, and it decreased by 20-25% compared to that untreated samples. Thus all results indicated that magnetron sputtering is a promising way for fabricating a better biocompatible ceramic coating by adjusting deposition parameters and post-deposition heat treatments.

  18. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  19. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  20. Optical characterization of Mg-doped ZnO thin films deposited by RF magnetron sputtering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Satyendra Kumar; Tripathi, Shweta; Hazra, Purnima

    2016-05-06

    This paper reports the in-depth analysis on optical characteristics of magnesium (Mg) doped zinc oxide (ZnO) thin films grown on p-silicon (Si) substrates by RF magnetron sputtering technique. The variable angle ellipsometer is used for the optical characterization of as-deposited thin films. The optical reflectance, transmission spectra and thickness of as-deposited thin films are measured in the spectral range of 300-800 nm with the help of the spectroscopic ellipsometer. The effect of Mg-doping on optical parameters such as optical bandgap, absorption coefficient, absorbance, extinction coefficient, refractive Index and dielectric constant for as-deposited thin films are extracted to show its application inmore » optoelectronic and photonic devices.« less

  1. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  2. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  3. Metalorganic chemical vapor deposition of AlGaAs and InGaP heterojunction bipolar transistors

    NASA Astrophysics Data System (ADS)

    Pan, N.; Welser, R. E.; Lutz, C. R.; DeLuca, P. M.; Han, B.; Hong, K.

    2001-05-01

    Heterojunction bipolar transistors (HBT) are now beginning to be widely incorporated as power amplifiers, laser drivers, multiplexers, clock data recovery circuits, as well as transimpedance and broadband amplifiers in high performance millimeter wave circuits (MMICs). The increasing acceptance of this device is principally due to advancements in metalorganic chemical vapor deposition (MOCVD), device processing, and circuit design technologies. Many of the DC electrical characteristics of large area devices can be directly correlated to the DC performance of small area RF devices. A precise understanding of the growth parameters and their relationship to device characteristics is critical for ensuring the high degree of reproducibility required for low cost high-yield volume manufacturing. Significant improvements in the understanding of the MOCVD growth process have been realized through the implementation of statistical process control on the key HBT device parameters. This tool has been successfully used to maintain the high quality of the device characteristics in high-volume production of 4″ GaAs-based HBTs. There is a growing demand to migrate towards 6″ diameter wafer size due to the potential cost reductions and increased volume production that can be realized. Preliminary results, indicating good heterostructure layer characteristics, demonstrate the feasibility of 6″ InGaP-based HBT devices.

  4. Vacuum Arc Vapor Deposition Method and Apparatus for Applying Identification Symbols to Substrates

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor); Roxby, Donald L. (Inventor); Weeks, Jack L. (Inventor)

    2002-01-01

    An apparatus for applying permanent markings onto products using a Vacuum Arc Vapor Deposition (VAVD) marker by accelerating atoms or molecules from a vaporization source onto a substrate to form human and/or machine-readable part identification marking that can be detected optically or via a sensing device like x-ray, thermal imaging, ultrasound, magneto-optic, micro-power impulse radar, capacitance, or other similar sensing means. The apparatus includes a housing with a nozzle having a marking end. A chamber having an electrode, a vacuum port and a charge is located within the housing. The charge is activated by the electrode in a vacuum environment and deposited onto a substrate at the marking end of the nozzle. The apparatus may be a hand-held device or be disconnected from the handle and mounted to a robot or fixed station.

  5. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  6. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  7. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  8. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  9. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  10. Effects of deposition temperature and ammonia flow on metal-organic chemical vapor deposition of hexagonal boron nitride

    NASA Astrophysics Data System (ADS)

    Rice, Anthony; Allerman, Andrew; Crawford, Mary; Beechem, Thomas; Ohta, Taisuke; Spataru, Catalin; Figiel, Jeffrey; Smith, Michael

    2018-03-01

    The use of metal-organic chemical vapor deposition at high temperature is investigated as a means to produce epitaxial hexagonal boron nitride (hBN) at the wafer scale. Several categories of hBN films were found to exist based upon precursor flows and deposition temperature. Low, intermediate, and high NH3 flow regimes were found to lead to fundamentally different deposition behaviors. The low NH3 flow regimes yielded discolored films of boron sub-nitride. The intermediate NH3 flow regime yielded stoichiometric films that could be deposited as thick films. The high NH3 flow regime yielded self-limited deposition with thicknesses limited to a few mono-layers. A Langmuir-Hinshelwood mechanism is proposed to explain the onset of self-limited behavior for the high NH3 flow regime. Photoluminescence characterization determined that the intermediate and high NH3 flow regimes could be further divided into low and high temperature behaviors with a boundary at 1500 °C. Films deposited with both high NH3 flow and high temperature exhibited room temperature free exciton emission at 210 nm and 215.9 nm.

  11. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  12. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  13. Comparative study of solution-phase and vapor-phase deposition of aminosilanes on silicon dioxide surfaces.

    PubMed

    Yadav, Amrita R; Sriram, Rashmi; Carter, Jared A; Miller, Benjamin L

    2014-02-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. Copyright © 2013 Elsevier B.V. All rights reserved.

  14. Fabrication technology of CNT-Nickel Oxide based planar pseudocapacitor for MEMS and NEMS

    NASA Astrophysics Data System (ADS)

    Lebedev, E. A.; Kitsyuk, E. P.; Gavrilin, I. M.; Gromov, D. G.; Gruzdev, N. E.; Gavrilov, S. A.; Dronov, A. A.; Pavlov, A. A.

    2015-11-01

    Fabrication technology of planar pseudocapacitor (PsC) based on carbon nanotube (CNT) forest, synthesized using plasma enhanced chemical vapor deposition (PECVD) method, covered with thin nickel oxide layer deposited by successive ionic layer adsorption and reaction (SILAR) method, is demonstrated. Dependences of deposited oxide layers thickness on device specific capacities is studied. It is shown that pseudocapacity of nickel oxide thin layer increases specific capacity of the CNT's based device up to 2.5 times.

  15. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  16. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    PubMed

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  17. Characterization of Metalorganic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Jesser, W. A.

    1998-01-01

    A series of experimental and numerical investigations to develop a more complete understanding of the reactive fluid dynamics of chemical vapor deposition were conducted. In the experimental phases of the effort, a horizontal CVD reactor configuration was used for the growth of InP at UVA and for laser velocimetry measurements of the flow fields in the reactor at LaRC. This horizontal reactor configuration was developed for the growth of III-V semiconductors and has been used by our research group in the past to study the deposition of both GaAs and InP. While the ultimate resolution of many of the heat and mass transport issues will require access to a reduced-gravity environment, the series of groundbased research makes direct contributions to this area while attempting to answer the design questions for future experiments of how low must gravity be reduced and for how long must this gravity level be maintained to make the necessary measurements. It is hoped that the terrestrial experiments will be useful for the design of future microgravity experiments which likely will be designed to employ a core set of measurements for applications in the microgravity environment such as HOLOC, the Fluid Physics/Dynamics Facility, or the Schlieren photography, the Laser Imaging Velocimetry and the Laser Doppler Velocimetry instruments under development for the Advanced Fluids Experiment Module.

  18. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    PubMed Central

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-01-01

    This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications. PMID:28773679

  19. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  20. Enhanced Visible Transmittance of Thermochromic VO₂ Thin Films by SiO₂ Passivation Layer and Their Optical Characterization.

    PubMed

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-07-09

    This paper presents the preparation of high-quality vanadium dioxide (VO₂) thermochromic thin films with enhanced visible transmittance (T vis ) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO₂ thin films with high T vis and excellent optical switching efficiency (E os ) were successfully prepared by employing SiO₂ as a passivation layer. After SiO₂ deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO₂ coating, the phase transition temperature (T c ) of the prepared films was not affected. Compared with pristine VO₂, the total layer thickness after SiO₂ coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO₂ thin films showed a higher T vis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of T vis while maintaining high E os is meaningful for VO₂-based smart window applications.

  1. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  2. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  3. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  4. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  5. Glasses and Liquids Low on the Energy Landscape Prepared by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel; Fakhraai, Zahra; Ediger, Mark

    2014-03-01

    The lower portions of the potential energy landscape for glass-forming materials such as polymers and small molecules were historically inaccessible by experiments. Physical vapor deposition is uniquely able to prepare materials in this portion of the energy landscape, with the properties of the deposited material primarily modulated by the substrate temperature. Here we report on high-throughput experiments which utilize a temperature gradient stage to enable rapid screening of vapor-deposited organic glasses. Using ellipsometry, we characterize a 100 K range of substrate temperatures in a single experiment, allowing us to rapidly determine the density, kinetic stability, fictive temperature and molecular orientation of these glasses. Their properties fall into three temperature regimes. At substrate temperatures as low as 0.97Tg, we prepare materials which are equivalent to the supercooled liquid produced by cooling the melt. Below 0.9Tg (1.16TK) the properties of materials are kinetically controlled and highly tunable. At intermediate substrate temperatures we are able to produce materials whose bulk properties match those expected for the equilibrium supercooled liquid, down to 1.16TK, but are structurally anisotropic.

  6. Surface morphology and grain analysis of successively industrially grown amorphous hydrogenated carbon films (a-C:H) on silicon

    NASA Astrophysics Data System (ADS)

    Catena, Alberto; McJunkin, Thomas; Agnello, Simonpietro; Gelardi, Franco M.; Wehner, Stefan; Fischer, Christian B.

    2015-08-01

    Silicon (1 0 0) has been gradually covered by amorphous hydrogenated carbon (a-C:H) films via an industrial process. Two types of these diamond-like carbon (DLC) coatings, one more flexible (f-DLC) and one more robust (r-DLC), have been investigated. Both types have been grown by a radio frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique with acetylene plasma. Surface morphologies have been studied in detail by atomic force microscopy (AFM) and Raman spectroscopy has been used to investigate the DLC structure. Both types appeared to have very similar morphology and sp2 carbon arrangement. The average height and area for single grains have been analyzed for all depositions. A random distribution of grain heights was found for both types. The individual grain structures between the f- and r-type revealed differences: the shape for the f-DLC grains is steeper than for the r-DLC grains. By correlating the average grain heights to the average grain areas for all depositions a limited region is identified, suggesting a certain regularity during the DLC deposition mechanisms that confines both values. A growth of the sp2 carbon entities for high r-DLC depositions is revealed and connected to a structural rearrangement of carbon atom hybridizations and hydrogen content in the DLC structure.

  7. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  8. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  9. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  10. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  11. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  12. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  13. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  14. CROSS-DISCIPLINARY PHYSICS AND RELATED AREAS OF SCIENCE AND TECHNOLOGY: Research on the boron contamination at the p/i interface of microcrystalline silicon solar cells deposited in a single PECVD chamber

    NASA Astrophysics Data System (ADS)

    Zhang, Xiao-Dan; Sun, Fu-He; Wei, Chang-Chun; Sun, Jian; Zhang, De-Kun; Geng, Xin-Hua; Xiong, Shao-Zhen; Zhao, Ying

    2009-10-01

    This paper studies boron contamination at the interface between the p and i layers of μc-Si:H solar cells deposited in a single-chamber PECVD system. The boron depth profile in the i layer was measured by Secondary Ion Mass Spectroscopy. It is found that the mixed-phase μc-Si:H materials with 40% crystalline volume fraction is easy to be affected by the residual boron in the reactor. The experimental results showed that a 500-nm thick μc-Si:H covering layer or a 30-seconds of hydrogen plasma treatment can effectively reduce the boron contamination at the p/i interface. However, from viewpoint of cost reduction, the hydrogen plasma treatment is desirable for solar cell manufacture because the substrate is not moved during the hydrogen plasma treatment.

  15. Using deposition rate to increase the thermal and kinetic stability of vapor-deposited hole transport layer glasses via a simple sublimation apparatus

    NASA Astrophysics Data System (ADS)

    Kearns, Kenneth L.; Krzyskowski, Paige; Devereaux, Zachary

    2017-05-01

    Deposition rate is known to affect the relative stability of vapor-deposited glasses; slower rates give more stable materials due to enhanced mobility at the free surface of the film. Here we show that the deposition rate can affect both the thermodynamic and kinetic stabilities of N ,N' -bis(3-methylphenyl)-N ,N' -diphenylbenzidine (TPD) and N ,N' -di-[(1-naphthyl)-N ,N' -diphenyl]-1,1'-biphenyl)-4,4'-diamine (NPD) glasses used as hole transport layers for organic light emitting diodes (OLEDs). A simple, low-vacuum glass sublimation apparatus and a high vacuum deposition chamber were used to deposit the glass. 50 μm thick films were deposited in the sublimation apparatus and characterized by differential scanning calorimetry while 75 nm thick films were prepared in the high vacuum chamber and studied by hot-stage spectroscopic ellipsometry (SE). The thermodynamic stability from both preparation chambers was consistent and showed that the fictive temperature (Tfictive) was more than 30 K lower than the conventional glass transition temperature (Tg) at the slowest deposition rates. The kinetic stability, measured as the onset temperature (Tonset) where the glass begins to transform into the supercooled liquid, was 16-17 K greater than Tg at the slowest rates. Tonset was systematically lower for the thin films characterized by SE and was attributed to the thickness dependent transformation of the glass into the supercooled liquid. These results show the first calorimetric characterization of the stability of glasses for OLED applications made by vapor deposition and the first direct comparison of deposition apparatuses as a function of the deposition rate. The ease of fabrication will create an opportunity for others to study the effect of deposition conditions on glass stability.

  16. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    PubMed

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  17. Boron coating on boron nitride coated nuclear fuels by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Durmazuçar, Hasan H.; Gündüz, Güngör

    2000-12-01

    Uranium dioxide-only and uranium dioxide-gadolinium oxide (5% and 10%) ceramic nuclear fuel pellets which were already coated with boron nitride were coated with thin boron layer by chemical vapor deposition to increase the burn-up efficiency of the fuel during reactor operation. Coating was accomplished from the reaction of boron trichloride with hydrogen at 1250 K in a tube furnace, and then sintering at 1400 and 1525 K. The deposited boron was identified by infrared spectrum. The morphology of the coating was studied by using scanning electron microscope. The plate, grainy and string (fiber)-like boron structures were observed.

  18. Chemical vapor deposition fluid flow simulation modelling tool

    NASA Technical Reports Server (NTRS)

    Bullister, Edward T.

    1992-01-01

    Accurate numerical simulation of chemical vapor deposition (CVD) processes requires a general purpose computational fluid dynamics package combined with specialized capabilities for high temperature chemistry. In this report, we describe the implementation of these specialized capabilities in the spectral element code NEKTON. The thermal expansion of the gases involved is shown to be accurately approximated by the low Mach number perturbation expansion of the incompressible Navier-Stokes equations. The radiative heat transfer between multiple interacting radiating surfaces is shown to be tractable using the method of Gebhart. The disparate rates of reaction and diffusion in CVD processes are calculated via a point-implicit time integration scheme. We demonstrate the use above capabilities on prototypical CVD applications.

  19. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    NASA Astrophysics Data System (ADS)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  20. Damping mechanisms in chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, James A.; Goldsby, Jon C.

    1993-01-01

    Evaluating the damping of reinforcement fibers is important for understanding their microstructures and the vibrational response of their structural composites. In this study the damping capacities of two types of chemically vapor deposited silicon carbide fibers were measured from -200 C to as high as 800 C. Measurements were made at frequencies in the range 50 to 15000 Hz on single cantilevered fibers. At least four sources were identified which contribute to fiber damping, the most significant being thermoelastic damping and grain boundary sliding. The mechanisms controlling all sources and their potential influence on fiber and composite performance are discussed.

  1. Ultrathin Carbon Film Protected Silver Nanostructures for Surface-Enhanced Raman Scattering.

    PubMed

    Peng, Yinshan; Zheng, Xianliang; Tian, Hongwei; Cui, Xiaoqiang; Chen, Hong; Zheng, Weitao

    2016-06-23

    In this article, ultrathin carbon film protected silver substrate (Ag/C) was prepared via a plasma-enhanced chemical vapor deposition (PECVD) method. The morphological evolution of silver nanostructures underneath, as well as the surface-enhanced Raman scattering (SERS) activity of Ag/C hybrid can be tuned by controlling the deposition time. The stability and reproducibility of the as-prepared hybrid were also studied. © The Author(s) 2016.

  2. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, Robert; Loomis, Gary E.; Thomas, Ian M.

    1999-01-01

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (.about.1.10-1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm.

  3. Correlations between plasma variables and the deposition process of Si films from chlorosilanes in low pressure RF plasma of argon and hydrogen

    NASA Technical Reports Server (NTRS)

    Avni, R.; Carmi, U.; Grill, A.; Manory, R.; Grossman, E.

    1984-01-01

    The dissociation of chlorosilanes to silicon and its deposition on a solid substrate in a RF plasma of mixtures of argon and hydrogen were investigated as a function of the macrovariables of the plasma. The dissociation mechanism of chlorosilanes and HCl as well as the formation of Si in the plasma state were studied by sampling the plasma with a quadrupole mass spectrometer. Macrovariables such as pressure, net RF power input and locations in the plasma reactor strongly influence the kinetics of dissociation. The deposition process of microcrystalline silicon films and its chlorine contamination were correlated to the dissociation mechanism of chlorosilanes and HCl.

  4. Effects of bias voltage on diamond like carbon coatings deposited using titanium isopropoxide (TIPOT) and acetylene/argon mixtures onto various substrate materials.

    PubMed

    Said, R; Ghumman, C A A; Teodoro, M N D; Ahmed, W; Abuazza, A; Gracio, J

    2010-04-01

    RF-PECVD was used to prepare amorphous of carbon (DLC) onto stainless steel 316 and glass substrates. The substrates were negatively biased at between 100 V to 400 V. Thin films of DLC have been deposited using C2H2 and titanium isopropoxide (TIPOT). Argon was used to generate the plasma in the PECVD system chamber. DEKTAK 8 surface stylus profilometer was used to measure the film thickness and the deposition rate was calculated. Micro Raman spectroscopy was employed to determine the chemical structure and bonding present in the films. Composition analysis of the samples was carried out using VGTOF SIMS (IX23LS) instrument. In addition, X-ray photoelectron spectroscopy (XPS) was used to analyze the composition and chemical state of the films. The wettability of the films was examined using the optical contact angle meter (CAM200) system. Two types of liquids with different polarities were used to study changes in the surface energy. The as-grown films were in the thickness range of 200-400 nm. Raman spectroscopy results showed that the I(D)/I(G) ratio decreased when the bias voltage on the stainless steel substrates was increased. This indicates an increase in the graphitic nature of the film deposited. In contrast, on the glass substrates the I(D)/I(G) ratio increased when the bias voltage was increased indicates a greater degree of diamond like character. Chemical composition determined using XPS showed the presence of carbon and oxygen in both samples on glass and stainless steel substrates. Both coatings the contact angle of the films decreased except for 400 V which showed a slight increase. The oxygen is thought to play an important role on the polar component of a-C.

  5. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  6. Tunable carbon nanotube-tungsten carbide nanoparticles heterostructures by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Min; Guo, Hongyan; Ge, Changchun

    2014-05-14

    A simple, versatile route for the synthesis of carbon nanotube (CNT)-tungsten carbide nanoparticles heterostructures was set up via vapor deposition process. For the first time, amorphous CNTs (α-CNTs) were used to immobilized tungsten carbide nanoparticles. By adjusting the synthesis and annealing temperature, α-CNTs/amorphous tungsten carbide, α-CNTs/W{sub 2}C, and CNTs/W{sub 2}C/WC heterostructures were prepared. This approach provides an efficient method to attach other metal carbides and other nanoparticles to carbon nanotubes with tunable properties.

  7. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  8. Characterization of ZnO:SnO{sub 2} (50:50) thin film deposited by RF magnetron sputtering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cynthia, S. R.; Sanjeeviraja, C.; Ponmudi, S.

    2016-05-06

    Zinc oxide (ZnO) and tin oxide (SnO{sub 2}) thin films have attracted significant interest recently for use in optoelectronic application such as solar cells, flat panel displays, photonic devices, laser diodes and gas sensors because of their desirable electrical and optical properties and wide band gap. In the present study, thin films of ZnO:SnO{sub 2} (50:50) were deposited on pre-cleaned microscopic glass substrate by RF magnetron sputtering technique. The substrate temperature and RF power induced changes in structural, surface morphological, compositional and optical properties of the films have been studied.

  9. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  10. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  11. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  12. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  13. RF Magnetron Sputtering Deposited W/Ti Thin Film For Smart Window Applications

    NASA Astrophysics Data System (ADS)

    Oksuz, Lutfi; Kiristi, Melek; Bozduman, Ferhat; Uygun Oksuz, Aysegul

    2014-10-01

    Electrochromic (EC) devices can change reversible and persistent their optical properties in the visible region (400-800 nm) upon charge insertion/extraction according to the applied voltage. A complementary type EC is a device containing two electrochromic layers, one of which is anodically colored such as vanadium oxide (V2 O5) while the other cathodically colored such as tungsten oxide (WO3) which is separated by an ionic conduction layer (electrolyte). The use of a solid electrolyte such as Nafion eliminates the need for containment of the liquid electrolyte, which simplifies the cell design, as well as improves safety and durability. In this work, the EC device was fabricated on a ITO/glass slide. The WO3-TiO2 thin film was deposited by reactive RF magnetron sputtering using a 2-in W/Ti (9:1%wt) target with purity of 99.9% in a mixture gas of argon and oxygen. As a counter electrode layer, V2O5 film was deposited on an ITO/glass substrate using V2O3 target with the same conditions of reactive RF magnetron sputtering. Modified Nafion was used as an electrolyte to complete EC device. The transmittance spectra of the complementary EC device was measured by optical spectrophotometry when a voltage of +/-3 V was applied to the EC device by computer controlled system. The surface morphology of the films was characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM) (Fig. 2). The cyclic voltammetry (CV) for EC device was performed by sweeping the potential between +/-3 V at a scan rate of 50 mV/s.

  14. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  15. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  16. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  17. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  18. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  19. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.

    2003-10-01

    SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  20. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    PubMed

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  1. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    NASA Astrophysics Data System (ADS)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  2. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, R.; Loomis, G.E.; Thomas, I.M.

    1999-03-16

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (ca. 1.10--1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm. 2 figs.

  3. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    NASA Technical Reports Server (NTRS)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  4. Physical vapor deposition as a route to glasses with liquid crystalline order

    NASA Astrophysics Data System (ADS)

    Gomez, Jaritza

    Physical vapor deposition (PVD) is an effective route to prepare glasses with a unique combination of properties. Substrate temperatures near the glass transition (Tg) and slow deposition rates can access enhanced mobility at the surface of the glass allowing molecules at the surface additional time to sample different molecular configurations. The temperature of the substrate can be used to control molecular mobility during deposition and properties in the resulting glasses such as higher density, kinetic stability and preferential molecular orientation. PVD was used to prepare glasses of itraconazole, a smectic A liquid crystal. We characterized molecular orientation using infrared and ellipsometry. Molecular orientation can be controlled by choice of Tsubstrate in a range of temperatures near Tg. Glasses deposited at Tsubstrate = Tg show nearly vertical molecular orientation relative to the substrate; at lower Tsubstrate, molecules are nearly parallel to the substrate. The molecular orientation depends on the temperature of the substrate during preparation and not on the molecular orientation of the underlying layer. This allows preparing samples of layers with differing orientations. We find these glasses are homogeneous solids without evidence of domain boundaries and are molecularly flat. We interpret the combination of properties obtained for vapor-deposited glasses of itraconazole to result from a process where molecular orientation is determined by the structure and dynamics at the free surface of the glass during deposition. We report the thermal and structural properties of glasses prepared using PVD of a rod-like molecule, posaconazole, which does not show equilibrium liquid crystal phases. These glasses show substantial molecular orientation that can be controlled by choice of Tsubstrate during deposition. Ellipsometry and IR indicate that glasses prepared at Tg - 3 K are highly ordered. At these Tsubstrate, molecules show preferential vertical

  5. Carbon Nanotube Field Emitters Synthesized on Metal Alloy Substrate by PECVD for Customized Compact Field Emission Devices to Be Used in X-Ray Source Applications.

    PubMed

    Park, Sangjun; Gupta, Amar Prasad; Yeo, Seung Jun; Jung, Jaeik; Paik, Sang Hyun; Mativenga, Mallory; Kim, Seung Hoon; Shin, Ji Hoon; Ahn, Jeung Sun; Ryu, Jehwang

    2018-05-29

    In this study, a simple, efficient, and economical process is reported for the direct synthesis of carbon nanotube (CNT) field emitters on metal alloy. Given that CNT field emitters can be customized with ease for compact and cold field emission devices, they are promising replacements for thermionic emitters in widely accessible X-ray source electron guns. High performance CNT emitter samples were prepared in optimized plasma conditions through the plasma-enhanced chemical vapor deposition (PECVD) process and subsequently characterized by using a scanning electron microscope, tunneling electron microscope, and Raman spectroscopy. For the cathode current, field emission (FE) characteristics with respective turn on (1 μA/cm²) and threshold (1 mA/cm²) field of 2.84 and 4.05 V/μm were obtained. For a field of 5.24 V/μm, maximum current density of 7 mA/cm² was achieved and a field enhancement factor β of 2838 was calculated. In addition, the CNT emitters sustained a current density of 6.7 mA/cm² for 420 min under a field of 5.2 V/μm, confirming good operational stability. Finally, an X-ray generated image of an integrated circuit was taken using the compact field emission device developed herein.

  6. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  7. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  9. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  10. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  11. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  12. Silicon surface passivation by silicon nitride deposition

    NASA Technical Reports Server (NTRS)

    Olsen, L. C.

    1984-01-01

    Silicon nitride deposition was studied as a method of passivation for silicon solar cell surfaces. The following three objectives were the thrust of the research: (1) the use of pecvd silicon nitride for passivation of silicon surfaces; (2) measurement techniques for surface recombination velocity; and (3) the importance of surface passivation to high efficiency solar cells.

  13. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  14. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  15. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  16. Chemical vapor deposition modeling for high temperature materials

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1992-01-01

    The formalism for the accurate modeling of chemical vapor deposition (CVD) processes has matured based on the well established principles of transport phenomena and chemical kinetics in the gas phase and on surfaces. The utility and limitations of such models are discussed in practical applications for high temperature structural materials. Attention is drawn to the complexities and uncertainties in chemical kinetics. Traditional approaches based on only equilibrium thermochemistry and/or transport phenomena are defended as useful tools, within their validity, for engineering purposes. The role of modeling is discussed within the context of establishing the link between CVD process parameters and material microstructures/properties. It is argued that CVD modeling is an essential part of designing CVD equipment and controlling/optimizing CVD processes for the production and/or coating of high performance structural materials.

  17. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  18. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  19. 2017 Report for New LANL Physical Vapor Deposition Capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roman, Audrey Rae; Zhao, Xinxin; Bond, Evelyn M.

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF ismore » the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.« less

  20. Initiated Chemical Vapor Deposition (iCVD) of Highly Cross-Linked Polymer Films for Advanced Lithium-Ion Battery Separators.

    PubMed

    Yoo, Youngmin; Kim, Byung Gon; Pak, Kwanyong; Han, Sung Jae; Song, Heon-Sik; Choi, Jang Wook; Im, Sung Gap

    2015-08-26

    We report an initiated chemical vapor deposition (iCVD) process to coat polyethylene (PE) separators in Li-ion batteries with a highly cross-linked, mechanically strong polymer, namely, polyhexavinyldisiloxane (pHVDS). The highly cross-linked but ultrathin pHVDS films can only be obtained by a vapor-phase process, because the pHVDS is insoluble in most solvents and thus infeasible with conventional solution-based methods. Moreover, even after the pHVDS coating, the initial porous structure of the separator is well preserved owing to the conformal vapor-phase deposition. The coating thickness is delicately controlled by deposition time to the level that the pore size decreases to below 7% compared to the original dimension. The pHVDS-coated PE shows substantially improved thermal stability and electrolyte wettability. After incubation at 140 °C for 30 min, the pHVDS-coated PE causes only a 12% areal shrinkage (versus 90% of the pristine separator). The superior wettability results in increased electrolyte uptake and ionic conductivity, leading to significantly improved rate performance. The current approach is applicable to a wide range of porous polymeric separators that suffer from thermal shrinkage and poor electrolyte wetting.

  1. On a non-thermal atmospheric pressure plasma jet used for the deposition of silicon-organic films

    NASA Astrophysics Data System (ADS)

    Schäfer, Jan; Sigeneger, Florian; Foest, Rüdiger; Loffhagen, Detlef; Weltmann, Klaus-Dieter

    2018-05-01

    This work represents a concise overview on the results achieved by the authors over the last years on the plasma of a non-thermal reactive plasma jet at atmospheric pressure and of related thin film formation by plasma enhanced chemical vapour deposition (PECVD). The source was developed considering the application of the plasma self-organization for PECVD. The experimental methods comprise spectroscopic measurements of plasma parameters in the active zone, temperature measurements in the active zone and the effluent as well as the analysis of deposited films at the substrate surface. The theoretical investigations are devoted to a single filament in the active zone using a phase-resolved model and to an overall description of the jet including the substrate using a period-averaged model.

  2. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition.

    NASA Astrophysics Data System (ADS)

    Sharma, Uttam; Chauhan, Sachin S.; Sharma, Jayshree; Sanyasi, A. K.; Ghosh, J.; Choudhary, K. K.; Ghosh, S. K.

    2016-10-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS.

  3. Metalorganic chemical vapor deposition and characterization of ZnO materials

    NASA Astrophysics Data System (ADS)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  4. Study of Polymer Crystallization by Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jeong, Hyuncheol

    When a polymer is confined under the submicron length scale, confinement size and interfaces can significantly impact the crystallization kinetics and resulting morphology. The ability to tune the morphology of confined polymer systems is of critical importance for the development of high-performance polymer microelectronics. The wisdom from the research on confined crystallization suggests that it would be beneficial to have a processing route in which the crystallization of polymers is driven by interface and temperature effects at a nanometer-scale confinement. In practice, for atomic and small-molecular systems, physical vapor deposition (PVD) has been recognized as the most successful processing route for the precise control of the film structure at surface utilizing confinement effects. While standard PVD technologies are not generally applicable to the deposition of the chemically fragile macromolecules, the development of matrix-assisted pulsed laser evaporation (MAPLE) now enables the non-destructive PVD of high-molecular weight polymers. In this thesis work, we investigated the use of MAPLE for the precise control of the crystallization of polymer films at a molecular level. We also sought to decipher the rules governing the crystallization of confined polymers, by using MAPLE as a tool to form confined polymer systems onto substrates with a controlled temperature. We first explored the early stages of film growth and crystallization of poly(ethylene oxide) (PEO) at the substrate surface formed by MAPLE. The unique mechanism of film formation in MAPLE, the deposition of submicron-sized polymer droplets, allowed for the manifestation of confinement and substrate effects in the crystallization of MAPLE-deposited PEO. Furthermore, we also focused on the property of the amorphous PEO film formed by MAPLE, showing the dependence of polymer crystallization kinetics on the thermal history of the amorphous phase. Lastly, we probed how MAPLE processing affected

  5. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  6. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  7. PECVD based silicon oxynitride thin films for nano photonic on chip interconnects applications.

    PubMed

    Sharma, Satinder K; Barthwal, Sumit; Singh, Vikram; Kumar, Anuj; Dwivedi, Prabhat K; Prasad, B; Kumar, Dinesh

    2013-01-01

    Thin silicon oxynitride (SiO(x)N(y)) films were deposited by low temperature (~300°C) plasma enhanced chemical vapour deposition (PECVD), using SiH(4), N(2)O, NH(3) precursor of the flow rate 25, 100, 30 sccm and subjected to the post deposition annealing (PDA) treatment at 400°C and 600°C for nano optical/photonics on chip interconnects applications. AFM result reveals the variation of roughness from 60.9 Å to 23.4 Å after PDA treatment with respect to the as-deposited films, favourable surface topography for integrated waveguide applications. A model of decrease in island height with the effect of PDA treatment is proposed in support of AFM results. Raman spectroscopy and FTIR measurements are performed in order to define the change in crystallite and chemical bonding of as-deposited as well as PDA treated samples. These outcomes endorsed to the densification of SiO(x)N(y) thin films, due to decrease in Si-N and Si-O bonds strain, as well the O-H, N-H bonds with in oxynitride network. The increase in refractive index and PL intensity of as deposited SiO(x)N(y) thin films to the PDA treated films at 400°C and 600°C are observed. The significant shift of PL spectra peak positions indicate the change in cluster size as the result of PDA treatment, which influence the optical properties of thin films. It might be due to out diffusion of hydrogen containing species from silicon oxynitride films after PDA treatment. In this way, the structural and optical, feasibility of SiO(x)N(y) films are demonstrated in order to obtain high quality thin films for nano optical/photonics on chip interconnects applications. Copyright © 2012 Elsevier Ltd. All rights reserved.

  8. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  9. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  10. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  11. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  12. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  13. ZnO:Al Thin Film Gas Sensor for Detection of Ethanol Vapor

    PubMed Central

    Chou, Shih Min; Teoh, Lay Gaik; Lai, Wei Hao; Su, Yen Hsun; Hon, Min Hsiung

    2006-01-01

    The ZnO:Al thin films were prepared by RF magnetron sputtering on Si substrate using Pt as interdigitated electrodes. The structure was characterized by XRD and SEM analyses, and the ethanol vapor gas sensing as well as electrical properties have been investigated and discussed. The gas sensing results show that the sensitivity for detecting 400 ppm ethanol vapor was ∼20 at an operating temperature of 250°C. The high sensitivity, fast recovery, and reliability suggest that ZnO:Al thin film prepared by RF magnetron sputtering can be used for ethanol vapor gas sensing.

  14. Continuous Cavitation Designed for Enhancing Radiofrequency Ablation via a Special Radiofrequency Solidoid Vaporization Process.

    PubMed

    Zhang, Kun; Li, Pei; Chen, Hangrong; Bo, Xiaowan; Li, Xiaolong; Xu, Huixiong

    2016-02-23

    Lowering power output and radiation time during radiofrequency (RF) ablation is still a challenge. Although it is documented that metal-based magnetothermal conversion and microbubbles-based inertial cavitation have been tried to overcome above issues, disputed toxicity and poor magnetothermal conversion efficiency for metal-based nanoparticles and violent but transient cavitation for microbubbles are inappropriate for enhancing RF ablation. In this report, a strategy, i.e., continuous cavitation, has been proposed, and solid menthol-encapsulated poly lactide-glycolide acid (PLGA) nanocapsules have been constructed, as a proof of concept, to validate the role of such a continuous cavitation principle in continuously enhancing RF ablation. The synthesized PLGA-based nanocapsules can respond to RF to generate menthol bubbles via distinctive radiofrequency solidoid vaporization (RSV) process, meanwhile significantly enhance ultrasound imaging for HeLa solid tumor, and further facilitate RF ablation via the continuous cavitation, as systematically demonstrated both in vitro and in vivo. Importantly, this RSV strategy can overcome drawbacks and limitations of acoustic droplet vaporization (ADV) and optical droplet vaporization (ODV), and will probably find broad applications in further cancer theranostics.

  15. Selective growth of titanium dioxide by low-temperature chemical vapor deposition.

    PubMed

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2015-05-13

    A key factor in engineering integrated optical devices such as electro-optic switches or waveguides is the patterning of thin films into specific geometries. In particular for functional oxides, etching processes are usually developed to a much lower extent than for silicon or silicon dioxide; therefore, selective area deposition techniques are of high interest for these materials. We report the selective area deposition of titanium dioxide using titanium isopropoxide and water in a high-vacuum chemical vapor deposition (HV-CVD) process at a substrate temperature of 225 °C. Here—contrary to conventional thermal CVD processes—only hydrolysis of the precursor on the surface drives the film growth as the thermal energy is not sufficient to thermally decompose the precursor. Local modification of the substrate surface energy by perfluoroalkylsilanization leads to a reduced surface residence time of the precursors and, consequently, to lower reaction rate and a prolonged incubation period before nucleation occurs, hence, enabling selective area growth. We discuss the dependence of the incubation time and the selectivity of the deposition process on the presence of the perfluoroalkylsilanization layer and on the precursor impinging rates—with selectivity, we refer to the difference of desired material deposition, before nucleation occurs in the undesired regions. The highest measured selectivity reached (99 ± 5) nm, a factor of 3 superior than previously reported in an atomic layer deposition process using the same chemistry. Furthermore, resolution of the obtained patterns will be discussed and illustrated.

  16. The effects of phase transformation on the structure and mechanical properties of TiSiCN nanocomposite coatings deposited by PECVD method

    NASA Astrophysics Data System (ADS)

    Abedi, Mohammad; Abdollah-zadeh, Amir; Bestetti, Massimiliano; Vicenzo, Antonello; Serafini, Andrea; Movassagh-Alanagh, Farid

    2018-06-01

    In the present study, the effects of phase transformations on the structure and mechanical properties of TiSiCN coatings were investigated. TiSiCN nanocomposite coatings were deposited on AISI H13 hot-work tool steel by a pulsed direct current plasma-enhanced chemical vapor deposition process at 350 or 500 °C, using TiCl4 and SiCl4 as the precursors of Ti and Si, respectively, in a CH4/N2/H2/Ar plasma as the source of carbon and nitrogen and reducing environment. Some samples deposited at 350 °C were subsequently annealed at 500 °C under Ar atmosphere. Super hard self-lubricant TiSiCN coatings, having nanocomposite structure consisting of TiCN nanocrystals and amorphous carbon particles embedded in an amorphous SiCNx matrix, formed through spinodal decomposition in the specimens deposited or annealed at 500 °C. In addition, it was revealed that either uncomplete or relatively coarse phase segregation of titanium compounds was achieved during deposition at 350 °C and 500 °C, respectively. On the contrary, by deposition at 350 °C followed by annealing at 500 °C, a finer structure was obtained with a sensible improvement of the mechanical properties of coatings. Accordingly, the main finding of this work is that significant enhancement in key properties of TiSiCN coatings, such as hardness, adhesion and friction coefficient, can be obtained by deposition at low temperature and subsequent annealing at higher temperature, thanks to the formation of a fine grained nanocomposite structure.

  17. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yong Seob; Kim, Eungkwon; Hong, Byungyou

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{submore » 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.« less

  18. Calculation of optical band gaps of a-Si:H thin films by ellipsometry and UV-Vis spectrophotometry

    NASA Astrophysics Data System (ADS)

    Qiu, Yijiao; Li, Wei; Wu, Maoyang; Fu, Junwei; Jiang, Yadong

    2010-10-01

    Hydrogenated amorphous silicon (a-Si:H) thin films doped with Phosphorus (P) and Nitrogen (N) were deposited by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD). The optical band gaps of the thin films obtained through either changing the gas pressure (P-doped only) or adulterating nitrogen concentration (with fixed P content) were investigated by means of Ellipsometric and Ultraviolet-Visible (UV-Vis) spectroscopy, respectively. Tauc formula was used in calculating the optical band gaps of the thin films in both methods. The results show that Ellipsometry and UV-Vis spectrophotometry can be applied in the research of the optical properties of a-Si:H thin films experimentally. Both methods reflect the variation law of the optical band gaps caused by CVD process parameters, i.e., the optical band gap of the a-Si:H thin films is increased with the rise of the gas pressure or the nitrogen concentration respectively. The difference in optical band gaps of the doped a-Si:H thin films calculated by Ellipsometry or UV-Vis spectrophotometry are not so great that they both can be used to measure the optical band gaps of the thin films in practical applications.

  19. Vapor-deposited water and nitric acid ices

    NASA Astrophysics Data System (ADS)

    Leu, Ming-Taun; Keyser, Leon F.

    Ices formed by vapor deposition have been the subject of numerous laboratory investigations in connection with snow and glaciers on the ground, ice clouds in the terrestrial atmosphere, surfaces of other planets and their satellites, and the interstellar medium. In this review we will focus on these specific subjects: (1) heterogeneous chemistry on the surfaces of polar stratospheric clouds (PSCs) and (2) surfaces of satellites of the outer planets in our solar system. Stratospheric ozone provides a protective shield for mankind and the global biosphere from harmful ultraviolet solar radiation. In past decades, theoretical atmospheric models for the calculation of ozone balance frequently used only homogeneous gas-phase reactions in their studies. Since the discovery of the Antarctic ozone hole in 1985, however, it has been demonstrated that knowledge of heterogeneous reactions on the surface of PSCs is definitely needed to understand this significant natural event due to the anthropogenic emission of chlorofluorocarbons (CFCs). We will briefly discuss the experimental techniques for the investigation of heterogeneous chemistry on ice surfaces carried out in our laboratories. The experimental apparatus used include: several flow-tube reactors, an electron-impact ionization mass spectrometer, a Fourier transform infrared spectrometer, a BET adsorption apparatus, and a scanning environmental electron microscope. The adsorption experiments and electron microscopic work have demonstrated that the vapor-deposited ices are highly porous. Therefore, it is necessary to develop theoretical models for the elucidation of the uptake and reactivity of trace gases in porous ice substrates. Several measurements of uptake and reaction probabilities of these trace gases on water ices and nitric acid ices have been performed under ambient conditions in the upper troposphere and lower stratosphere, mainly in the temperature range 180-220 K. The trace gases of atmospheric importance

  20. Creep of chemically vapor deposited SiC fibers

    NASA Technical Reports Server (NTRS)

    Dicarlo, J. A.

    1984-01-01

    The creep, thermal expansion, and elastic modulus properties for chemically vapor deposited SiC fibers were measured between 1000 and 1500 C. Creep strain was observed to increase logarithmically with time, monotonically with temperature, and linearly with tensile stress up to 600 MPa. The controlling activation energy was 480 + or - 20 kJ/mole. Thermal pretreatments near 1200 and 1450 C were found to significantly reduce fiber creep. These results coupled with creep recovery observations indicate that below 1400 C fiber creep is anelastic with neglible plastic component. This allowed a simple predictive method to be developed for describing fiber total deformation as a function of time, temperature, and stress. Mechanistic analysis of the property data suggests that fiber creep is the result of beta-SiC grain boundary sliding controlled by a small percent of free silicon in the grain boundaries.

  1. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  2. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  3. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  4. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  5. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    NASA Astrophysics Data System (ADS)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; Knight, Kim B.; Isselhardt, Brett H.; Matzel, Jennifer E.; Weber, Peter K.; Prussin, Stan G.; Hutcheon, Ian D.

    2017-03-01

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamic fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device (235U/238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO2 is found to be relatively invariable across the samples and interfaces (∼3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may also be

  6. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE PAGES

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; ...

    2016-10-29

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  7. Influence of Substrate Temperature on the Transformation Front Velocities That Determine Thermal Stability of Vapor-Deposited Glasses

    DOE PAGES

    Dalal, Shakeel S.; Ediger, M. D.

    2015-02-09

    Stable organic glasses prepared by physical vapor deposition transform into the supercooled liquid via propagating fronts of molecular mobility, a mechanism different from that exhibited by glasses prepared by cooling the liquid. In this paper, we show that spectroscopic ellipsometry can directly observe this front-based mechanism in real time and explore how the velocity of the front depends upon the substrate temperature during deposition. For the model glass former indomethacin, we detect surface-initiated mobility fronts in glasses formed at substrate temperatures between 0.68T g and 0.94T g. At each of two annealing temperatures, the substrate temperature during deposition can changemore » the transformation front velocity by a factor of 6, and these changes are imperfectly correlated with the density of the glass. We also observe substrate-initiated fronts at some substrate temperatures. By connecting with theoretical work, we are able to infer the relative mobilities of stable glasses prepared at different substrate temperatures. Finally, an understanding of the transformation behavior of vapor-deposited glasses may be relevant for extending the lifetime of organic semiconducting devices.« less

  8. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  9. PHYSICAL VAPOR DEPOSITION OF TANTALUM ON GUN BARREL STEEL (SYSTEMS ANLAYSIS BRANCH, SUSTAINABLE TECHNOLOGY DIVISION, NRMRL)

    EPA Science Inventory

    This project entails the development of an alternative technology for plating gun barrel steel to replace the process electroplating of chrome (Cr-electroplate) with physical vapor deposition of tantalum (Ta-PVD). Developed by Benet Laboratory at Watervliet Arsenal, this project'...

  10. The method of synthesizing of superhydrophobic surfaces by PECVD

    NASA Astrophysics Data System (ADS)

    Orazbayev, Sagi; Gabdullin, Maratbek; Ramazanov, Tlekkabul; Dosbolayev, Merlan; Zhunisbekov, Askar; Omirbekov, Dulat; Otarbay, Zhuldyz

    2018-03-01

    The aim of this work was to obtain superhydrophobic surfaces in a plasma medium. The experiment was carried out using the PECVD method in two different modes: constant and pulsing. The surface roughness was obtained by applying nanoparticles synthesized in plasma in a mixture of argon and methane. The resulting particles were deposited on the surface of silicon and glass materials. The contact angle increased linearly depending on the number of cycles, until it reached 160° at 150-160th cycles, after that the increase in cycles does not affect the contact angle, since the saturation process is in progress. Also the effect of the working gas composition on the hydrophobicity of the surface was studied. At low concentrations of methane (1%) only particles are synthesized in the working gas, and hydrophobicity is unstable, with an increase in methane concentration (7%) nanofilms are synthesized from nanoclusters, and surface hydrophobicity is relatively stable. In addition, a pulsing plasma mode was used to obtain superhydrophobic surfaces. The hydrophobicity of the sample showed that the strength of the nanofilm was stable in comparison with the sample obtained in the first mode, but the contact angle was lower. The obtained samples were examined using SEM, SPM, optical analysis, and their contact angles were determined.

  11. Attributes for MRB_E2RF1 Catchments by Major River Basins in the Conterminous United States: Average Atmospheric (Wet) Deposition of Inorganic Nitrogen, 2002

    USGS Publications Warehouse

    Wieczorek, Michael; LaMotte, Andrew E.

    2010-01-01

    This tabular data set represents the average atmospheric (wet) deposition, in kilograms per square kilometer, of inorganic nitrogen for the year 2002 compiled for every catchment for MRB_E2RF1 of Major River Basins (MRBs, Crawford and others, 2006). The source data set for wet deposition was from the USGS's raster data set atmospheric (wet) deposition of inorganic nitrogen for 2002 (Gronberg, 2005). The MRB_E2RF1 catchments are based on a modified version of the U.S. Environmental Protection Agency's (USEPA) ERF1_2 and include enhancements to support national and regional-scale surface-water quality modeling (Nolan and others, 2002; Brakebill and others, 2011). Data were compiled for every catchment of MRB_E2RF1 catchments for the conterminous United States covering New England and Mid-Atlantic (MRB1), South Atlantic-Gulf and Tennessee (MRB2), the Great Lakes, Ohio, Upper Mississippi, and Souris-Red-Rainy (MRB3), the Missouri (MRB4), the Lower Mississippi, Arkansas-White-Red, and Texas-Gulf (MRB5), the Rio Grande, Colorado, and the Great basin (MRB6), the Pacific Northwest (MRB7) river basins, and California (MRB8).

  12. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  13. Encapsulant Characterization and Doped Passivated Contacts for Use in a Luminescent Solar Concentrator

    NASA Astrophysics Data System (ADS)

    Fogel, Derek

    We report progress towards encapsulant characterization and the fabrication of passivated interdigitated back contact silicon solar cells using spin-on dopants for use in a luminescent solar concentrator. For the luminescent solar concentrator to be successful, the encapsulants used to assemble the final device must not contribute to optical losses and the tandem cell must exhibit excellent passivation and low contact resistivity values. The index of refraction of polydimethylsiloxane (PDMS) is calculated to be 1.405-1.415 for 600-800 nm and 1.475-1.505 is calculated for ethylene vinyl acetate (EVA). The absorption coefficient is calculated to be less than 0.1 cm-1 for PDMS and less than 0.5 cm-1 for EVA at wavelengths less than 1000 nm. Polysilicon / SiOx passivated contact symmetric structures grown using plasma-enhanced chemical vapor deposition (PECVD) and low pressure chemical vapor deposition (LPCVD) and subsequently doped using P, B, and Ga spin-on dopants are fabricated, and their passivation and contact properties are analyzed. The n-type, P-doped passivated contact gives an implied open circuit voltage (iVOC) of 708 mV in PECVD and 727 mV in LPCVD. The p-type, B-doped passivated contact gives an iVOC of 667 mV in PECVD and 689 mV in LPCVD. The p-type, Ga-doped passivated contact, which has not been previously reported, gives an iVOC of 731 mV in PECVD and 714 mV in LPCVD. For the n-type, P-doped contact a low metal to polysilicon contact resistivity of 23.8 mO-cm2 was measured for Al on PECVD and 15.8 mO-cm2 was measured for Al on LPCVD. For the p-type, B-doped contact a low metal to polysilicon contact resistivity of 0.3 mO-cm2 was measured for Al on LPCVD. These results are encouraging for the processing of passivated interdigitated back contact solar cells, and present a route towards high-efficiency Si PV at low cost.

  14. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  15. High Efficiency Narrow Gap and Tandem Junction Devices: Final Technical Report, 1 May 2002--31 October 2004

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Madan, A

    2005-03-01

    The work described in this report uses a modified pulsed plasma-enhanced chemical vapor deposition (PECVD) technique that has been successfully developed to fabricate state-of-the-art nc-Si materials and devices. Specifically, we have achieved the following benchmarks: nc SiH device with an efficiency of 8% achieved at a deposition rate of {approx}1 A/s; nc SiH device with an efficiency of 7% achieved at a deposition rate of {approx}5 A/s; large-area technology developed using pulsed PECVD with uniformity of +/-5% over 25 cm x 35 cm; devices have been fabricated in the large-area system (part of Phase 3); an innovative stable four-terminal (4-T)more » tandem-junction device of h> 9% fabricated. (Note that the 4-T device was fabricated with existing technology base and with further development can reach stabilized h of 12%); and with improvement in Voc {approx} 650 mV, from the current value of 480 mV can lead to stable 4-T device with h>16%. Toward this objective, modified pulsed PECVD was developed where layer- by-layer modification of nc-SiH has been achieved. (Note that due to budget cuts at NREL, this project was curtailed by about one year.)« less

  16. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Structural controls on hydrothermal alteration and ore mineralization

    USGS Publications Warehouse

    Berger, Byron R.; Henley, Richard W.

    2011-01-01

    High-sulfidation copper–gold lode deposits such as Chinkuashih, Taiwan, Lepanto, Philippines, and Goldfield, Nevada, formed within 1500 m of the paleosurface in volcanic terranes. All underwent an early stage of extensive advanced argillic silica–alunite alteration followed by an abrupt change to spatially much more restricted stages of fracture-controlled sulfide–sulfosalt mineral assemblages and gold–silver mineralization. The alteration as well as ore mineralization stages of these deposits were controlled by the dynamics and history of syn-hydrothermal faulting.At the Sulfate Stage, aggressive advanced argillic alteration and silicification were consequent on the in situ formation of acidic condensate from magmatic vapor as it expanded through secondary fracture networks alongside active faults. The reduction of permeability at this stage due to alteration decreased fluid flow to the surface, and progressively developed a barrier between magmatic-vapor expansion constrained by the active faults and peripheral hydrothermal activity dominated by hot-water flow. In conjunction with the increased rock strength resulting from alteration, subsequent fault-slip inversion in response to an increase in compressional stress generated new, highly permeable fractures localized by the embrittled, altered rock. The new fractures focused magmatic-vapor expansion with much lower heat loss so that condensation occurred. Sulfide Stage sulfosalt, sulfide, and gold–silver deposition then resulted from destabilization of vapor phase metal species due to vapor decompression through the new fracture array. The switch from sulfate to sulfide assemblages is, therefore, a logical consequence of changes in structural permeability due to the coupling of alteration and fracture dynamics rather than to changes in the chemistry of the fluid phase at its magmatic source.

  17. Noncatalytic thermocouple coatings produced with chemical vapor deposition for flame temperature measurements.

    PubMed

    Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P

    2007-01-01

    Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.

  18. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  19. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  20. Radio-frequency-modulated Rydberg states in a vapor cell

    NASA Astrophysics Data System (ADS)

    Miller, S. A.; Anderson, D. A.; Raithel, G.

    2016-05-01

    We measure strong radio-frequency (RF) electric fields using rubidium Rydberg atoms prepared in a room-temperature vapor cell as field sensors. Electromagnetically induced transparency is employed as an optical readout. We RF-modulate the 60{{{S}}}1/2 and 58{{{D}}}5/2 Rydberg states with 50 and 100 MHz fields, respectively. For weak to moderate RF fields, the Rydberg levels become Stark-shifted, and sidebands appear at even multiples of the driving frequency. In high fields, the adjacent hydrogenic manifold begins to intersect the shifted levels, providing rich spectroscopic structure suitable for precision field measurements. A quantitative description of strong-field level modulation and mixing of S and D states with hydrogenic states is provided by Floquet theory. Additionally, we estimate the shielding of DC electric fields in the interior of the glass vapor cell.

  1. Influence of RF excitation during pulsed laser deposition in oxygen atmosphere on the structural properties and luminescence of nanocrystalline ZnO:Al thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Meljanac, Daniel, E-mail: dmeljan@irb.hr; Plodinec, Milivoj; Siketić, Zdravko

    2016-03-15

    Thin ZnO:Al layers were deposited by pulsed laser deposition in vacuum and in oxygen atmosphere at gas pressures between 10 and 70 Pa and by applying radio-frequency (RF) plasma. Grazing incidence small angle x-ray scattering and grazing incidence x-ray diffraction (GIXRD) data showed that an increase in the oxygen pressure leads to an increase in the roughness, a decrease in the sample density, and changes in the size distribution of nanovoids. The nanocrystal sizes estimated from GIXRD were around 20 nm, while the sizes of the nanovoids increased from 1 to 2 nm with the oxygen pressure. The RF plasma mainly influenced themore » nanostructural properties and point defects dynamics. The photoluminescence consisted of three contributions, ultraviolet (UV), blue emission due to Zn vacancies, and red emission, which are related to an excess of oxygen. The RF excitation lowered the defect level related to blue emission and narrowed the UV luminescence peak, which indicates an improvement of the structural ordering. The observed influence of the deposition conditions on the film properties is discussed as a consequence of two main effects: the variation of the energy transfer from the laser plume to the growing film and changes in the growth chemistry.« less

  2. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  3. Method and apparatus for fabricating a thin-film solar cell utilizing a hot wire chemical vapor deposition technique

    DOEpatents

    Wang, Qi; Iwaniczko, Eugene

    2006-10-17

    A thin-film solar cell is provided. The thin-film solar cell comprises an a-SiGe:H (1.6 eV) n-i-p solar cell having a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer by hot wire chemical vapor deposition. A method for fabricating a thin film solar cell is also provided. The method comprises depositing a n-i-p layer at a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer.

  4. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE PAGES

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael; ...

    2018-03-14

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  5. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  6. Process development for the manufacture of an integrated dispenser cathode assembly using laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnson, Ryan William

    2005-07-01

    Laser Chemical Vapor Deposition (LCVD) has been shown to have great potential for the manufacture of small, complex, two or three dimensional metal and ceramic parts. One of the most promising applications of the technology is in the fabrication of an integrated dispenser cathode assembly. This application requires the deposition of a boron nitride-molybdenum composite structure. In order to realize this structure, work was done to improve the control and understanding of the LCVD process and to determine experimental conditions conducive to the growth of the required materials. A series of carbon fiber and line deposition studies were used to characterize process-shape relationships and study the kinetics of carbon LCVD. These studies provided a foundation for the fabrication of the first high aspect ratio multi-layered LCVD wall structures. The kinetics studies enabled the formulation of an advanced computational model in the FLUENT CFD package for studying energy transport, mass and momentum transport, and species transport within a forced flow LCVD environment. The model was applied to two different material systems and used to quantify deposition rates and identify rate-limiting regimes. A computational thermal-structural model was also developed using the ANSYS software package to study the thermal stress state within an LCVD deposit during growth. Georgia Tech's LCVD system was modified and used to characterize both boron nitride and molybdenum deposition independently. The focus was on understanding the relations among process parameters and deposit shape. Boron nitride was deposited using a B3 N3H6-N2 mixture and growth was characterized by sporadic nucleation followed by rapid bulk growth. Molybdenum was deposited from the MoCl5-H2 system and showed slow, but stable growth. Each material was used to grow both fibers and lines. The fabrication of a boron nitride-molybdenum composite was also demonstrated. In sum, this work served to both advance the

  7. Methylsilane derived silicon carbide particle coatings produced by fluid-bed chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Miller, James Henry

    This report describes the research effort that was undertaken to develop and understand processing techniques for the deposition of both low and high density SiC coatings from a non-halide precursor, in support of the Generation IV Gas-Cooled Fast Reactor (GFR) fuel development program. The research was conducted in two phases. In the first phase, the feasibility of producing both porous SiC coatings and dense SiC coatings on surrogate fuel particles by fluidized bed chemical vapor deposition (FBCVD) using gas mixtures of methylsilane and argon was demonstrated. In the second phase, a combined experimental and modeling effort was carried out in order to gain an understanding of the deposition mechanisms that result in either porous or dense SiC coatings, depending on the coating conditions. For this second phase effort, a simplified (compared to the fluid bed) single-substrate chemical vapor deposition (CVD) system was employed. Based on the experimental and modeling results, the deposition of SiC from methylsilane is controlled by the extent of gas-phase reaction, and is therefore highly sensitive to temperature. The results show that all SiC coatings are due to the surface adsorption of species that result from gas-phase reactions. The model terms these gas-borne species embryos, and while the model does not include a prediction of coating morphology, a comparison of the model and experimental results indicates that the morphology of the coatings is controlled by the nucleation and growth of the embryos. The coating that results from small embryos (embryos with only two Si-C pairs) appears relatively dense and continuous, while the coating that results from larger embryos becomes less continuous and more nodular as embryo size increases. At some point in the growth of embryos they cease to behave as molecular species and instead behave as particles that grow by either agglomeration or by incorporation of molecular species on their surface. As these particles

  8. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  9. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  10. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor

    PubMed Central

    2018-01-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner. PMID:29363979

  11. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    PubMed

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  12. Conversion Coatings for Aluminum Alloys by Chemical Vapor Deposition Mechanisms

    NASA Technical Reports Server (NTRS)

    Reye, John T.; McFadden, Lisa S.; Gatica, Jorge E.; Morales, Wilfredo

    2004-01-01

    With the rise of environmental awareness and the renewed importance of environmentally friendly processes, the United States Environmental Protection Agency has targeted surface pre-treatment processes based on chromates. Indeed, this process has been subject to regulations under the Clean Water Act as well as other environmental initiatives, and there is today a marked movement to phase the process out in the near future. Therefore, there is a clear need for new advances in coating technology that could provide practical options for replacing present industrial practices. Depending on the final application, such coatings might be required to be resistant to corrosion, act as chemically resistant coatings, or both. This research examined a chemical vapor deposition (CVD) mechanism to deposit uniform conversion coatings onto aluminum alloy substrates. Robust protocols based on solutions of aryl phosphate ester and multi-oxide conversion coating (submicron) films were successfully grown onto the aluminum alloy samples. These films were characterized by X-ray Photoelectron Spectroscopy (XPS). Preliminary results indicate the potential of this technology to replace aqueous-based chromate processes.

  13. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating

    PubMed Central

    Slifka, A. J.; Filla, B. J.

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature. PMID:27413601

  14. Thermal Conductivity Measurement of an Electron-Beam Physical-Vapor-Deposition Coating.

    PubMed

    Slifka, A J; Filla, B J

    2003-01-01

    An industrial ceramic thermal-barrier coating designated PWA 266, processed by electron-beam physical-vapor deposition, was measured using a steady-state thermal conductivity technique. The thermal conductivity of the mass fraction 7 % yttria-stabilized zirconia coating was measured from 100 °C to 900 °C. Measurements on three thicknesses of coatings, 170 μm, 350 μm, and 510 μm resulted in thermal conductivity in the range from 1.5 W/(m·K) to 1.7 W/(m·K) with a combined relative standard uncertainty of 20 %. The thermal conductivity is not significantly dependent on temperature.

  15. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  16. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  17. Chemical vapor deposition techniques and related methods for manufacturing microminiature thermionic converters

    DOEpatents

    King, Donald B.; Sadwick, Laurence P.; Wernsman, Bernard R.

    2002-06-25

    Methods of manufacturing microminiature thermionic converters (MTCs) having high energy-conversion efficiencies and variable operating temperatures using MEMS manufacturing techniques including chemical vapor deposition. The MTCs made using the methods of the invention incorporate cathode to anode spacing of about 1 micron or less and use cathode and anode materials having work functions ranging from about 1 eV to about 3 eV. The MTCs also exhibit maximum efficiencies of just under 30%, and thousands of the devices can be fabricated at modest costs.

  18. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    PubMed

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  19. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  20. Electron-Beam Vapor Deposition of Mold Inserts Final Report CRADA No. TSB-777-94

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shepp, T.; Feeley, T.

    Lawrence Livermore National Laboratory and H.G.G. Laser Fare, Inc. studied the application of electron-beam vapor deposition technology to the production of mold inserts for use in an injection molding machine by Laser Fare. Laser Fare provided LLNL with the requirements of the mold inserts as well as sample inserts. LLNL replicated the mold insert(s) to Laser Fare for testing by Laser Fare.

  1. A kinetic model for the characteristic surface morphologies of thin films by directional vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Huang, Po-Yu

    2017-12-01

    In order to simulate a process of directional vapor deposition, in this study, a numerical approach was applied to model the growth and evolution of surface morphologies for the crystallographic structures of thin films. The critical factors affecting the surface morphologies in a deposition process, such as the crystallographic symmetry, anisotropic interfacial energy, shadowing effect, and deposition rate, were all enclosed in the theoretical model. By altering the parameters of crystallographic symmetry in the structures, the faceted nano-columns with rectangular and hexagonal shapes were established in the simulation results. Furthermore, for revealing the influences of the anisotropic strength and the deposition rate theoretically on the crystallographic structure formations, various parameters adjusted in the numerical calculations were also investigated. Not only the morphologies but also the surface roughnesses for different processing conditions were distinctly demonstrated with the quantitative analysis of the simulations.

  2. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    NASA Astrophysics Data System (ADS)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  4. Carbon nanotubes synthesized by Ni-assisted atmospheric pressure thermal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Choi, G. S.; Cho, Y. S.; Hong, S. Y.; Park, J. B.; Son, K. H.; Kim, D. J.

    2002-03-01

    A detailed systematic study on the growth morphology of carbon nanotubes (CNTs) on Si in atmospheric pressure thermal chemical vapor deposition was undertaken. The role of NH3 for vertical alignment of CNTs was investigated. The direct cause for the alignment was a dense distribution of the catalytic metal particles, but that the particles are maintained catalytically active under amorphous carbon deposits was established by NH3. It allows a dense nucleation of the CNTs, and consequently, assists vertical alignment through entanglement and mechanical leaning among the tubes. The CNTs grew in a base growth mode. Since Ni is consumed both by silicide reaction and by capture into the growing tube, the growth stops when Ni is totally depleted. It occurs earlier for smaller particles, and thus a long time of growth results in a thin bottom with poor adhesion.

  5. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  6. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    NASA Astrophysics Data System (ADS)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  7. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  8. Rare-earth-doped optical-fiber core deposition using full vapor-phase SPCVD process

    NASA Astrophysics Data System (ADS)

    Barnini, A.; Robin, T.; Cadier, B.; Aka, G.; Caurant, D.; Gotter, T.; Guyon, C.; Pinsard, E.; Guitton, P.; Laurent, A.; Montron, R.

    2017-02-01

    One key parameter in the race toward ever-higher power fiber lasers remains the rare earth doped optical core quality. Modern Large Mode Area (LMA) fibers require a fine radial control of the core refractive index (RI) close to the silica level. These low RI are achieved with multi-component materials that cannot be readily obtained using conventional solution doping based Modified Chemical Vapor Deposition (MCVD) technology. This paper presents a study of such optical material obtained through a full-vapor phase Surface Plasma Chemical Vapor Deposition (SPCVD). The SPCVD process generates straight glassy films on the inner surface of a thermally regulated synthetic silica tube under vacuum. The first part of the presented results points out the feasibility of ytterbium-doped aluminosilicate fibers by this process. In the second part we describe the challenge controlling the refractive index throughout the core diameter when using volatile fluorine to create efficient LMA fiber profiles. It has been demonstrated that it is possible to counter-act the loss of fluorine at the center of the core by adjusting the core composition locally. Our materials yielded, when used in optical fibers with numerical apertures ranging from 0.07 to 0.09, power conversion efficiency up to 76% and low background losses below 20 dB/km at 1100nm. Photodarkening has been measured to be similar to equivalent MCVD based fibers. The use of cerium as a co-dopant allowed for a complete mitigation of this laser lifetime detrimental effect. The SPCVD process enables high capacity preforms and is particularly versatile when it comes to radial tailoring of both rare earth doping level and RI. Large core diameter preforms - up to 4mm - were successfully produced.

  9. Enhanced performance of a structured cyclo olefin copolymer-based amorphous silicon solar cell

    NASA Astrophysics Data System (ADS)

    Zhan, Xinghua; Chen, Fei; Gao, Mengyu; Tie, Shengnian; Gao, Wei

    2017-07-01

    The submicron array was fabricated onto a cyclo olefin copolymer (COC) film by a hot embossing method. An amorphous silicon p-i-n junction and transparent conductive layers were then deposited onto it through a plasma enhanced chemical vapor deposition (PECVD) and magnetron sputtering. The efficiency of the fabricated COC-based solar cell was measured and the result demonstrated 18.6% increase of the solar cell efficiency when compared to the sample without array structure. The imprinted polymer solar cells with submicron array indeed increase their efficiency.

  10. A Strategy to Design High-Density Nanoscale Devices utilizing Vapor Deposition of Metal Halide Perovskite Materials.

    PubMed

    Hwang, Bohee; Lee, Jang-Sik

    2017-08-01

    The demand for high memory density has increased due to increasing needs of information storage, such as big data processing and the Internet of Things. Organic-inorganic perovskite materials that show nonvolatile resistive switching memory properties have potential applications as the resistive switching layer for next-generation memory devices, but, for practical applications, these materials should be utilized in high-density data-storage devices. Here, nanoscale memory devices are fabricated by sequential vapor deposition of organolead halide perovskite (OHP) CH 3 NH 3 PbI 3 layers on wafers perforated with 250 nm via-holes. These devices have bipolar resistive switching properties, and show low-voltage operation, fast switching speed (200 ns), good endurance, and data-retention time >10 5 s. Moreover, the use of sequential vapor deposition is extended to deposit CH 3 NH 3 PbI 3 as the memory element in a cross-point array structure. This method to fabricate high-density memory devices could be used for memory cells that occupy large areas, and to overcome the scaling limit of existing methods; it also presents a way to use OHPs to increase memory storage capacity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Unintentional consequences of dual mode plasma reactors: Implications for upscaling lab-record silicon surface passivation by silicon nitride

    NASA Astrophysics Data System (ADS)

    Tong, Jingnan; To, Alexander; Lennon, Alison; Hoex, Bram

    2017-08-01

    Silicon nitride (SiN x ) synthesised by low-temperature plasma enhanced chemical vapour deposition (PECVD) is the most extensively used antireflection coating for crystalline silicon solar cells because of its tunable refractive index in combination with excellent levels of surface and bulk passivation. This has attracted a significant amount of research on developing SiN x films towards an optimal electrical and optical performance. Typically, recipes are first optimised in lab-scale reactors and subsequently, the best settings are transferred to high-throughput reactors. In this paper, we show that for one particular, but widely used, PECVD reactor configuration this upscaling is severely hampered by an important experimental artefact. Specifically, we report on the unintentional deposition of a dual layer structure in a dual mode AK 400 plasma reactor from Roth & Rau which has a significant impact on its surface passivation performance. It is found that the radio frequency (RF) substrate bias ignites an unintentional depositing plasma before the ignition of the main microwave (MW) plasma. This RF plasma deposits a Si-rich intervening SiN x layer (refractive index = 2.4) while using a recipe for stoichiometric SiN x . This layer was found to be 18 nm thick in our case and had an extraordinary impact on the Si surface passivation, witnessed by a reduction in effective surface recombination velocity from 22.5 to 6.2 cm/s. This experimental result may explain some “out of the ordinary” excellent surface passivation results reported recently for nearly stoichiometric SiN x films and has significant consequences when transferring these results to high-throughput deposition systems.

  12. Thermionic Properties of Carbon Based Nanomaterials Produced by Microhollow Cathode PECVD

    NASA Technical Reports Server (NTRS)

    Haase, John R.; Wolinksy, Jason J.; Bailey, Paul S.; George, Jeffrey A.; Go, David B.

    2015-01-01

    Thermionic emission is the process in which materials at sufficiently high temperature spontaneously emit electrons. This process occurs when electrons in a material gain sufficient thermal energy from heating to overcome the material's potential barrier, referred to as the work function. For most bulk materials very high temperatures (greater than 1500 K) are needed to produce appreciable emission. Carbon-based nanomaterials have shown significant promise as emission materials because of their low work functions, nanoscale geometry, and negative electron affinity. One method of producing these materials is through the process known as microhollow cathode PECVD. In a microhollow cathode plasma, high energy electrons oscillate at very high energies through the Pendel effect. These high energy electrons create numerous radical species and the technique has been shown to be an effective method of growing carbon based nanomaterials. In this work, we explore the thermionic emission properties of carbon based nanomaterials produced by microhollow cathode PECVD under a variety of synthesis conditions. Initial studies demonstrate measureable current at low temperatures (approximately 800 K) and work functions (approximately 3.3 eV) for these materials.

  13. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  14. Pure Material Vapor Source by Induction Heating Evaporator for an Electron Cyclotron Resonance Ion Source

    NASA Astrophysics Data System (ADS)

    Matsui, Y.; Watanabe, T.; Satani, T.; Muramatsu, M.; Tanaka, K.; Kitagawa, A.; Yoshida, Y.; Sato, F.; Kato, Y.; Iida, T.

    2008-11-01

    Multiply charged iron ions are produced from solid pure material in an electron cyclotron resonance (ECR) ion source. We develop an evaporator by using induction heating with the induction coil which is made from bare molybdenum wire and surrounding the pure iron rod. We optimize the shape of induction heating coil and operation of rf power supply. We conduct experiment to investigate reproducibility and stability in the operation and heating efficiency. Induction heating evaporator produces pure material vapor, because materials directly heated by eddy currents have non-contact with insulated materials which are impurity gas sources. The power and the frequency of the induction currents range from 100 to 900 W and from 48 to 23 kHz, respectively. The working pressure is about 10-4 to 10-3 Pa. We measure temperature of iron rod and film deposition rate by depositing iron vapor to crystal oscillator. We confirm stability and reproducibility of evaporator enough to conduct experiment in ECR ion source. We can obtain required temperature of iron under maximum power of power supply. We are aiming the evaporator higher melting point material than iron.

  15. High-Throughput Top-Down and Bottom-Up Processes for Forming Single-Nanotube Based Architectures for 3D Electronics

    NASA Technical Reports Server (NTRS)

    Kaul, Anupama B.; Megerian, Krikor G.; von Allmen, Paul; Kowalczyk, Robert; Baron, Richard

    2009-01-01

    We have developed manufacturable approaches to form single, vertically aligned carbon nanotubes, where the tubes are centered precisely, and placed within a few hundred nm of 1-1.5 micron deep trenches. These wafer-scale approaches were enabled by chemically amplified resists and inductively coupled Cryo-etchers for forming the 3D nanoscale architectures. The tube growth was performed using dc plasma-enhanced chemical vapor deposition (PECVD), and the materials used for the pre-fabricated 3D architectures were chemically and structurally compatible with the high temperature (700 C) PECVD synthesis of our tubes, in an ammonia and acetylene ambient. Tube characteristics were also engineered to some extent, by adjusting growth parameters, such as Ni catalyst thickness, pressure and plasma power during growth. Such scalable, high throughput top-down fabrication techniques, combined with bottom-up tube synthesis, should accelerate the development of PECVD tubes for applications such as interconnects, nano-electromechanical (NEMS), sensors or 3D electronics in general.

  16. Electron-beam induced damage in thin insulating films on compound semiconductors. M.S. Thesis, 1988

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.

    1989-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron-beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectric. The electron-beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  17. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    DTIC Science & Technology

    2014-06-19

    thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and on solid surfaces, and thin film...chemical vapor deposition (CVD). This thesis primarily focuses on mass transport by gas -phase flow and diffusion , chemical reaction in gas phase and...9 Fluid Flow…………………………………………..…………………..…………….9 Thermodynamics………………………………………..………………….….…….11 Chemical Reaction and Diffusion

  18. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  19. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  20. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  1. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    ERIC Educational Resources Information Center

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  2. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  3. The contribution of vapor deposition to amorphous rims on lunar soil grains. [Abstract only

    NASA Technical Reports Server (NTRS)

    Keller, L. P.; Mckay, D. S.

    1994-01-01

    Recent analysis analytical electron microscope study of lunar soils showed that the approximately 60-nm-wide amorphous rims surrounding many lunar soils grains exhibit distinct compositional differences from their hosts. On average, the amorphous rim compositions reflect the local bulk soil composition with the exceptions of Si and S, which are enriched relative to the bulk soil. These chemical trends led us to propose that the amorphous rims were in fact deposits of impact-generated vapors produced during regolith gardening, a hypothesis that runs contrary to the generally accepted view that the rims are produced through amorphization of the outer parts of mineral grains by interaction with the solar wind. Analytical data are reported for amorphous rims on individual minerals in lunar soils in order to show that the magnitude of the chemical differences between rim and host are so great that they require a major addition of foreign elements to the grain surfaces. The average composition of amorphous rims is listed as a function of host mineralogy as determined in microtone thin sections using energy-dispersive X-ray spectrometry in the transmission electron microscope. As the host mineral becomes chemically more complex, the chemical differences are not as clear. The average rim compositions are remarkably similar and are independent of the host grain mineralogy. Whether there are 'sputtering' or radiation effects superimposed on the vapor-deposited material can be debated. We do not explicitly exclude the effects of radiation damage as a contributing factor to the formation of amorphous rims; we are merely emphasizing the major role played by condensed vapors in the formation of amorphous rims on lunar soil grains.

  4. Vapor purification with self-cleaning filter

    DOEpatents

    Josephson, Gary B.; Heath, William O.; Aardahl, Christopher L.

    2003-12-09

    A vapor filtration device including a first electrode, a second electrode, and a filter between the first and second electrodes is disclosed. The filter is formed of dielectric material and the device is operated by applying a first electric potential between the electrodes to polarize the dielectric material such that upon passing a vapor stream through the filter, particles from the vapor stream are deposited onto the filter. After depositing the particles a second higher voltage is applied between the electrodes to form a nonthermal plasma around the filter to vaporize the collected particles thereby cleaning the filter. The filter can be a packed bed or serpentine filter mat, and an optional upstream corona wire can be utilized to charge airborne particles prior to their deposition on the filter.

  5. Nanoscale arrays of antimony telluride single crystals by selective chemical vapor deposition

    PubMed Central

    Huang, Ruomeng; Benjamin, Sophie L.; Gurnani, Chitra; Wang, Yudong; Hector, Andrew L.; Levason, William; Reid, Gillian; De Groot, C. H. (Kees)

    2016-01-01

    Arrays of individual single nanocrystals of Sb2Te3 have been formed using selective chemical vapor deposition (CVD) from a single source precursor. Crystals are self-assembled reproducibly in confined spaces of 100 nm diameter with pitch down to 500 nm. The distribution of crystallite sizes across the arrays is very narrow (standard deviation of 15%) and is affected by both the hole diameter and the array pitch. The preferred growth of the crystals in the <1 1 0> orientation along the diagonal of the square holes strongly indicates that the diffusion of adatoms results in a near thermodynamic equilibrium growth mechanism of the nuclei. A clear relationship between electrical resistivity and selectivity is established across a range of metal selenides and tellurides, showing that conductive materials result in more selective growth and suggesting that electron donation is of critical importance for selective deposition. PMID:27283116

  6. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  7. Enhanced vacuum arc vapor deposition electrode

    NASA Technical Reports Server (NTRS)

    Weeks, Jack L. (Inventor); Todd, Douglas M. (Inventor)

    1999-01-01

    A process for forming a thin metal coating on a substrate wherein a gas stream heated by an electrical current impinges on a metallic target in a vacuum chamber to form a molten pool of the metal and then vaporize a portion of the pool, with the source of the heated gas stream being on one side of the target and the substrate being on the other side of the target such that most of the metallic vapor from the target is directed at the substrate.

  8. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  9. Attributes for MRB_E2RF1 Catchments by Major River Basins in the Conterminous United States: Normalized Atmospheric Deposition for 2002, Nitrate (NO3)

    USGS Publications Warehouse

    Wieczorek, Michael; LaMotte, Andrew E.

    2010-01-01

    This tabular data set represents the average normalized (wet) deposition, in kilograms per square kilometer multiplied by 100, of Nitrate (NO3) for the year 2002 compiled for every MRB_E2RF1 catchment of the Major River Basins (MRBs, Crawford and others, 2006). Estimates of NO3 deposition are based on National Atmospheric Deposition Program (NADP) measurements (B. Larsen, U.S. Geological Survey, written. commun., 2007). De-trending methods applied to the year 2002 are described in Alexander and others, 2001. NADP site selection met the following criteria: stations must have records from 1995 to 2002 and have a minimum of 30 observations. The MRB_E2RF1 catchments are based on a modified version of the U.S. Environmental Protection Agency's (USEPA) ERF1_2 and include enhancements to support national and regional-scale surface-water quality modeling (Nolan and others, 2002; Brakebill and others, 2011). Data were compiled for every MRB_E2RF1 catchment for the conterminous United States covering New England and Mid-Atlantic (MRB1), South Atlantic-Gulf and Tennessee (MRB2), the Great Lakes, Ohio, Upper Mississippi, and Souris-Red-Rainy (MRB3), the Missouri (MRB4), the Lower Mississippi, Arkansas-White-Red, and Texas-Gulf (MRB5), the Rio Grande, Colorado, and the Great basin (MRB6), the Pacific Northwest (MRB7) river basins, and California (MRB8).

  10. Attributes for MRB_E2RF1 Catchments by Major River Basins in the Conterminous United States: Normalized Atmospheric Deposition for 2002, Total Inorganic Nitrogen

    USGS Publications Warehouse

    Wieczorek, Michael; LaMotte, Andrew E.

    2010-01-01

    This tabular data set represents the average normalized atmospheric (wet) deposition, in kilograms per square kilometer multiplied by 100, of Total Inorganic Nitrogen for the year 2002 compiled for every MRB_E2RF1 catchment of selected Major River Basins (MRBs, Crawford and others, 2006). Estimates of Total Inorganic Nitrogen deposition are based on National Atmospheric Deposition Program (NADP) measurements (B. Larsen, U.S. Geological Survey, written. commun., 2007). De-trending methods applied to the year 2002 are described in Alexander and others, 2001. NADP site selection met the following criteria: stations must have records from 1995 to 2002 and have a minimum of 30 observations. The MRB_E2RF1 catchments are based on a modified version of the U.S. Environmental Protection Agency's (USEPA) ERF1_2 and include enhancements to support national and regional-scale surface-water quality modeling (Nolan and others, 2002; Brakebill and others, 2011). Data were compiled for every MRB_E2RF1 catchment for the conterminous United States covering New England and Mid-Atlantic (MRB1), South Atlantic-Gulf and Tennessee (MRB2), the Great Lakes, Ohio, Upper Mississippi, and Souris-Red-Rainy (MRB3), the Missouri (MRB4), the Lower Mississippi, Arkansas-White-Red, and Texas-Gulf (MRB5), the Rio Grande, Colorado, and the Great basin (MRB6), the Pacific Northwest (MRB7) river basins, and California (MRB8).

  11. Attributes for MRB_E2RF1 Catchments by Major River Basins in the Conterminous United States: Normalized Atmospheric Deposition for 2002, Ammonium (NH4)

    USGS Publications Warehouse

    Wieczorek, Michael; LaMotte, Andrew E.

    2010-01-01

    This tabular data set represents the average normalized (wet) deposition, in kilograms per square kilometer multiplied by 100, of ammonium (NH4) for the year 2002 compiled for every MRB_E2RF1 catchment of the Major River Basins (MRBs, Crawford and others, 2006). Estimates of NH4 deposition are based on National Atmospheric Deposition Program (NADP) measurements (B. Larsen, U.S. Geological Survey, written. commun., 2007). De-trending methods applied to the year 2002 are described in Alexander and others, 2001. NADP site selection met the following criteria: stations must have records from 1995 to 2002 and have a minimum of 30 observations. The MRB_E2RF1 catchments are based on a modified version of the U.S. Environmental Protection Agency's (USEPA) ERF1_2 and include enhancements to support national and regional-scale surface-water quality modeling (Nolan and others, 2002; Brakebill and others, 2011). Data were compiled for every MRB_E2RF1 catchment for the conterminous United States covering New England and Mid-Atlantic (MRB1), South Atlantic-Gulf and Tennessee (MRB2), the Great Lakes, Ohio, Upper Mississippi, and Souris-Red-Rainy (MRB3), the Missouri (MRB4), the Lower Mississippi, Arkansas-White-Red, and Texas-Gulf (MRB5), the Rio Grande, Colorado, and the Great basin (MRB6), the Pacific Northwest (MRB7) river basins, and California (MRB8).

  12. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    PubMed

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  13. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  14. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  15. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  16. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  17. Structure of the metallic films deposited on small spheres trapped in the rf magnetron plasma

    NASA Astrophysics Data System (ADS)

    Filippov, A. V.; Pal, A. F.; Ryabinkin, A. N.; Serov, A. O.

    2016-11-01

    Metallic coatings were deposited onto glass spheres having diameters from several to one hundred micrometers by the magnetron sputtering. Two different experimental schemes were exploited. One of them had the traditional configuration where a magnetron sputter was placed at one hundred millimeters from particles. In this scheme, continuous mechanical agitation in a fluidized bed was used to achieve uniformity of coatings. In the second scheme the treated particles (substrates) levitated in a magnetron rf plasma over a sputtered rf electrode (target) at the distance d of few mm from it and at gas pressure p values of 30-100 mTorr. These parameters are essentially different from those in the traditional sputtering. Agitation due to the features of a particle confinement in dusty plasma was used here to obtain uniform coatings. Thickness and morphology of the obtained coatings were studied. As it is known, film growth rate and structure are determined by the substrate temperature, the densities of ion and neutral atom fluxes to the substrate surface, the radiation flux density, and the heat energy produced due to the surface condensation of atoms and recombination of electrons and ions. These parameters particularly depend on the product of p and d. In the case of magnetron rf dusty plasma, it is possible to achieve the pd value several times lower than the lowest value proper to the first traditional case. Completely different dependencies of the film growth rate and structure on the pd value in these sputtering processes were observed and qualitatively explained.

  18. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    NASA Astrophysics Data System (ADS)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  19. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  20. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.