Sample records for vapor deposition sio2

  1. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  2. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  3. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  4. Selective Deposition of SiO2 on Ion Conductive Area of Soda-lime Glass Surface

    PubMed Central

    Sakai, Daisuke; Harada, Kenji; Hara, Yuichiro; Ikeda, Hiroshi; Funatsu, Shiro; Uraji, Keiichiro; Suzuki, Toshio; Yamamoto, Yuichi; Yamamoto, Kiyoshi; Ikutame, Naoki; Kawaguchi, Keiga; Kaiju, Hideo; Nishii, Junji

    2016-01-01

    Selective deposition of SiO2 nanoparticles was demonstrated on a soda-lime glass surface with a periodic sodium deficient pattern formed using the electrical nanoimprint. Positively charged SiO2 particles generated using corona discharge in a cyclic siloxane vapor, were selectively deposited depending on the sodium pattern. For such phenomena to occur, the sodium ion migration to the cathode side was indispensable to the electrical charge compensation on the glass surface. Therefore, the deposition proceeded preferentially outside the alkali-deficient area. Periodic SiO2 structures with 424 nm and 180 nm heights were obtained using one-dimensional (6 μm period) and two-dimensional (500 nm period) imprinted patterns. PMID:27291796

  5. Vaporization of SiO2 and MgSiO3

    NASA Astrophysics Data System (ADS)

    Stixrude, L. P.; Xiao, B.

    2016-12-01

    Vaporization of SiO2 and MgSiO3B Xiaoa and L Stixrude*a, a Department of Earth Sciences, University College London, WC1E 6BT London, UK *presenting author, email: l.stixrude@ucl.ac.uk Vaporization is an important process in Earth's earliest evolution during which giant impacts are thought to have produced a transient silicate atmosphere. As experimental data are very limited, little is known of the near-critical vaporization of Earth's major oxide components: MgO and SiO2. We have performed novel ab initio molecular dynamics simulations of vapor-liquid coexistence in the SiO2 and MgSiO3 systems. The simulations, based on density functional theory using the VASP code, begin with a suitably prepared liquid slab embedded in a vacuum. During the dynamical trajectory in the canonical ensemble, we see spontaneous vaporization, leading eventually to a steady-state chemical equilibrium between the two coexisting phases. We locate the liquid-vapor critical point at 6600 K and 0.40 g/cm3 for MgSiO3 and 5300 K and 0.43 g/cm3 for SiO2. By carefully examining the trajectories, we determine the composition and speciation of the vapor. For MgSiO3, We find that the vapor is significantly richer in Mg, O, and atomic (non-molecular) species than extrapolation of low-temperature experimental data has suggested. These results will have important implications for our understanding of the initial chemistry of the Earth and Moon and the initial thermal state of Earth.

  6. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  7. Composition-Property Correlation in B2O3-SiO2 Preform Rods Produced Using Modified Chemical Vapor Deposition Technique

    NASA Astrophysics Data System (ADS)

    Islam, Mohammad; Saleem, Muhammad Rizwan

    2012-02-01

    Due to unique optical properties of high birefringent (Hi-Bi) fibers for sensing and coherent optical communications, there is a strong interest in process optimization at preform fabrication and fiber drawing stages. Boron-doped silica cladding acts as stress-applying part resulting in polarization properties of Hi-Bi fibers that are strongly dependent on chemical composition. Using modified chemical vapor deposition (MCVD) technique, B2O3-doped silica preform rods were synthesized under different precursor gas flow conditions. Qualitative information about B2O3-SiO2 system composition was derived from etching behavior in nonbuffered HF solution and subsequent microstructural examination using scanning electron microscope. Significant degree of B2O3 incorporation was seen in case of high BCl3:SiCl4 ratio and mild oxygen-deficient processing conditions. Increasing the B2O3 content to ~26 mol% led to a corresponding increase in coefficient of thermal expansion (CTE) to a maximum value of 2.35 ppm/K. The value of refractive index (RI), on the other hand, was found to decrease with increased B2O3 incorporation. A qualitative correlation between B2O3 and SiO2 system composition and physical properties such as CTE and RI was established.

  8. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst.

    PubMed

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-01-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  9. Stepwise mechanism and H2O-assisted hydrolysis in atomic layer deposition of SiO2 without a catalyst

    NASA Astrophysics Data System (ADS)

    Fang, Guo-Yong; Xu, Li-Na; Wang, Lai-Guo; Cao, Yan-Qiang; Wu, Di; Li, Ai-Dong

    2015-02-01

    Atomic layer deposition (ALD) is a powerful deposition technique for constructing uniform, conformal, and ultrathin films in microelectronics, photovoltaics, catalysis, energy storage, and conversion. The possible pathways for silicon dioxide (SiO2) ALD using silicon tetrachloride (SiCl4) and water (H2O) without a catalyst have been investigated by means of density functional theory calculations. The results show that the SiCl4 half-reaction is a rate-determining step of SiO2 ALD. It may proceed through a stepwise pathway, first forming a Si-O bond and then breaking Si-Cl/O-H bonds and forming a H-Cl bond. The H2O half-reaction may undergo hydrolysis and condensation processes, which are similar to conventional SiO2 chemical vapor deposition (CVD). In the H2O half-reaction, there are massive H2O molecules adsorbed on the surface, which can result in H2O-assisted hydrolysis of the Cl-terminated surface and accelerate the H2O half-reaction. These findings may be used to improve methods for the preparation of SiO2 ALD and H2O-based ALD of other oxides, such as Al2O3, TiO2, ZrO2, and HfO2.

  10. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  11. Performance of chemical vapor deposition fabricated graphene absorber mirror in Yb3+ : Sc2SiO5 mode-locked laser

    NASA Astrophysics Data System (ADS)

    Cai, Wei; Li, Yaqi; Zhu, Hongtong; Jiang, Shouzhen; Xu, Shicai; Liu, Jie; Zheng, Lihe; Su, Liangbi; Xu, Jun

    2014-12-01

    A reflective graphene saturable absorber mirror (SAM) was successfully fabricated by chemical vapor deposition technology. A stable diode-pumped passively mode-locked Yb3+:Sc2SiO5 laser using a graphene SAM as a saturable absorber was accomplished for the first time. The measured average output power amounts to 351 mW under the absorbed pump power of 12.5 W. Without prisms compensating for dispersion, the minimum pulse duration of 7 ps with a repetition rate of 97 MHz has been obtained at the central wavelength of 1063 nm. The corresponding peak power and the maximum pulse energy were 516 W and 3.6 nJ, respectively.

  12. Optical properties of Ag nanoclusters formed by irradiation and annealing of SiO2/SiO2:Ag thin films

    NASA Astrophysics Data System (ADS)

    Güner, S.; Budak, S.; Gibson, B.; Ila, D.

    2014-08-01

    We have deposited five periodic SiO2/SiO2 + Ag multi-nano-layered films on fused silica substrates using physical vapor deposition technique. The co-deposited SiO2:Ag layers were 2.7-5 nm and SiO2 buffer layers were 1-15 nm thick. Total thickness was between 30 and 105 nm. Different concentrations of Ag, ranging from 1.5 to 50 molecular% with respect to SiO2 were deposited to determine relevant rates of nanocluster formation and occurrence of interaction between nanoclusters. Using interferometry as well as in situ thickness monitoring, we measured the thickness of the layers. The concentration of Ag in SiO2 was measured with Rutherford Backscattering Spectrometry (RBS). To nucleate Ag nanoclusters, 5 MeV cross plane Si ion bombardments were performed with fluence varying between 5 × 1014 and 1 × 1016 ions/cm2 values. Optical absorption spectra were recorded in the range of 200-900 nm in order to monitor the Ag nanocluster formation in the thin films. Thermal annealing treatment at different temperatures was applied as second method to form varying size of nanoclusters. The physical properties of formed super lattice were criticized for thermoelectric applications.

  13. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries.

    PubMed

    Homma, Keiichiro; Kambara, Makoto; Yoshida, Toyonobu

    2014-04-01

    Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD) at a material throughput of 480 g h -1 . The powders are fundamentally an aggregate of primary ∼20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH 4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g -1 after 100 cycles at the same time.

  14. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    PubMed

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  15. Focused electron beam induced deposition of pure SIO II

    NASA Astrophysics Data System (ADS)

    Perentes, Alexandre; Hoffmann, Patrik; Munnik, Frans

    2007-02-01

    Focused electron beam induced processing (FEBID) equipments are the "all in one" tools for high resolution investigation, and modification of nano-devices. Focused electron beam induced deposition from a gaseous precursor usually results in a nano-composite sub-structured material, in which the interesting material is embedded in an amorphous carbonaceous matrix. Using the Hydrogen free tetraisocyanatosilane Si(NCO) 4 molecule as Si source, we show how a controlled oxygen flux, simultaneously injected with the precursor vapors, causes contaminants to vanish from the FEB deposits obtained and leads to the deposition of pure SiO II. The chemical composition of the FEBID material could be controlled from SiC IINO 3 to SiO II, the latter containing undetectable foreign element contamination. The [O II] / [TICS] ratio needed to obtain SiO II in our FEB deposition equipment is larger than 300. The evolution of the FEBID material chemical composition is presented as function of the [O II] / [TICS] molecular flux ratios. A hypothetical decomposition pathway of this silane under these conditions is discussed based on the different species formed under electron bombardment of TICS. Transmission electron microscopy investigations demonstrated that the deposited oxide is smooth (roughness sub 2nm) and amorphous. Infrared spectroscopy confirmed the low concentration of hydroxyl groups. The Hydrogen content of the deposited oxide, measured by elastic recoil detection analysis, is as low as 1 at%. 193nm wavelength AIMS investigations of 125nm thick SiO II pads (obtained with [O II] / [TICS] = 325) showed an undetectable light absorption.

  16. Experimental and Ab-Initio Studies of High Temperature Reactions in Vapor above Sio2/Al2O3 and SiO2/CaO Melts

    NASA Astrophysics Data System (ADS)

    Cornelison, Dave; Bulak, Michal

    2017-06-01

    The study of solid-liquid equilibrium is well established for alloys likely to be found on hot, rocky extra-solar planets. However, in atmospheres established above these magmas, molecules released from the components of the melt can react to form adducts; new molecules containing fragments of these precursors. These adducts are not predicted from equilibrium modeling codes unless their thermodynamic properties are input prior to simulation. In addition, the spectroscopic properties and vapor pressures relative to their melt conditions may be poorly known. Using a Knudsen cell heated in a custom e-beam evaporator, the binary systems of SiO2/Al2O3 and SiO2/CaO were synthesized at temperatures above 2000 K. The molecules evaporated from the melts were deposited into an Argon matrix held at 15 K and studied using mass spectrometry and FTIR. The results were then compared to molecular stability calculations derived from ab-initio molecular dynamics simulations using VASP©, and to IR spectra obtained using Gaussian©. Based on this analysis, a set of molecular adducts was found for each of the two alloy systems. The thermodynamic properties of each adduct were then simulated and used as input parameters for equilibrium calculations of vapor pressures as a function of temperature. The applications of these results to exoplanet observations is also discussed. This work was supported by NASA EPSCoR (Experimental Program to Stimulate Competitive Research). NNX13AE52A , “Understanding the Atmospheres of Hot Earths and the Impact on Solar System Formation”with NASA Glenn Research Center, Missouri State University and Washington University, St. Louis

  17. Chemical Vapor Deposition of High-Quality Large-Sized MoS2 Crystals on Silicon Dioxide Substrates.

    PubMed

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; Liu, Bo; Zhao, Xiaoxu; Liu, Yanpeng; Ren, Tianhua; Liu, Wei; Geng, Dechao; Jeong, Hu Young; Shin, Hyeon Suk; Zhou, Wu; Loh, Kian Ping

    2016-08-01

    Large-sized MoS 2 crystals can be grown on SiO 2 /Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. The electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V -1 s -1 , which is comparable to those of exfoliated flakes.

  18. Chemical vapor deposition of high-quality large-sized MoS 2 crystals on silicon dioxide substrates

    DOE PAGES

    Chen, Jianyi; Tang, Wei; Tian, Bingbing; ...

    2016-03-31

    Large-sized MoS 2 crystals can be grown on SiO 2/Si substrates via a two-stage chemical vapor deposition method. The maximum size of MoS 2 crystals can be up to about 305 μm. The growth method can be used to grow other transition metal dichalcogenide crystals and lateral heterojunctions. Additionally, the electron mobility of the MoS 2 crystals can reach ≈30 cm 2 V –1 s –1, which is comparable to those of exfoliated flakes.

  19. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ frommore » which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a

  20. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  1. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  2. High-temperature mass spectrometric study of the vaporization processes and thermodynamic properties of melts in the PbO-B2O3-SiO2 system.

    PubMed

    Stolyarova, V L; Lopatin, S I; Shilov, A L; Shugurov, S M

    2013-07-15

    The unique properties of the PbO-B2O3-SiO2 system, especially its extensive range of glass-forming compositions, make it valuable for various practical applications. The thermodynamic properties and vaporization of PbO-B2O3-SiO2 melts are not well established so far and the data obtained on these will be useful for optimization of technology and thermodynamic modeling of glasses. High-temperature Knudsen effusion mass spectrometry was used to study vaporization processes and to determine the partial pressures of components of the PbO-B2O3-SiO2 melts. Measurements were performed with a MS-1301 mass spectrometer. Vaporization was carried out using two quartz effusion cells containing the sample under study and pure PbO (reference substance). Ions were produced by electron ionization at an energy of 25 eV. To facilitate interpretation of the mass spectra, the appearance energies of ions were also measured. Pb, PbO and O2 were found to be the main vapor species over the samples studied at 1100 K. The PbO activities as a function of the composition of the system were derived from the measured PbO partial pressures. The B2O3 and SiO2 activities, the Gibbs energy of formation, the excess Gibbs energy of formation and mass losses in the samples studied were calculated. Partial pressures of the vapor species over PbO-B2O3-SiO2 melts were measured at 1100 K in the wide range of compositions using the Knudsen mass spectrometric method. The data enabled the PbO, B2O3, and SiO2 activities in these melts to be derived and provided evidence of their negative deviations from ideal behavior. Copyright © 2013 John Wiley & Sons, Ltd.

  3. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  4. Growth of SiO 2 on InP substrate by liquid phase deposition

    NASA Astrophysics Data System (ADS)

    Lei, Po Hsun; Yang, Chyi Da

    2010-04-01

    We have grown silicon dioxide (SiO 2) on indium phosphorous (InP) substrate by liquid phase deposition (LPD) method. With inserting InP wafer in the treatment solution composed of SiO 2 saturated hydrofluorosilicic acid (H 2SiF 6), 0.1 M boric acid (H 3BO 3) and 1.74 M diluted hydrochloric acid (HCl), the maximum deposition rate and refractive index for the as-grown LPD-SiO 2 film were about 187.5 Å/h and 1.495 under the constant growth temperature of 40 °C. The secondary ion mass spectroscope (SIMS) and energy dispersive X-ray (EDX) confirmed that the elements of silicon, oxygen, and chloride were found in the as-grown LPD-SiO 2 film. On the other hand, the effects of treatment solution incorporated with the hydrogen peroxide (H 2O 2) that can regulate the concentration of OH - ion were also shown in this article. The experimental results represented that the deposition rate decreases with increasing the concentration of hydrogen peroxide due to the reduced concentration of SiO 2 saturated H 2SiF 6 in treatment solution.

  5. The growth and in situ characterization of chemical vapor deposited SiO2

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Chang, R. R.; Lile, D. L.

    1987-01-01

    This paper reports the results of studies of the kinetics of remote (indirect) plasma enhanced low pressure CVD growth of SiO2 on Si and InP and of the in situ characterization of the electrical surface properties of InP during CVD processing. In the latter case photoluminescence was employed as a convenient and sensitive noninvasive method for characterizing surface trap densities. It was determined that, provided certain precautions are taken, the growth of SiO2 occurs in a reproducible and systematic fashion that can be expressed in an analytic form useful for growth rate prediction. Moreover, the in situ photoluminescence studies have yielded information on sample degradation resulting from heating and chemical exposure during the CVD growth.

  6. Effect of deposition pressure on the morphology and structural properties of carbon nanotubes synthesized by hot-filament chemical vapor deposition.

    PubMed

    Arendse, C J; Malgas, G F; Scriba, M R; Cummings, F R; Knoesen, D

    2007-10-01

    Hot-filament chemical vapor deposition has developed into an attractive method for the synthesis of various carbon nanostructures, including carbon nanotubes. This is primarily due to its versatility, low cost, repeatability, up-scalability, and ease of production. The resulting nano-material synthesized by this technique is dependent on the deposition conditions which can be easily controlled. In this paper we report on the effect of the deposition pressure on the structural properties and morphology of carbon nanotubes synthesized by hot-filament chemical vapor deposition, using Raman spectroscopy and high-resolution scanning electron microscopy, respectively. A 10 nm-thick Ni layer, deposited on a SiO2/Si substrate, was used as catalyst for carbon nanotube growth. Multi-walled carbon nanotubes with diameters ranging from 20-100 nm were synthesized at 500 degrees C with high structural perfection at deposition pressures between 150 and 200 Torr. Raman spectroscopy measurements confirm that the carbon nanotube deposit is homogeneous across the entire substrate area.

  7. Non-switching to switching transferring mechanism investigation for Ag/SiO x /p-Si structure with SiO x deposited by HWCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yanhong; Wang, Ruoying; Li, Zhongyue; Wang, Song; Huang, Yang; Peng, Wei

    2018-04-01

    We proposed and fabricated an Ag/SiO x /p-Si sandwich structure, in which amorphous SiO x films were deposited through hot wire chemical vapor deposition (HWCVD) using tetraethylorthosilicate (TEOS) as Si and O precursor. Experimental results indicate that the I–V properties of this structure transfer from non-switching to switching operation as the SiO x deposition temperature increased. The device with SiO x deposited at high deposition temperature exhibits typical bipolar switching properties, which can be potentially used in resistive switching random accessible memory (RRAM). The transferring mechanism from non-switching to switching can be ascribed to the change of structural and electronic properties of SiO x active layer deposited at different temperatures, as evidenced by analyzing FTIR spectrum and fitting its I–V characteristics curves. This work demonstrates a safe and practicable low-temperature device-grade SiO x film deposition technology by conducting HWCVD from TEOS.

  8. Structural and Electrical Characterization of SiO2 Gate Dielectrics Deposited from Solutions at Moderate Temperatures in Air.

    PubMed

    Esro, Mazran; Kolosov, Oleg; Jones, Peter J; Milne, William I; Adamopoulos, George

    2017-01-11

    Silicon dioxide (SiO 2 ) is the most widely used dielectric for electronic applications. It is usually produced by thermal oxidation of silicon or by using a wide range of vacuum-based techniques. By default, the growth of SiO 2 by thermal oxidation of silicon requires the use of Si substrates whereas the other deposition techniques either produce low quality or poor interface material and mostly require high deposition or annealing temperatures. Recent investigations therefore have focused on the development of alternative deposition paradigms based on solutions. Here, we report the deposition of SiO 2 thin film dielectrics deposited by spray pyrolysis in air at moderate temperatures of ≈350 °C from pentane-2,4-dione solutions of SiCl 4 . SiO 2 dielectrics were investigated by means of UV-vis absorption spectroscopy, spectroscopic ellipsometry, XPS, XRD, UFM/AFM, admittance spectroscopy, and field-effect measurements. Data analysis reveals smooth (R RMS < 1 nm) amorphous films with a dielectric constant of about 3.8, an optical band gap of ≈8.1 eV, leakage current densities in the order of ≈10 -7 A/cm 2 at 1 MV/cm, and high dielectric strength in excess of 5 MV/cm. XPS measurements confirm the SiO 2 stoichiometry and FTIR spectra reveal features related to SiO 2 only. Thin film transistors implementing spray-coated SiO 2 gate dielectrics and C 60 and pentacene semiconducting channels exhibit excellent transport characteristics, i.e., negligible hysteresis, low leakage currents, high on/off current modulation ratio on the order of 10 6 , and high carrier mobility.

  9. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    NASA Astrophysics Data System (ADS)

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Li, Xuejian; Deng, Jun; Fan, Xing; Pan, Guanzhong; Wang, Qiuhua; Xiong, Fangzhu; Fu, Yafei; Sun, Jie

    2018-02-01

    A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene "lands onto" SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  10. Stress analysis of ZrO2/SiO2 multilayers deposited on different substrates with different thickness periods

    NASA Astrophysics Data System (ADS)

    Shao, Shuying; Shao, Jianda; He, Hongbo; Fan, Zhengxiu

    2005-08-01

    The effects of repeating thickness periods on stress are studied in ZrO2/SiO2 multilayers deposited by electron-beam evaporation on BK7 glass and fused-silica substrates. The results show that the residual stress is compressive and decreases with an increase of the periods of repeating thickness in the ZrO2/SiO2 multilayers. At the same time, the residual stress in multilayers deposited on BK7 glass is less than that of samples deposited on fused silica. The variation of the microstructure examined by x-ray diffraction shows that microscopic deformation does not correspond to macroscopic stress, which may be due to variation of the interface stress.

  11. Solution-derived SiO2 gate insulator formed by CO2 laser annealing for polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hishitani, Daisuke; Horita, Masahiro; Ishikawa, Yasuaki; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2017-05-01

    The formation of perhydropolysilazane (PHPS)-based SiO2 films by CO2 laser annealing is proposed. Irradiation with a CO2 laser with optimum fluence transformed a prebaked PHPS film into a SiO2 film with uniform composition in the thickness direction. Polycrystalline silicon thin-film transistors (poly-Si TFTs) with a SiO2 film as the gate insulator were fabricated. When the SiO2 film was formed by CO2 laser annealing (CO2LA) at the optimum fluence of 20 mJ/cm2, the film had fewer OH groups which was one-twentieth that of the furnace annealed PHPS film and one-hundredth that of the SiO2 film deposited by plasma-enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS). The resulting TFTs using PHPS showed a clear transistor operation with a field-effect mobility of 37.9 ± 1.2 cm2 V-1 s-1, a threshold voltage of 9.8 ± 0.2 V, and a subthreshold swing of 0.76 ± 0.02 V/decade. The characteristics of such TFTs were as good as those of a poly-Si TFT with a SiO2 gate insulator prepared by PECVD using TEOS.

  12. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    PubMed Central

    Yu, Jung-Hoon; Nam, Sang-Hun; Lee, Ji Won; Boo, Jin-Hyo

    2016-01-01

    This paper presents the preparation of high-quality vanadium dioxide (VO2) thermochromic thin films with enhanced visible transmittance (Tvis) via radio frequency (RF) sputtering and plasma enhanced chemical vapor deposition (PECVD). VO2 thin films with high Tvis and excellent optical switching efficiency (Eos) were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc) of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58%) compared with the pristine samples (λ 650 nm, 43%). This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications. PMID:28773679

  13. Interaction of tetraethoxysilane with OH-terminated SiO2 (0 0 1) surface: A first principles study

    NASA Astrophysics Data System (ADS)

    Deng, Xiaodi; Song, Yixu; Li, Jinchun; Pu, Yikang

    2014-06-01

    First principles calculates have been performed to investigate the surface reaction mechanism of tetraethoxysilane (TEOS) with fully hydroxylated SiO2(0 0 1) substrate. In semiconductor industry, this is the key step to understand and control the SiO2 film growth in chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes. During the calculation, we proposed a model which breaks the surface dissociative chemisorption into two steps and we calculated the activation barriers and thermochemical energies for each step. Our calculation result for step one shows that the first half reaction is thermodynamically favorable. For the second half reaction, we systematically studied the two potential reaction pathways. The comparing result indicates that the pathway which is more energetically favorable will lead to formation of crystalline SiO2 films while the other will lead to formation of disordered SiO2 films.

  14. Nucleation of C60 on ultrathin SiO2

    NASA Astrophysics Data System (ADS)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  15. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  16. Tribological Properties of TiO2/SiO2 Double Layer Coatings Deposited on CP-Ti

    NASA Astrophysics Data System (ADS)

    Çomakli, O.; Yazici, M.; Yetim, T.; Yetim, A. F.; Çelik, A.

    In the present paper, the influences of different double layer on wear and scratch performances of commercially pure Titanium (CP-Ti) were investigated. TiO2/SiO2 and SiO2/TiO2 double layer coatings were deposited on CP-Ti by sol-gel dip coating process and calcined at 750∘C. The phase structure, cross-sectional morphology, composition, wear track morphologies, adhesion properties, hardness and roughness of uncoated and coated samples were characterized with X-ray diffraction, scanning electron microscopy (SEM), nano-indentation technique, scratch tester and 3D profilometer. Also, the tribological performances of all samples were investigated by a pin-on-disc tribo-tester against Al2O3 ball. Results showed that hardness, elastic modulus and adhesion resistance of double layer coated samples were higher than untreated CP-Ti. It was found that these properties of TiO2/SiO2 double layer coatings have higher than SiO2/TiO2 double layer coating. Additionally, the lowest friction coefficient and wear rates were obtained from TiO2/SiO2 double layer coatings. Therefore, it was seen that phase structure, hardness and film adhesion are important factors on the tribological properties of double layer coatings.

  17. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  18. Silicates Do Nucleate in Oxygen-rich Circumstellar Outflows: New Vapor Pressure Data for SiO

    NASA Astrophysics Data System (ADS)

    Nuth, Joseph A., III; Ferguson, Frank T.

    2006-10-01

    We have measured the vapor pressure of solid SiO as a function of temperature over the range from 1325 up to 1785 K in vacuo using a modified Thermo-Cahn thermogravimetric system. Although an extrapolation of the current vapor pressure data to 2200 K is close to that predicted from the work of Schick under reducing conditions, the vapor pressures measured at successively lower temperatures diverge significantly from such predictions and are several orders of magnitude lower than predicted at 1200 K. This new vapor pressure data has been inserted into a simple model for the gas expanding from a late-stage star. Using the new vapor pressure curve makes a significant difference in the temperature and stellar radius at which SiO gas becomes supersaturated, although SiO still becomes supersaturated at temperatures that are too low to be consistent with observations. We have therefore also explored the effects of vibrational disequilibrium (as explored by Nuth & Donn) of SiO in the expanding shell on the conditions under which nucleation occurs. These calculations are much more interesting in that supersaturation now occurs at much higher kinetic temperatures. We note, however, that both vibrational disequilibrium and the new vapor pressure curve are required to induce SiO supersaturation in stellar outflows at temperatures above 1000 K.

  19. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  20. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    NASA Astrophysics Data System (ADS)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  1. Surface roughness analysis of SiO2 for PECVD, PVD and IBD on different substrates

    NASA Astrophysics Data System (ADS)

    Amirzada, Muhammad Rizwan; Tatzel, Andreas; Viereck, Volker; Hillmer, Hartmut

    2016-02-01

    This study compares surface roughness of SiO2 thin layers which are deposited by three different processes (plasma-enhanced chemical vapor deposition, physical vapor deposition and ion beam deposition) on three different substrates (glass, Si and polyethylene naphthalate). Plasma-enhanced chemical vapor deposition (PECVD) processes using a wide range of deposition temperatures from 80 to 300 °C have been applied and compared. It was observed that the nature of the substrate does not influence the surface roughness of the grown layers very much. It is also perceived that the value of the surface roughness keeps on increasing as the deposition temperature of the PECVD process increases. This is due to the increase in the surface diffusion length with the rise in substrate temperature. The layers which have been deposited on Si wafer by ion beam deposition (IBD) process are found to be smoother as compared to the other two techniques. The layers which have been deposited on the glass substrates using PECVD reveal the highest surface roughness values in comparison with the other substrate materials and techniques. Different existing models describing the dynamics of clusters on surfaces are compared and discussed.

  2. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  3. Thermal emission from large area chemical vapor deposited graphene devices

    NASA Astrophysics Data System (ADS)

    Luxmoore, I. J.; Adlem, C.; Poole, T.; Lawton, L. M.; Mahlmeister, N. H.; Nash, G. R.

    2013-09-01

    The spatial variation of thermal emission from large area graphene grown by chemical vapor deposition, transferred onto SiO2/Si substrates and fabricated into field effect transistor structures, has been investigated using infra-red microscopy. A peak in thermal emission occurs, the position of which can be altered by reversal of the current direction. The experimental results are compared with a one dimensional finite element model, which accounts for Joule heating and electrostatic effects, and it is found that the thermal emission is governed by the charge distribution in the graphene and maximum Joule heating occurs at the point of minimum charge density.

  4. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  5. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  6. Area-selective atomic layer deposition of Ru on electron-beam-written Pt(C) patterns versus SiO2 substratum

    NASA Astrophysics Data System (ADS)

    Junige, Marcel; Löffler, Markus; Geidel, Marion; Albert, Matthias; Bartha, Johann W.; Zschech, Ehrenfried; Rellinghaus, Bernd; van Dorp, Willem F.

    2017-09-01

    Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO2 substratum free from any deposition. In a first step, we study in detail the Ru ALD nucleation on SiO2 and clarify the impact of the set-point temperature. An initial incubation period with actually no growth was revealed before a formation of minor, isolated RuO x islands; clearly no continuous Ru layer formed on SiO2. A lower temperature was beneficial in facilitating a longer incubation and consequently a wider window for (inherent) selectivity. In a second step, we write C-rich Pt micro-patterns on SiO2 by focused electron-beam-induced deposition (FEBID), varying the number of FEBID scans at two electron beam acceleration voltages. Subsequently, the localized Pt(C) deposits are pre-cleaned in O2 and overgrown by Ru ALD. Already sub-nanometer-thin Pt(C) patterns, which were supposedly purified into some form of Pt(O x ), acted as very effective activation for the locally restricted, thus area-selective ALD growth of a pure, continuous Ru covering, whereas the SiO2 substratum sufficiently inhibited towards no growth. FEBID at lower electron energy reduced unwanted stray deposition and achieved well-resolved pattern features. We access the nucleation phenomena by utilizing a hybrid metrology approach, which uniquely combines in-situ real-time spectroscopic ellipsometry, in-vacuo x-ray photoelectron spectroscopy, ex-situ high-resolution scanning electron microscopy, and mapping energy-dispersive x-ray spectroscopy.

  7. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils

    NASA Astrophysics Data System (ADS)

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2017-12-01

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO2 using AP-LTO® 330 and ozone (O3) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle-1 in the temperature range of 80-350°C, respectively. The low-temperature SiO2 process that resulted was combined with the conventional trimethyl aluminium + H2O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO2/Al2O3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO2/Al2O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m-2 d-1 to 0.15 ml m-2 d-1, whereas the water transmission rates lowered from 630 ± 50 g m-2 d-1 down to 90 ± 40 g m-2 d-1. This article is part of a discussion meeting issue `New horizons for cellulose nanotechnology'.

  8. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  9. Preparation and characterization of epitaxial MgO thin film by atmospheric-pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zeng, J. M.; Wang, H.; Shang, S. X.; Wang, Z.; Wang, M.

    1996-12-01

    Magnesium oxide (MgO) thin films have been prepared on Si(100), {SiO2(100) }/{Si} and {Pt(111) }/{Si} substrates by atmospheric-pressure metalorganic chemical vapor deposition (AP-MOCVD) for the first time. The relationship between the temperature of substrates ( Ts) and crystallographic orientations was also investigated. Magnesium acetylacetonate [Mg(CH 2COCH 2COCH 3) 2] was used as the metalorganic source. The relatively low temperature of substrates is about 480°C and the MgO thin films obtained were uniform, dense and well-ordered single crystal. X-ray diffraction experiments provided evidence that the MgO thin films on Si(100) ( Ts ≈ 400-680°C), {SiO2}/{Si} and {Pt}/{Si} were fully textured with (100) orientation. The deliquescent character of MgO thin films was also studied.

  10. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  11. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  12. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  13. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  14. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  15. Low-temperature atomic layer deposition of SiO2/Al2O3 multilayer structures constructed on self-standing films of cellulose nanofibrils.

    PubMed

    Putkonen, Matti; Sippola, Perttu; Svärd, Laura; Sajavaara, Timo; Vartiainen, Jari; Buchanan, Iain; Forsström, Ulla; Simell, Pekka; Tammelin, Tekla

    2018-02-13

    In this paper, we have optimized a low-temperature atomic layer deposition (ALD) of SiO 2 using AP-LTO® 330 and ozone (O 3 ) as precursors, and demonstrated its suitability to surface-modify temperature-sensitive bio-based films of cellulose nanofibrils (CNFs). The lowest temperature for the thermal ALD process was 80°C when the silicon precursor residence time was increased by the stop-flow mode. The SiO 2 film deposition rate was dependent on the temperature varying within 1.5-2.2 Å cycle -1 in the temperature range of 80-350°C, respectively. The low-temperature SiO 2 process that resulted was combined with the conventional trimethyl aluminium + H 2 O process in order to prepare thin multilayer nanolaminates on self-standing CNF films. One to six stacks of SiO 2 /Al 2 O 3 were deposited on the CNF films, with individual layer thicknesses of 3.7 nm and 2.6 nm, respectively, combined with a 5 nm protective SiO 2 layer as the top layer. The performance of the multilayer hybrid nanolaminate structures was evaluated with respect to the oxygen and water vapour transmission rates. Six stacks of SiO 2 /Al 2 O with a total thickness of approximately 35 nm efficiently prevented oxygen and water molecules from interacting with the CNF film. The oxygen transmission rates analysed at 80% RH decreased from the value for plain CNF film of 130 ml m -2  d -1 to 0.15 ml m -2  d -1 , whereas the water transmission rates lowered from 630 ± 50 g m -2  d -1 down to 90 ± 40 g m -2  d -1 This article is part of a discussion meeting issue 'New horizons for cellulose nanotechnology'. © 2017 The Author(s).

  16. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  17. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  18. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition.

    PubMed

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Nanocomposite SiO x particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiO x matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH 4 promotes reduction in the oxygen content x of SiO x , and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x  = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD.

  19. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  20. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  1. Preparation of SiO2 Passivation Thin Film for Improved the Organic Light-Emitting Device Life Time

    NASA Astrophysics Data System (ADS)

    Hong, Jeong Soo; Kim, Sang Mo; Kim, Kyung-Hwan

    2011-08-01

    To improve the organic light-emitting diode (OLED) lifetime, we prepared a SiO2 thin film for OLED passivation using a facing target sputtering (FTS) system as a function of oxygen gas flow rate and working pressure. The properties of the SiO2 thin film were examined by Fourier transform infrared (FT-IR), photoluminescence (PL) intensity measurement, field emission scanning electron microscopy (FE-SEM), and ultraviolet-visible (UV-vis) spectrometry that As a result, we found that a SiO2 thin film is formed at a 2 sccm oxygen gas flow rate and results the minimum damage to the organic layer is observed at a 1 mTorr working pressure. Also, from the water vapor transmission rate (WVTR), we observed that all of the as-deposited SiO2 thin films showed the ability of blocking moisture. After the properties were evaluated, an optimized SiO2 thin film was applied to OLED passivation. As a result, the property of the OLED fabricated by SiO2 passivation is similar to the OLED fabricated by glass passivation. However, the performance of OLED was degraded by enhancing of SiO2 passivation. This is the organic layer of the device is exposed to plasma for a prolonged period. Therefore, a method of minimizing damage to the organic layer and optimum conditions for what are important.

  2. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  3. Intensity analysis of XPS spectra to determine oxide uniformity - Application to SiO2/Si interfaces

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Grunthaner, F. J.

    1980-01-01

    A simple method of determining oxide uniformity is derived which requires no knowlege of film thickness, escape depth, or film composition. The method involves only the measurement of oxide and substrate intensities and is illustrated by analysis of XPS spectral data for thin SiO2 films grown both thermally and by low-temperature chemical vapor deposition on monocrystalline Si. A region 20-30 A thick is found near the SiO2/Si interface on thermally oxidized samples which has an inelastic mean free path 35% less than that found in the bulk oxide. This is interpreted as being due to lattice mismatch resulting in a strained region which is structurally, but not stoichiometrically, distinct from the bulk oxide.

  4. Vapor Wall Deposition in Chambers: Theoretical Considerations

    NASA Astrophysics Data System (ADS)

    McVay, R.; Cappa, C. D.; Seinfeld, J.

    2014-12-01

    In order to constrain the effects of vapor wall deposition on measured secondary organic aerosol (SOA) yields in laboratory chambers, Zhang et al. (2014) varied the seed aerosol surface area in toluene oxidation and observed a clear increase in the SOA yield with increasing seed surface area. Using a coupled vapor-particle dynamics model, we examine the extent to which this increase is the result of vapor wall deposition versus kinetic limitations arising from imperfect accommodation of organic species into the particle phase. We show that a seed surface area dependence of the SOA yield is present only when condensation of vapors onto particles is kinetically limited. The existence of kinetic limitation can be predicted by comparing the characteristic timescales of gas-phase reaction, vapor wall deposition, and gas-particle equilibration. The gas-particle equilibration timescale depends on the gas-particle accommodation coefficient αp. Regardless of the extent of kinetic limitation, vapor wall deposition depresses the SOA yield from that in its absence since vapor molecules that might otherwise condense on particles deposit on the walls. To accurately extrapolate chamber-derived yields to atmospheric conditions, both vapor wall deposition and kinetic limitations must be taken into account.

  5. Conformal SiO2 coating of sub-100 nm diameter channels of polycarbonate etched ion-track channels by atomic layer deposition

    PubMed Central

    Sobel, Nicolas; Lukas, Manuela; Spende, Anne; Stühn, Bernd; Trautmann, Christina

    2015-01-01

    Summary Polycarbonate etched ion-track membranes with about 30 µm long and 50 nm wide cylindrical channels were conformally coated with SiO2 by atomic layer deposition (ALD). The process was performed at 50 °C to avoid thermal damage to the polymer membrane. Analysis of the coated membranes by small angle X-ray scattering (SAXS) reveals a homogeneous, conformal layer of SiO2 in the channels at a deposition rate of 1.7–1.8 Å per ALD cycle. Characterization by infrared and X-ray photoelectron spectroscopy (XPS) confirms the stoichiometric composition of the SiO2 films. Detailed XPS analysis reveals that the mechanism of SiO2 formation is based on subsurface crystal growth. By dissolving the polymer, the silica nanotubes are released from the ion-track membrane. The thickness of the tube wall is well controlled by the ALD process. Because the track-etched channels exhibited diameters in the range of nanometres and lengths in the range of micrometres, cylindrical tubes with an aspect ratio as large as 3000 have been produced. PMID:25821688

  6. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  7. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  8. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  9. Direct molecular dynamics simulation of Ge deposition on amorphous SiO 2 at experimentally relevant conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chuang, Claire Y.; Zepeda-Ruiz, Luis A.; Han, Sang M.

    2015-06-01

    Molecular dynamics simulations were used to study Ge island nucleation and growth on amorphous SiO 2 substrates. This process is relevant in selective epitaxial growth of Ge on Si, for which SiO 2 is often used as a template mask. The islanding process was studied over a wide range of temperatures and fluxes, using a recently proposed empirical potential model for the Si–SiO 2–Ge system. The simulations provide an excellent quantitative picture of the Ge islanding and compare well with detailed experimental measurements. These quantitative comparisons were enabled by an analytical rate model as a bridge between simulations and experimentsmore » despite the fact that deposition fluxes accessible in simulations and experiments are necessarily different by many orders of magnitude. In particular, the simulations led to accurate predictions of the critical island size and the scaling of island density as a function of temperature. Lastly, the overall approach used here should be useful not just for future studies in this particular system, but also for molecular simulations of deposition in other materials.« less

  10. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  11. Perspective: Highly stable vapor-deposited glasses

    NASA Astrophysics Data System (ADS)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  12. Perspective: Highly stable vapor-deposited glasses

    DOE PAGES

    Ediger, M. D.

    2017-12-07

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  13. Perspective: Highly stable vapor-deposited glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ediger, M. D.

    This paper describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the “ideal glass”. Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquidsmore » are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.« less

  14. Novel SiO2-deposited CaF2 substrate for vibrational sum-frequency generation (SFG) measurements of chemisorbed monolayers in an aqueous environment.

    PubMed

    Padermshoke, Adchara; Konishi, Shouta; Ara, Masato; Tada, Hirokazu; Ishibashi, Taka-Aki

    2012-06-01

    A novel SiO(2)-deposited CaF(2) (SiO(2)/CaF(2)) substrate for measuring vibrational sum-frequency generation (SFG) spectra of silane-based chemisorbed monolayers in aqueous media has been developed. The substrate is suitable for silanization and transparent over a broad range of the infrared (IR) probe. The present work demonstrates the practical application of the SiO(2)/CaF(2) substrate and, to our knowledge, the first SFG spectrum at the solid/water interface of a silanized monolayer observed over the IR fingerprint region (1780-1400 cm(-1)) using a back-side probing geometry. This new substrate can be very useful for SFG studies of various chemisorbed organic molecules, particularly biological compounds, in aqueous environments.

  15. Initial stage of atomic layer deposition of 2D-MoS2 on a SiO2 surface: a DFT study.

    PubMed

    Shirazi, M; Kessels, W M M; Bol, A A

    2018-06-20

    In this study, we investigate the reactions involving Atomic Layer Deposition (ALD) of 2D-MoS2 from the heteroleptic precursor Mo(NMe2)2(NtBu)2 and H2S as the co-reagent on a SiO2(0001) surface by means of density functional theory (DFT). All dominant reaction pathways from the early stage of adsorption of each ALD reagent to the formation of bulk-like Mo and S at the surface are identified. In the metal pulse, proton transfer from terminal OH groups on the SiO2 to the physisorbed metal precursor increases the Lewis acidity of Mo and Lewis basicity of O, which gives rise to the chemical adsorption of the metal precursor. Proton transfer from the surface to the dimethylamido ligands leads to the formation and desorption of dimethylamine. In contrast, the formation and desorption of tert-butylamine is not energetically favorable. The tert-butylimido ligand can only be partially protonated in the metal pulse. In the sulphur pulse, co-adsorption and dissociation of H2S molecules give rise to the formation and desorption of tert-butylamine. Through the calculated activation energies, the cooperation between H2S molecules ('cooperative' mechanism) is shown to have a profound influence on the formation and desorption of tert-butylamine, which are crucial steps in the initial ALD deposition of 2D-MoS2 on SiO2. The cyclic ALD reactions give rise to the formation of a buffer layer which might have important consequences for the electrical and optical properties on the 2D layer formed in the subsequent homodeposition.

  16. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  17. In-situ grown CNTs modified SiO2/C composites as anode with improved cycling stability and rate capability for lithium storage

    NASA Astrophysics Data System (ADS)

    Wang, Siqi; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; He, Chunnian; He, Fang; Ma, Liying

    2018-03-01

    Silica (SiO2) is regarded as one of the most promising anode materials for lithium ion batteries owing to its high theoretical specific capacity, relatively low operation potentials, abundance, environmental benignity and low cost. However, the low intrinsic electrical conductivity and large volume change of SiO2 during the discharge/charge cycles usually results in poor electrochemical performance. In this work, carbon nanotubes (CNTs) modified SiO2/C composites have been fabricated through an in-situ chemical vapor deposition method. The results show that the electrical conductivity of the SiO2/C/CNTs is visibly enhanced through a robust connection between the CNTs and SiO2/C particles. Compared with the pristine SiO2 and SiO2/C composites, the SiO2/C/CNTs composites display a high initial capacity of 1267.2 mA h g-1. Besides, an excellent cycling stability with the capacity of 315.7 mA h g-1 is achieved after 1000th cycles at a rate of 1 A g-1. The significantly improved electrochemical properties of the SiO2/C/CNTs composites are mainly attributed to the formation of three dimensional CNT networks in the SiO2/C substrate, which can not only shorten the Li-ion diffusion path but also relieve the volume change during the lithium-ion insertion/extraction processes.

  18. A Novel Strategy for Preparation of Si-HA Coatings on C/C Composites by Chemical Liquid Vaporization Deposition/Hydrothermal Treatments.

    PubMed

    Xin-Bo, Xiong; Xin-Ye, Ni; Ya-Yun, Li; Cen-Cen, Chu; Ji-Zhao, Zou; Xie-Rong, Zeng

    2016-08-05

    A novel strategy for the preparation of Si-doped hydroxyapatite (Si-HA) coatings on H2O2-treated carbon/carbon composites (C/C) was developed. HA coating was prepared on C/C through chemical liquid vaporization deposition (CLVD)/hydrothermal treatment. HA coating was immersed in an H2SiO3 solution at an autoclave at 413 K for transformation into Si-HA coating. The effects of H2SiO3 mass contents on the phase, morphology, and composition of the Si-HA coatings were studied through SEM, EDS,XRD, and FTIR. Their bonding performance to C/C was measured through a scratch test. Under the optimal content condition, the in vitro skull osteoblast response behaviors of the Si-HA coating were evaluated. Results showed that SiO3(2-) could enter into the HA lattice and occupy the PO4(3-) sites. Doped SiO3(2-) significantly improved the bonding performance of the HA coating to C/C in comparison with the untreated HA. The adhesive strength of the coatings initially increased and then decreased with increasing H2SiO3 content. Meanwhile, the cohesive strength of the Si-HA coatings was almost nearly identical. The Si-HA coating achieved at a content of 90% H2SiO3 exhibited the best bonding performance, and its osteoblast compatibility in vitro was superior to that of the untreated HA coating on C/C through CLVD/hydrothermal treatment.

  19. Area-Selective Atomic Layer Deposition of SiO2 Using Acetylacetone as a Chemoselective Inhibitor in an ABC-Type Cycle

    PubMed Central

    2017-01-01

    Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules. PMID:28850774

  20. A Novel Strategy for Preparation of Si-HA Coatings on C/C Composites by Chemical Liquid Vaporization Deposition/Hydrothermal Treatments

    PubMed Central

    Xin-bo, Xiong; Xin-ye, Ni; Ya-yun, Li; Cen-cen, Chu; Ji-zhao, Zou; Xie-rong, Zeng

    2016-01-01

    A novel strategy for the preparation of Si-doped hydroxyapatite (Si-HA) coatings on H2O2-treated carbon/carbon composites (C/C) was developed. HA coating was prepared on C/C through chemical liquid vaporization deposition (CLVD)/hydrothermal treatment. HA coating was immersed in an H2SiO3 solution at an autoclave at 413 K for transformation into Si-HA coating. The effects of H2SiO3 mass contents on the phase, morphology, and composition of the Si-HA coatings were studied through SEM, EDS,XRD, and FTIR. Their bonding performance to C/C was measured through a scratch test. Under the optimal content condition, the in vitro skull osteoblast response behaviors of the Si-HA coating were evaluated. Results showed that SiO32− could enter into the HA lattice and occupy the PO43− sites. Doped SiO32− significantly improved the bonding performance of the HA coating to C/C in comparison with the untreated HA. The adhesive strength of the coatings initially increased and then decreased with increasing H2SiO3 content. Meanwhile, the cohesive strength of the Si-HA coatings was almost nearly identical. The Si-HA coating achieved at a content of 90% H2SiO3 exhibited the best bonding performance, and its osteoblast compatibility in vitro was superior to that of the untreated HA coating on C/C through CLVD/hydrothermal treatment. PMID:27492664

  1. Omnidirectional anti-reflection properties of vertically align SiO2 nanorod films prepared by electron beam evaporation with glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-03-01

    Omnidirectional anti-reflection coating nanostructure film have attracted enormous attention for the developments of the optical coating, lenses, light emitting diode, display and photovoltaic. However, fabricated of the omnidirectional antireflection nanostructure film on glass substrate in large area was a challenge topic. In the past two decades, the invention of glancing angle deposition technique as a growth of well-controlled two and three-dimensional morphologies has gained significant attention because of it is simple, fast, cost-effective and high mass production capability. In this present work, the omnidirectional anti-reflection nanostructure coating namely silicon dioxide (SiO2) nanorods has been investigated for optimized high transparent layer at all light incident angle. The SiO2 nanorod films of an optimally low refractive index have been fabricated by electron beam evaporation with the glancing angle deposition technique. The morphological of the prepared sampled were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The optical transmission and omnidirectional property of the SiO2 nanorod films were investigated by UV-Vis-NIR spectrophotometer. The measurement were performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measure were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. The morphological characterization results showed that when the glancing angle deposition technique was applied, the vertically align SiO2 nanorods with partially isolated columnar structure can be constructed due to the enhanced shadowing and limited addtom diffusion effect. The average transmission of the vertically align SiO2 nanorods were higher than the glass substrate reference sample over the visible wavelength range at all incident angle due to the

  2. What controls deposition rate in electron-beam chemical vapor deposition?

    PubMed

    White, William B; Rykaczewski, Konrad; Fedorov, Andrei G

    2006-08-25

    The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.

  3. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  4. Liquid-phase deposition of TiO2 nanoparticles on core-shell Fe3O4@SiO2 spheres: preparation, characterization, and photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Ma, Jian-Qi; Guo, Shao-Bo; Guo, Xiao-Hua; Ge, Hong-Guang

    2015-07-01

    To prevent and avoid magnetic loss caused by magnetite core phase transition involving in high-temperature crystallization of amorphous sol-gel TiO2, core-shell Fe3O4@SiO2@TiO2 composite spheres were synthesized via non-thermal process of TiO2. First, core-shell Fe3O4@SiO2 particles were synthesized through a solvothermal method followed by a sol-gel process. Second, anatase TiO2 nanoparticles (NPs) were directly coated on Fe3O4@SiO2 surface by liquid-phase deposition method, which uses (NH4)2TiF6 as Ti source for TiO2 and H3BO3 as scavenger for F- ions at 50 °C. The morphology, structure, composition, and magnetism of the resulting composites were characterized and their photocatalytic activities were also evaluated. The results demonstrate that TiO2 NPs with an average size of 6-8 nm were uniformly deposited on the Fe3O4@SiO2 surface. Magnetic hysteresis curves indicate that the composite spheres exhibit superparamagnetic characteristics with a magnetic saturation of 32.5 emu/g at room temperature. The magnetic TiO2 composites show high photocatalytic performance and can be recycled five times by magnetic separation without major loss of activity, which meant that they can be used as efficient and conveniently renewable photocatalyst.

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. Multiscale modeling for SiO2 atomic layer deposition for high-aspect-ratio hole patterns

    NASA Astrophysics Data System (ADS)

    Miyano, Yumiko; Narasaki, Ryota; Ichikawa, Takashi; Fukumoto, Atsushi; Aiso, Fumiki; Tamaoki, Naoki

    2018-06-01

    A multiscale simulation model is developed for optimizing the parameters of SiO2 plasma-enhanced atomic layer deposition of high-aspect-ratio hole patterns in three-dimensional (3D) stacked memory. This model takes into account the diffusion of a precursor in a reactor, that in holes, and the adsorption onto the wafer. It is found that the change in the aperture ratio of the holes on the wafer affects the concentration of the precursor near the top of the wafer surface, hence the deposition profile in the hole. The simulation results reproduced well the experimental results of the deposition thickness for the various hole aperture ratios. By this multiscale simulation, we can predict the deposition profile in a high-aspect-ratio hole pattern in 3D stacked memory. The atomic layer deposition parameters for conformal deposition such as precursor feeding time and partial pressure of precursor for wafers with various hole aperture ratios can be estimated.

  7. Silver nanoparticle deposition on inverse opal SiO2 films embedded in protective polypropylene micropits for SERS applications

    NASA Astrophysics Data System (ADS)

    Ammosova, Lena; Ankudze, Bright; Philip, Anish; Jiang, Yu; Pakkanen, Tuula T.; Pakkanen, Tapani A.

    2018-01-01

    Common methods to fabricate surface enhanced Raman scattering (SERS) substrates with controlled micro-nanohierarchy are often complex and expensive. In this study, we demonstrate a simple and cost effective method to fabricate SERS substrates with complex geometries. Microworking robot structuration is used to pattern a polypropylene (PP) substrate with micropits, facilitating protective microenvironment for brittle SiO2 inverse opal (IO) structure. Hierarchical SiO2 IO patterns were obtained using polystyrene (PS) spheres as a sacrificial template, and were selectively embedded into the hydrophilized PP micropits. The same microworking robot technique was subsequently used to deposit silver nanoparticle ink into the SiO2 IO cavities. The fabricated multi-level micro-nanohierarchy surface was studied to enhance Raman scattering of the 4-aminothiophenol (4-ATP) analyte molecule. The results show that the SERS performance of the micro-nanohierarchical substrate increases significantly the Raman scattering intensity compared to substrates with structured 2D surface geometries.

  8. Vapor deposition of hardened niobium

    DOEpatents

    Blocher, Jr., John M.; Veigel, Neil D.; Landrigan, Richard B.

    1983-04-19

    A method of coating ceramic nuclear fuel particles containing a major amount of an actinide ceramic in which the particles are placed in a fluidized bed maintained at ca. 800.degree. to ca. 900.degree. C., and niobium pentachloride vapor and carbon tetrachloride vapor are led into the bed, whereby niobium metal is deposited on the particles and carbon is deposited interstitially within the niobium. Coating apparatus used in the method is also disclosed.

  9. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  10. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  11. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  12. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  13. The Prospect of Y2SiO5-Based Materials as Protective Layer in Environmental Barrier Coatings

    NASA Astrophysics Data System (ADS)

    García, E.; Miranzo, P.; Osendi, M. I.

    2013-06-01

    Bulk yttrium monosilicate (Y2SiO5) possesses interesting properties, such as low thermal expansion coefficient and stability in water vapor atmospheres, which make it a promising protective layer for SiC-based composites, intended for the hottest parts in the future gas turbines. Because protective layers are commonly applied by thermal spraying techniques, it is important to analyze the changes in structure and properties that these methods may produce in yttrium silicate coatings. In this work, two SiO2-Y2O3 compositions were flame sprayed in the form of coatings and beads. In parallel, the beads were spark plasma sintered at relatively low temperature to obtain partially amorphous bulk specimens that are used as model bulk material. The thermal aging—air and water vapor atmosphere—caused extensive nucleation of Y2SiO5 and Y2Si2O7 in both the bulk and coating. The rich water vapor condition caused the selective volatilization of SiO2 from Y2Si2O7 at the specimen surface leaving a very characteristic micro-ridged Y2SiO5 zones—either in coatings or sintered bodies. An important increase in the thermal conductivity of the aged materials was measured. The results of this work may be used as a reference body for the production of Y2SiO5 coatings using thermal spraying techniques.

  14. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    PubMed

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  15. Improved light-extraction efficiency from organic light-emitting diodes using hazy SiO2 thin films created by using an aerosol-deposition method

    NASA Astrophysics Data System (ADS)

    Moon, Byung Seuk; Lee, Soo-Hyoung; Huh, Yoon Ho; Kwon, O. Eun; Park, Byoungchoo; Lee, Bumjoo; Lee, Seung-Hyun; Hwang, Inchan

    2015-04-01

    We herein report an investigation of the effect of rough thin films of SiO2 granules deposited on glass substrates of organic light-emitting devices (OLEDs) by using a simple, low-cost and scalable process based on a powder spray of SiO2 granules in vacuum, known as the aerosol-deposition method, with regard to their external light-extraction capabilities. The rough and hazy thin SiO2 films produced by using aerosol-deposition and acting as scattering centers were able to efficiently reduce the light-trapping loss in the glass substrate (glass mode) for internally-generated photons and to enhance the external quantum efficiency (EQE) of the OLEDs. Based on aerosol-deposited silica films with a thickness of 800 nm and a haze of 22% on glass substrates, the EQE of phosphorescent green OLEDs was found to be enhanced by 17%, from an EQE of 7.0% for smooth bare glass substrates to an EQE of 8.2%. Furthermore, the EQEs of fluorescent blue and phosphorescent red OLEDs were shown to be enhanced by 16%, from an EQE of 3.7% to 4.3%, and by 16%, from an EQE of 9.3% to 10.8%, respectively. These improvements in the EQEs without serious changes in the emission spectra or the Lambertian emitter property clearly indicate the high potential of the aerosol-deposition technique for the realization of highly-efficient light extraction in colorful OLED lighting.

  16. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  17. SiC Recession Due to SiO2 Scale Volatility Under Combustion Conditions. Part 2; Thermodynamics and Gaseous Diffusion Model

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Smialek, James L.; Robinson, Raymond C.; Fox, Dennis S.; Jacobson, Nathan S.

    1998-01-01

    In combustion environments, volatilization of SiO2 to Si-O-H(g) species is a critical issue. Available thermochemical data for Si-O-H(g) species were used to calculate boundary layer controlled fluxes from SiO2. Calculated fluxes were compared to volatilization rates Of SiO2 scales grown on SiC which were measured in Part 1 of this paper. Calculated volatilization rates were also compared to those measured in synthetic combustion gas furnace tests. Probable vapor species were identified in both fuel-lean and fuel-rich combustion environments based on the observed pressure, temperature and velocity dependencies as well as the magnitude of the volatility rate. Water vapor is responsible for the degradation of SiO2 in the fuel-lean environment. Silica volatility in fuel-lean combustion environments is attributed primarily to the formation of Si(OH)4(g) with a small contribution of SiO(OH)2(g).

  18. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  19. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  20. A sinter-resistant catalytic system fabricated by maneuvering the selectivity of SiO2 deposition onto the TiO2 surface versus the Pt nanoparticle surface.

    PubMed

    Lu, Ping; Campbell, Charles T; Xia, Younan

    2013-10-09

    A triphasic catalytic system (Pt/TiO2-SiO2) with an "islands in the sea" configuration was fabricated by controlling the selectivity of SiO2 deposition onto the surface of TiO2 versus the surface of Pt nanoparticles. The Pt surface was exposed, while the nanoparticles were supported on TiO2 and isolated from each other by SiO2 to achieve both significantly improved sinter resistance up to 700 °C and outstanding activity after high-temperature calcination. This work not only demonstrates the feasibility of using a new triphasic system with uncovered catalyst to maximize the thermal stability and catalytic activity but also offers a general approach to the synthesis of high-performance catalytic systems with tunable compositions.

  1. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  2. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  3. Effects of post-deposition annealing on sputtered SiO2/4H-SiC metal-oxide-semiconductor

    NASA Astrophysics Data System (ADS)

    Lee, Suhyeong; Kim, Young Seok; Kang, Hong Jeon; Kim, Hyunwoo; Ha, Min-Woo; Kim, Hyeong Joon

    2018-01-01

    Reactive sputtering followed by N2, NH3, O2, and NO post-deposition annealing (PDA) of SiO2 on 4H-SiC was investigated in this study. The results of ellipsometry, an etching test, and X-ray photoemission spectroscopy showed that N2 and NH3 PDA nitrified the SiO2. Devices using N2 and NH3 PDA exhibited a high gate leakage current and low breakdown field due to oxygen vacancies and incomplete oxynitride. SiO2/4H-SiC MOS capacitors were also fabricated and their electrical characteristics measured. The average breakdown fields of the devices using N2, NH3, O2, and NO PDA were 0.12, 0.17, 4.71 and 2.63 MV/cm, respectively. The shifts in the flat-band voltage after O2 and NO PDA were 0.95 and -2.56 V, respectively, compared with the theoretical value. The extracted effective oxide charge was -4.11 × 1011 cm-2 for O2 PDA and 1.11 × 1012 cm-2 for NO PDA. NO PDA for 2 h at 1200 °C shifted the capacitance-voltage curve in the negative direction. The oxygen containing PDA showed better electrical properties than non-oxygen PDA. The sputtering method described can be applied to 4H-SiC MOS fabrication.

  4. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  5. SiO2/TiO2/Ag multilayered microspheres: Preparation, characterization, and enhanced infrared radiation property

    NASA Astrophysics Data System (ADS)

    Ye, Xiaoyun; Cai, Shuguang; Zheng, Chan; Xiao, Xueqing; Hua, Nengbin; Huang, Yanyi

    2015-08-01

    SiO2/TiO2/Ag core-shell multilayered microspheres were successfully synthesized by the combination of anatase of TiO2 modification on the surfaces of SiO2 spheres and subsequent Ag nanoparticles deposition and Ag shell growth with face-centered cubic (fcc) Ag. The composites were characterized by TEM, FT-IR, UV-vis, Raman spectroscopy and XRD, respectively. The infrared emissivity values during 8-14 μm wavelengths of the composites were measured. The results revealed that TiO2 thin layers with the thickness of ∼10 nm were coated onto the SiO2 spheres of ∼220 nm in diameter. The thickness of the TiO2 layers was controlled by varying the amount of TBOT precursor. Homogeneous Ag nanoparticles of ∼20 nm in size were successfully deposited by ultrasound on the surfaces of SiO2/TiO2 composites, followed by complete covering of Ag shell. The infrared emissivity value of the SiO2/TiO2 composites was decreased than that of pure SiO2. Moreover, the introduction of the Ag brought the remarkably lower infrared emissivity value of the SiO2/TiO2/Ag multilayered microspheres with the lowest value down to 0.424. Strong chemical effects in the interface of SiO2/TiO2 core-shell composites and high reflection performance of the metal Ag are two decisive factors for the improved infrared radiation performance of the SiO2/TiO2/Ag multilayered microspheres.

  6. Electronic sputtering of vitreous SiO2: Experimental and modeling results

    NASA Astrophysics Data System (ADS)

    Toulemonde, M.; Assmann, W.; Trautmann, C.

    2016-07-01

    The irradiation of solids with swift heavy ions leads to pronounced surface and bulk effects controlled by the electronic energy loss of the projectiles. In contrast to the formation of ion tracks in bulk materials, the concomitant emission of atoms from the surface is much less investigated. Sputtering experiments with different ions (58Ni, 127I and 197Au) at energies around 1.2 MeV/u were performed on vitreous SiO2 (a-SiO2) in order to quantify the emission rates and compare them with data for crystalline SiO2 quartz. Stoichiometry of the sputtering process was verified by monitoring the thickness decreases of a thin SiO2 film deposited on a Si substrate. Angular distributions of the emitted atoms were measured by collecting sputtered atoms on arc-shaped Cu catcher foils. Subsequent analysis of the number of Si atoms deposited on the catcher foils was quantified by elastic recoil detection analysis providing differential as well as total sputtering yields. Compared to existing data for crystalline SiO2, the total sputtering yields for vitreous SiO2 are by a factor of about five larger. Differences in the sputtering rate and track formation characteristics between amorphous and crystalline SiO2 are discussed within the frame of the inelastic thermal spike model.

  7. Water Vapor Permeation of Metal Oxide/Polymer Coated Plastic Films

    NASA Astrophysics Data System (ADS)

    Numata, Yukihiro; Oya, Toshiyuki; Kuwahara, Mitsuru; Ito, Katsuya

    Barrier performance to water vapor permeation of ceramic coated layers deposited on flexible polymer films is of great interest to food packaging, medical device packaging and flat panel display industries. In this study, a new type film in which a ceramic layer is deposited on a polymer coated film was proposed for lower water vapor permeation. It is important how to control interfacial properties between each layer and film for good barrier performance. Several kinds of polymer coated materials were prepared for changing surface free energy of the films before and after depositing the ceramic layer. The ceramic layer, which is composed of mixed material of SiO2 and Al2O3, was adopted under the same conditions. The following results were obtained; 1) Water vapor permeation is not related to the surface energy of polymer coated films, 2) After depositing the ceramic layer, however, a strong correlation is observed between the water vapor permeation and surface free energy. 3) The phenomenon is considered that the polarity of the polymer layers plays a key role in changing the structure of ceramic coated layers.

  8. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    NASA Astrophysics Data System (ADS)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  9. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    PubMed

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Hydroxyapatite-TiO2-SiO2-Coated 316L Stainless Steel for Biomedical Application

    NASA Astrophysics Data System (ADS)

    Sidane, Djahida; Khireddine, Hafit; Bir, Fatima; Yala, Sabeha; Montagne, Alex; Chicot, Didier

    2017-07-01

    This study investigated the effectiveness of titania (TiO2) as a reinforcing phase in the hydroxyapatite (HAP) coating and silica (SiO2) single layer as a bond coat between the TiO2-reinforced hydroxyapatite (TiO2/HAP) top layer and 316L stainless steel (316L SS) substrate on the corrosion resistance and mechanical properties of the underlying 316L SS metallic implant. Single layer of SiO2 film was first deposited on 316L SS substrate and studied separately. Water contact angle measurements, X-ray photoelectron spectroscopy, and Fourier transform infrared spectrophotometer analysis were used to evaluate the hydroxyl group reactivity at the SiO2 outer surface. The microstructural and morphological results showed that the reinforcement of HAP coating with TiO2 and SiO2 reduced the crystallite size and the roughness surface. Indeed, the deposition of 50 vol pct TiO2-reinforced hydroxyapatite layer enhanced the hardness and the elastic modulus of the HAP coating, and the introduction of SiO2 inner layer on the surface of the 316L SS allowed the improvement of the bonding strength and the corrosion resistance as confirmed by scratch studies, nanoindentation, and cyclic voltammetry tests.

  11. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  12. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    NASA Astrophysics Data System (ADS)

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; Knight, Kim B.; Isselhardt, Brett H.; Matzel, Jennifer E.; Weber, Peter K.; Prussin, Stan G.; Hutcheon, Ian D.

    2017-03-01

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamic fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device (235U/238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO2 is found to be relatively invariable across the samples and interfaces (∼3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may also be

  13. Deposition of vaporized species onto glassy fallout from a near-surface nuclear test

    DOE PAGES

    Weisz, David G.; Jacobsen, Benjamin; Marks, Naomi E.; ...

    2016-10-29

    In a near-surface nuclear explosion where the resultant fireball can interact with the surface, vaporized materials from the nuclear device can be incorporated into molten soil and other carrier materials from that surface. This mixed material becomes a source of glassy fallout upon quenching and is locally deposited. Fallout formation models have been proposed; however, the specific mechanisms and physical conditions by which soil and other carrier materials interact in the fireball, as well as the subsequent incorporation of device materials with carrier materials, are not well constrained. We observe a surface deposition layer preserved at interfaces where two aerodynamicmore » fallout glasses agglomerated and fused, and characterized 11 such boundaries using spatial analyses to better understand the vaporization and condensation behavior of species in the fireball. Using nanoscale secondary ion mass spectrometry (NanoSIMS), we identify higher enrichments of uranium from the device ( 235U/ 238U ratio >7.5) in 8 of the interface layers. Major element analysis of the interfaces reveals the deposition layer to be enriched in Fe, Ca, Mg, Mn, and Na-bearing species and depleted in Ti and Al-bearing species. Most notably, the Fe and Ca-bearing species are enriched approximately 50% at the interface layer relative to the average concentrations measured within the fallout glasses, while Ti and Al-bearing species are depleted by approximately 20%. SiO 2 is found to be relatively invariable across the samples and interfaces (~3% standard deviation). The notable depletion of Al, a refractory oxide abundant in the soil, together with the enrichment of 235U and Fe, suggests an anthropogenic source of the enriched species or an unexpected vaporization/condensation behavior. The presence of both refractory (e.g., Ca and U) and volatile (e.g., Na) species approximately co-located in most of the observed layers (within 1.5 μm) suggests a continuous condensation process may

  14. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  15. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  16. Growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tokita, Koji; Okada, Fumio

    1996-12-01

    The growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition was investigated by changing wavelength, power, repetition rate, and irradiation angle of the excimer laser. When O2 was used as an oxidizing gas with 193 or 248 nm irradiation, amorphous TiO2 and crystalline PbO films were obtained in the laser-irradiated area of Si substrates from the parent metalorganic compounds, Ti(O-iC3H7)4 and (C2H5)3PbOCH2C(CH3)3, respectively. In contrast, no ZrO2 film could be formed from Zr(O-tC4H9)4. One-photon formation of TiO2 films was confirmed from laser power dependence measurements. The maximum growth rate of 0.05 Å per laser pulse was compared with that estimated by a simple surface reaction model, according to which the slow growth rate is due to the small absorption cross section of Ti(O-iC3H7)4 and mild fluence of laser irradiation. In experiments of ozone gas excitation by KrF laser, a SiO2 film was obtained by gas-phase reactions of the oxygen radical, O(1D), with Si(O-C2H5)4. The direct patterning of TiO2 and PbO films as well as the possibility of producing patterned PbTiO3 film was demonstrated. The growth of the patterned SiO2 film was prevented by gas-phase diffusion of intermediates.

  17. Modeling chemical vapor deposition of silicon dioxide in microreactors at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Konakov, S. A.; Krzhizhanovskaya, V. V.

    2015-01-01

    We developed a multiphysics mathematical model for simulation of silicon dioxide Chemical Vapor Deposition (CVD) from tetraethyl orthosilicate (TEOS) and oxygen mixture in a microreactor at atmospheric pressure. Microfluidics is a promising technology with numerous applications in chemical synthesis due to its high heat and mass transfer efficiency and well-controlled flow parameters. Experimental studies of CVD microreactor technology are slow and expensive. Analytical solution of the governing equations is impossible due to the complexity of intertwined non-linear physical and chemical processes. Computer simulation is the most effective tool for design and optimization of microreactors. Our computational fluid dynamics model employs mass, momentum and energy balance equations for a laminar transient flow of a chemically reacting gas mixture at low Reynolds number. Simulation results show the influence of microreactor configuration and process parameters on SiO2 deposition rate and uniformity. We simulated three microreactors with the central channel diameter of 5, 10, 20 micrometers, varying gas flow rate in the range of 5-100 microliters per hour and temperature in the range of 300-800 °C. For each microchannel diameter we found an optimal set of process parameters providing the best quality of deposited material. The model will be used for optimization of the microreactor configuration and technological parameters to facilitate the experimental stage of this research.

  18. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  19. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  20. Efficient drug delivery using SiO2-layered double hydroxide nanocomposites.

    PubMed

    Li, Li; Gu, Zi; Gu, Wenyi; Liu, Jian; Xu, Zhi Ping

    2016-05-15

    MgAl-layered double hydroxide (MgAl-LDH) nanoparticles have great potentials in drug and siRNA delivery. In this work, we used a nanodot-coating strategy to prepare SiO2 dot-coated layered double hydroxide (SiO2@MgAl-LDH) nanocomposites with good dispersibility and controllable size for drug delivery. The optimal SiO2@MgAl-LDH nanocomposite was obtained by adjusting synthetic parameters including the mass ratio of MgAl-LDH to SiO2, the mixing temperature and time. The optimal SiO2@MgAl-LDH nanocomposite was shown to have SiO2 nanodots (10-15nm in diameter) evenly deposited on the surface of MgAl-LDHs (110nm in diameter) with the plate-like morphology and the average hydrodynamic diameter of 170nm. We further employed SiO2@MgAl-LDH nanocomposite as a nanocarrier to deliver methotrexate (MTX), a chemotherapy drug, to the human osteosarcoma cell (U2OS) and found that MTX delivered by SiO2@MgAl-LDH nanocomposite apparently inhibited the U2OS cell growth. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. Melting and subsolidus reactions in the system K2O-CaO-Al2O3-SiO2-H2O

    NASA Astrophysics Data System (ADS)

    Johannes, Wilhelm

    1980-09-01

    Beginning of melting and subsolidus relationships in the system K2O-CaO-Al2O3-SiO2-H2O have been experimentally investigated at pressures up to 20 kbars. The equilibria discussed involve the phases anorthite, sanidine, zoisite, muscovite, quartz, kyanite, gas, and melt and two invariant points: Point [Ky] with the phases An, Or, Zo, Ms, Qz, Vapor, and Melt; point [Or] with An, Zo, Ms, Ky, Qz, Vapor, and Melt. The invariant point [Ky] at 675° C and 8.7 kbars marks the lowest solidus temperature of the system investigated. At pressures above this point the hydrated phases zoisite and muscovite are liquidus phases and the solidus temperatures increase with increasing pressure. At 20 kbars beginning of melting occurs at 740 °C. The solidus temperatures of the quinary system K2O-CaO-Al2O3-SiO2-H2O are almost 60° C (at 20 kbars) and 170° C (at 2kbars) below those of the limiting quaternary system CaO-Al2O3-SiO2-H2O. The maximum water pressure at which anorthite is stable is lowered from 14 to 8.7 kbars in the presence of sanidine. The stability limits of anorthite+ vapor and anorthite+sanidine+vapor at temperatures below 700° C are almost parallel and do not intersect. In the wide temperature — pressure range at pressures above the reaction An+Or+Vapor = Zo+Ms+Qz and temperatures below the melting curve of Zo+Ms+Ky+Qz+Vapor, the feldspar assemblage anorthite+sanidine is replaced by the hydrated phases zoisite and muscovite plus quartz. CaO-Al2O3-SiO2-H2O. Knowledge of the melting relationships involving the minerals zoisite and muscovite contributes to our understanding of the melting processes occuring in the deeper parts of the crust. Beginning of melting in granites and granodiorites depends on the composition of plagioclase. The solidus temperatures of all granites and granodiorites containing plagioclases of intermediate composition are higher than those of the Ca-free alkali feldspar granite system and below those of the Na-free system discussed in this

  2. Why Chemical Vapor Deposition Grown MoS2 Samples Outperform Physical Vapor Deposition Samples: Time-Domain ab Initio Analysis.

    PubMed

    Li, Linqiu; Long, Run; Prezhdo, Oleg V

    2018-06-13

    Two-dimensional transition metal dichalcogenides (TMDs) have drawn strong attention due to their unique properties and diverse applications. However, TMD performance depends strongly on material quality and defect morphology. Experiments show that samples grown by chemical vapor deposition (CVD) outperform those obtained by physical vapor deposition (PVD). Experiments also show that CVD samples exhibit vacancy defects, while antisite defects are frequently observed in PVD samples. Our time-domain ab initio study demonstrates that both antisites and vacancies accelerate trapping and nonradiative recombination of charge carriers, but antisites are much more detrimental than vacancies. Antisites create deep traps for both electrons and holes, reducing energy gaps for recombination, while vacancies trap primarily holes. Antisites also perturb band-edge states, creating significant overlap with the trap states. In comparison, vacancy defects overlap much less with the band-edge states. Finally, antisites can create pairs of electron and hole traps close to the Fermi energy, allowing trapping by thermal activation from the ground state and strongly contributing to charge scattering. As a result, antisites accelerate charge recombination by more than a factor of 8, while vacancies enhance the recombination by less than a factor of 2. Our simulations demonstrate a general principle that missing atoms are significantly more benign than misplaced atoms, such as antisites and adatoms. The study rationalizes the existing experimental data, provides theoretical insights into the diverse behavior of different classes of defects, and generates guidelines for defect engineering to achieve high-performance electronic, optoelectronic, and solar-cell devices.

  3. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition

    PubMed Central

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Abstract Nanocomposite SiOx particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiOx matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH4 promotes reduction in the oxygen content x of SiOx, and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD. PMID:27933114

  4. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  5. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical... vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...peer-reviewed journals: Number of Papers published in non peer-reviewed journals: Final Report: Hybrid Physical Vapor Deposition Instrument for Advanced

  6. Self-organization of SiO{sub 2} nanodots deposited by chemical vapor deposition using an atmospheric pressure remote microplasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Arnoult, G.; Belmonte, T.; Henrion, G.

    Self-organization of SiO{sub 2} nanodots is obtained by chemical vapor deposition out of hexamethyldisiloxane (HMDSO) and atmospheric pressure remote Ar-O{sub 2} plasma operating at high temperature (1200-1600 K). The dewetting of the film being deposited when it is still thin enough (<500 nm) is found to be partly responsible for this self-organization. When the coating becomes thicker (approx1 mum), and for relatively high contents in HMDSO, SiO{sub 2} walls forming hexagonal cells are obtained on a SiO{sub 2} sublayer. For thicker coatings (>1 mum), droplet-shaped coatings with a Gaussian distribution in thickness over their width are deposited. The coatings aremore » submitted to high compressive stress. When it is relaxed, 'nestlike structures' made of nanoribbons are synthesized.« less

  7. Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor

    NASA Astrophysics Data System (ADS)

    Didden, Arjen; Hillebrand, Philipp; Wollgarten, Markus; Dam, Bernard; van de Krol, Roel

    2016-02-01

    Conductive TiN shells have been deposited on SiO2 nanoparticles (10-20 nm primary particle size) with fluidized bed atomic layer deposition using TDMAT and NH3 as precursors. Analysis of the powders confirms that shell growth saturates at approximately 0.4 nm/cycle at TDMAT doses of >1.2 mmol/g of powder. TEM and XPS analysis showed that all particles were coated with homogeneous shells containing titanium. Due to the large specific surface area of the nanoparticles, the TiN shells rapidly oxidize upon exposure to air. Electrical measurements show that the partially oxidized shells are conducting, with apparent resistivity of approximately 11 kΩ cm. The resistivity of the powders is strongly influenced by the NH3 dose, with a smaller dose giving an order-of-magnitude higher resistivity.

  8. SiC and Si3N4 Recession Due to SiO2 Scale Volatility Under Combustor Conditions

    NASA Technical Reports Server (NTRS)

    Smialek, James L.; Robinson, Raymond C.; Opila, Elizabeth J.; Fox, Dennis S.; Jacobson, Nathan S.

    1999-01-01

    Silicon carbide (SiC) and Si3N4 materials were tested in various turbine engine combustion environments chosen to represent either conventional fuel-lean or fuel-rich mixtures proposed for high-speed aircraft. Representative chemical vapor-deposited (CVD), sintered, and composite materials were evaluated by furnace and high-pressure burner rig exposures. Although protective SiO2 scales formed in all cases, the evidence presented supports a model based on paralinear growth kinetics (i.e., parabolic growth moderated simultaneously by linear volatilization). The volatility rate is dependent on temperature, moisture content, system pressure, and gas velocity. The burner tests were thus used to map SiO2 volatility (and SiC recession) over a range of temperatures, pressures, and velocities. The functional dependency of material recession (volatility) that emerged followed the form A[exp(-Q / RT)](P(sup x)v(sup y). These empirical relations were compared with rates predicted from the thermodynamics of volatile SiO and SiOxHy reaction products and a kinetic model of diffusion through a moving boundary layer. For typical combustion conditions, recession of 0.2 to 2 micrometers/hr is predicted at 1200 to 1400 C, far in excess of acceptable long-term limits.

  9. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  10. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  11. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  12. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  13. Graphitized hollow carbon spheres and yolk-structured carbon spheres fabricated by metal-catalyst-free chemical vapor deposition

    DOE PAGES

    Li, Xufan; Chi, Miaofang; Mahurin, Shannon Mark; ...

    2016-01-18

    Hard-sphere-templating method has been widely used to synthesize hollow carbon spheres (HCSs), in which the spheres were firstly coated with a carbon precursor, followed by carbonization and core removal. The obtained HCSs are generally amorphous or weakly graphitized (with the help of graphitization catalysts). In this work, we report on the fabrication of graphitized HCSs and yolk–shell Au@HCS nanostructures using a modified templating method, in which smooth, uniform graphene layers were grown on SiO 2 spheres or Au@SiO 2 nanoparticles via metal-catalyst-free chemical vapor deposition (CVD) of methane. Furthermore, our work not only provides a new method to fabricate high-quality,more » graphitized HCSs but also demonstrates a reliable approach to grow quality graphene on oxide surfaces using CVD without the presence of metal catalysts.« less

  14. Chemical vapor deposition of Ta{sub 2}O{sub 5} corrosion resistant coatings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Graham, D.W.; Stinton, D.P.

    1992-12-31

    Silicon carbide and silicon nitride heat engine components are susceptible to hot corrosion by molten Na{sub 2}SO{sub 4} which forms from impurities present in fuel and the environment. Chemically vapor deposited Ta{sub 2}O{sub 5} coatings are being developed as a means to protect components from reaction with these salts and preserve their structural properties. Investigations to optimize the structure of the coating have revealed that the deposition conditions dramatically affect the coating morphology. Coatings deposited at high temperatures are typically columnar in structure; high concentrations of the reactant gases produce oxide powders on the substrate surface. Ta{sub 2}O{sub 5} depositedmore » at low temperatures consists of grains that are finer and have significantly less porosity than that formed at high temperatures. Samples of coatings which have been produced by CVD have successfully completed preliminary testing for resistance to corrosion by Na{sub 2}SO{sub 4}.« less

  15. Chemical Vapor Deposition of Turbine Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Haven, Victor E.

    1999-01-01

    Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.

  16. Chemical-Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Cagliostro, D. E.; Riccitiello, S. R.; Ren, J.; Zaghi, F.

    1993-01-01

    Report describes experiments in chemical-vapor deposition of silicon carbide by pyrolysis of dimethyldichlorosilane in hydrogen and argon carrier gases. Directed toward understanding chemical-kinetic and mass-transport phenomena affecting infiltration of reactants into, and deposition of SiC upon, fabrics. Part of continuing effort to develop method of efficient and more nearly uniform deposition of silicon carbide matrix throughout fabric piles to make improved fabric/SiC-matrix composite materials.

  17. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, Richard L.; Casey, Alton W.

    1982-01-01

    A laminated composite and a method for forming the composite by chemical vapor deposition. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200.degree. C.; and impinging a gas containing in a flowing atmosphere of air N.sub.2, SiCl.sub.4, and AlCl.sub.3 on the surface.

  18. Chemical vapor deposition of sialon

    DOEpatents

    Landingham, R.L.; Casey, A.W.

    A laminated composite and a method for forming the composite by chemical vapor deposition are described. The composite includes a layer of sialon and a material to which the layer is bonded. The method includes the steps of exposing a surface of the material to an ammonia containing atmosphere; heating the surface to at least about 1200/sup 0/C; and impinging a gas containing N/sub 2/, SiCl/sub 4/, and AlCl/sub 3/ on the surface.

  19. Microstructure and Transparent Super-Hydrophobic Performance of Vacuum Cold-Sprayed Al2O3 and SiO2 Aerogel Composite Coating

    NASA Astrophysics Data System (ADS)

    Li, Jie; Zhang, Yu; Ma, Kai; Pan, Xi-De; Li, Cheng-Xin; Yang, Guan-Jun; Li, Chang-Jiu

    2018-02-01

    In this study, vacuum cold spraying was used as a simple and fast way to prepare transparent super-hydrophobic coatings. Submicrometer-sized Al2O3 powder modified by 1,1,2,2-tetrahydroperfluorodecyltriethoxysilane and mixed with hydrophobic SiO2 aerogel was employed for the coating deposition. The deposition mechanisms of pure Al2O3 powder and Al2O3-SiO2 mixed powder were examined, and the effects of powder structure on the hydrophobicity and light transmittance of the coatings were evaluated. The results showed that appropriate contents of SiO2 aerogel in the mixed powder could provide sufficient cushioning to the deposition of submicrometer Al2O3 powder during spraying. The prepared composite coating surface showed rough structures with a large number of submicrometer convex deposited particles, characterized by being super-hydrophobic. Also, the transmittance of the obtained coating was higher than 80% in the range of visible light.

  20. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  1. Formation of Si grains from a NaSi melt prepared by reaction of SiO2 and Na

    NASA Astrophysics Data System (ADS)

    Yamane, Hisanori; Morito, Haruhiko; Uchikoshi, Masahito

    2013-08-01

    A mixture of Na2SiO3 and NaSi was found to be formed by reaction of SiO2 and Na at 650 °C as follows: 5Na+3SiO22Na2SiO3+NaSi. Single crystals of NaSi were grown by cooling the mixture of Na2SiO3 and NaSi with an excess amount of Na from 850 °C, and polycrystalline Si was obtained by vaporization of Na from the crystals. Coarse grains of Si were also crystallized by Na evaporation after the formation of Na2SiO3 and Si-dissolved liquid Na at 830 °C. The Si grains were collected by washing the product with water. The yield of the Si grains was 85% of the ideal amount expected from the reaction.

  2. Diffusion reaction of oxygen in HfO2/SiO2/Si stacks.

    PubMed

    Ferrari, S; Fanciulli, M

    2006-08-03

    We study the oxidation mechanism of silicon in the presence of a thin HfO2 layer. We performed a set of annealing in 18O2 atmosphere on HfO2/SiO2/Si stacks observing the 18O distribution in the SiO2 layer with time-of-flight secondary ion mass spectrometry (ToF-SIMS). The 18O distribution in HfO2/SiO2/Si stacks upon 18O2 annealing suggests that what is responsible for SiO2 growth is the molecular O2, whereas no contribution is found of the atomic oxygen to the oxidation. By studying the dependence of the oxidation velocity from oxygen partial pressure and annealing temperature, we demonstrate that the rate-determining step of the oxidation is the oxygen exchange at the HfO2/SiO2 interface. When moisture is chemisorbed in HfO2 films, the oxidation of the underlying silicon substrate becomes extremely fast and its kinetics can be described as a wet silicon oxidation process. The silicon oxidation during O2 annealing of the atomic layer deposited HfO2/Si is fast in its early stage due to chemisorbed moisture and becomes slow after the first 10 s.

  3. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  4. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  5. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico; Cabinian, Brian C.; Zhao, Hongwei; Oakley, Douglas C.; Bowers, John E.; Klamkin, Jonathan

    2018-02-01

    We employ a simple two-step growth technique to grow large-area 1550-nm laser structures by direct hetero-epitaxy of III-V compounds on patterned exact-oriented (001) silicon (Si) substrates by metal organic chemical vapor deposition. Densely-packed, highly uniform, flat and millimeter-long indium phosphide (InP) nanowires were grown from Si v-grooves separated by silicon dioxide (SiO2) stripes with various widths and pitches. Following removal of the SiO2 patterns, the InP nanowires were coalesced and, subsequently, 1550-nm laser structures were grown in a single overgrowth without performing any polishing for planarization. X-ray diffraction, photoluminescence, atomic force microscopy and transmission electron microscopy analyses were used to characterize the epitaxial material. PIN diodes were fabricated and diode-rectifying behavior was observed.

  6. An ab initio investigation of Bi2Se3 topological insulator deposited on amorphous SiO2.

    PubMed

    de Oliveira, I S S; Scopel, W L; Miwa, R H

    2017-02-01

    We use first-principles simulations to investigate the topological properties of Bi 2 Se 3 thin films deposited on amorphous SiO 2 , Bi 2 Se 3 /a-SiO 2 , which is a promising substrate for topological insulator (TI) based device applications. The Bi 2 Se 3 films are bonded to a-SiO 2 mediated by van der Waals interactions. Upon interaction with the substrate, the Bi 2 Se 3 topological surface and interface states remain present, however the degeneracy between the Dirac-like cones is broken. The energy separation between the two Dirac-like cones increases with the number of Bi 2 Se 3 quintuple layers (QLs) deposited on the substrate. Such a degeneracy breaking is caused by (i) charge transfer from the TI to the substrate and charge redistribution along the Bi 2 Se 3 QLs, and (ii) by deformation of the QL in contact with the a-SiO 2 substrate. We also investigate the role played by oxygen vacancies ([Formula: see text]) on the a-SiO 2 , which increases the energy splitting between the two Dirac-like cones. Finally, by mapping the electronic structure of Bi 2 Se 3 /a-SiO 2 , we found that the a-SiO 2 surface states, even upon the presence of [Formula: see text], play a minor role on gating the electronic transport properties of Bi 2 Se 3 .

  7. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  8. Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond

    DTIC Science & Technology

    1992-07-10

    Moire-Fringe Images of Twin Boundaries in Chemical Vapor Deposited Diamond IJ PERSONAL AUITHOR(S) - D. Shechtman. A. Fldman, M.D. Vaudin, and J.L...micrographs of chemical vapor deposited diamond can be interprete as Moire fringes that occur when viewing twin boundaries that are inclined to the electron...Dist J Special TECHNICAL REPORT No. 14 eca MOIRE-FRINGE IMAGES OF TWIN BOUNDARIES IN CHEMICAL VAPOR DEPOSITED DIAMOND D. Shechtman, A. Feldman, M.D

  9. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  10. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  11. Enhanced luminous transmittance of thermochromic VO2 thin film patterned by SiO2 nanospheres

    NASA Astrophysics Data System (ADS)

    Zhou, Liwei; Liang, Jiran; Hu, Ming; Li, Peng; Song, Xiaolong; Zhao, Yirui; Qiang, Xiaoyong

    2017-05-01

    In this study, an ordered SiO2 nanosphere array coated with vanadium dioxide (VO2) has been fabricated to enhance transmittance with the potential application as an energy-efficient coating in the field of smart windows. SiO2 arrays were formed using the methods of self-assembly, and VO2 thin films were prepared by rapid thermal annealing (RTA) of sputtered vanadium films. VO2@SiO2 arrays were characterized by scanning electron microscopy, X-ray diffraction, a four-point probe, and UV-vis-NIR spectrophotometry. Compared with the planar films, the films deposited on 300 nm diameter SiO2 nanospheres can offer approximately 18% enhancement of luminous transmission (Tlum) because the diameter is smaller than the given wavelength and the protuberance of the surface array behaves as a gradation of refractive index producing antireflection. The solar regulation efficiency was not much deteriorated.

  12. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  13. Chemical Vapor Deposited SiC (SCS-0) Fiber-Reinforced Strontium Aluminosilicate Glass-Ceramic Composites

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.

    1997-01-01

    Unidirectional SrO Al2O3 2SiO2 glass-ceramic matrix composites reinforced with uncoated Chemical Vapor Deposited (CVD) SiC (SCS-0) fibers have been fabricated by hot-pressing under appropriate conditions using the glass-ceramic approach. Almost fully dense composites having a fiber volume fraction of 0.24 have been obtained. Monoclinic celsian, SrAl2Si2O8, was the only crystalline phase observed in the matrix by x-ray diffraction. No chemical reaction was observed between the fiber and the matrix after high temperature processing. In three-point flexure, the composite exhibited a first matrix cracking stress of approx. 231 +/- 20 MPa and an ultimate strength of 265 +/- 17 MPa. Examination of fracture surfaces revealed limited short length fiber pull-out. From fiber push-out, the fiber/matrix interfacial debonding and frictional strengths were evaluated to be approx. 17.5 +/- 2.7 MPa and 11.3 +/- 1.6 MPa, respectively. Some fibers were strongly bonded to the matrix and could not be pushed out. The micromechanical models were not useful in predicting values of the first matrix cracking stress as well as the ultimate strength of the composites.

  14. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  15. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  16. Chemical vapor deposition of mullite coatings

    DOEpatents

    Sarin, Vinod; Mulpuri, Rao

    1998-01-01

    This invention is directed to the creation of crystalline mullite coatings having uniform microstructure by chemical vapor deposition (CVD). The process comprises the steps of establishing a flow of reactants which will yield mullite in a CVD reactor, and depositing a crystalline coating from the reactant flow. The process will yield crystalline coatings which are dense and of uniform thickness.

  17. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  18. Deposition of naphthalene and tetradecane vapors in models of the human respiratory system.

    PubMed

    Zhang, Zhe; Kleinstreuer, Clement

    2011-01-01

    Jet-propulsion fuel (particularly JP-8) is currently being used worldwide, exposing especially Air Force personnel and people living near airfields to JP-8 vapors and aerosols during aircraft fueling, maintenance operations, and/or cold starts. JP-8 is a complex mixture containing >200, mostly toxic, aliphatic and aromatic hydrocarbon compounds of which tetradecane and naphthalene were chosen as two representative chemical markers for computer simulations. Thus, transport and deposition of naphthalene and tetradecane vapors have been simulated in models of the human respiratory system. The inspiratory deposition data were analyzed in terms of regional deposition fractions (DFs) and deposition enhancement factors (DEF). The vapor depositions are affected by vapor properties (e.g. diffusivity), airway geometric features, breathing patterns, inspiratory flow rates, as well as airway-wall absorption parameter. Specifically, the respiratory uptake of vapors is greatly influenced by the degree of airway-wall absorption. For example, being an almost insoluble species in the mucus layer, the deposition of tetradecane vapor is nearly zero in the extrathoracic and tracheobronchial (TB) airways, that is, the DF is <1%. The remaining vapors may penetrate further and deposit in the alveolar airways. The DF of tetradecane vapors during inhalation in the alveolar region can range from 7% to 24%, depending on breathing waveform, inhalation rate, and thickness of the mucus layer. In contrast, naphthalene vapor almost completely deposits in the extrathoracic and TB airways and hardly moves downstream and deposits in the respiratory zone. The DFs of naphthalene vapor in the extrathoracic airways from nasal/oral to trachea under normal breathing conditions (Q = 15-60 L/min) are about 12-34%, although they are about 66-87% in the TB airways. In addition, the variation of breathing routes (say, from nasal breathing to oral breathing) may influence the vapor deposition in the

  19. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  1. Synthesis of Radioisotope Mn-56@SiO2, Sm-153@SiO2, and Dy-165@SiO2 Hybrid Nanoparticles for Use as Radiotracer.

    PubMed

    Seo, Sang-Ei; Kang, Yun Ok; Jung, Sung-Hee; Choi, Seong-Ho

    2015-09-01

    Radioisotope hybrid nanoparticles (NPs) of Mn-56@SiO2, Sm-153@SiO2, and Dy-165@SiO2 were synthesized by neutron irradiation of Mn-55@SiO2, Sm-150@SiO2, and Dy-163@SiO2 NPs respectively using the HANARO research reactor. The Mn-55@SiO2, Sm-150@SiO2, and Dy-163@SiO2 NPs were synthesized by calcination in air flow at 500 degrees C for 8 h of the hybrid NPs that has been prepared by the sol-gel reaction of tetraethyl silicate in the presence of the complex precursors. Mn-55, Sm-150, and Dy-163 were selected for use as radiotracers were selected because these elements can be easily gamma-activated by neutrons (activation limits: 1 picogram (Dy), 1-10 picogram (Mn), 10-100 picogram (Sm)). The successful synthesis of the radioisotope hybrid NPs was confirmed by Transmission Electron Microscopy (TEM), Energy Dispersive X-ray Spectrometry (EDS), Scanning Electron Microscopy (SEM), and Gamma Spectroscopy analysis. The synthesized the radioisotope hybrid NPs could be used as radiotracers in the scientific, environmental, engineering, and industrial fields.

  2. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  3. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  4. Structural Evaluation of 5,5'-Bis(naphth-2-yl)-2,2'-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric.

    PubMed

    Lauritzen, Andreas E; Torkkeli, Mika; Bikondoa, Oier; Linnet, Jes; Tavares, Luciana; Kjelstrup-Hansen, Jakob; Knaapila, Matti

    2018-05-25

    We report on the structure and morphology of 5,5'-bis(naphth-2-yl)-2,2'-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO 2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO 2 (corresponding to higher surface energy, 47 mJ/m 2 ) or on OTS deposited on SiO 2 under (2) anhydrous or (3) humid conditions (corresponding to lower surface energies, 20-25 mJ/m 2 ). NaT2 films grown on pristine SiO 2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO 2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time, the grain size measured by AFM increases from hundreds of nanometers to micrometers and the crystal size measured by GIXRD from 30 nm to more than 100 nm. NaT2 on OTS/SiO 2 deposited under humid conditions also promotes staggered pyramids but with smaller crystals 30-80 nm. The NaT2 unit cell parameters in OFETs differ 1-2% from those in bulk. Carrier mobilities tend to be higher for NaT2 layers on SiO 2 (2-3 × 10 -4 cm 2 /(V s)) compared to NaT2 on OTS (2 × 10 -5 -1 × 10 -4 cm 2 /(V s)). An applied voltage does not influence the unit cell parameters when probed by GIXRD in operando.

  5. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  6. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS2 grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaplan, D.; Mills, K.; Lee, J.; Torrel, S.; Swaminathan, V.

    2016-06-01

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS2 grown by chemical vapor deposition (CVD) on SiO2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150-250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS2 monolayers can be leveraged for various device applications.

  7. Substrate temperature controls molecular orientation in two-component vapor-deposited glasses

    DOE PAGES

    Jiang, J.; Walters, D. M.; Zhou, D.; ...

    2016-02-22

    Vapor-deposited glasses can be anisotropic and molecular orientation is important for organic electronics applications. In organic light emitting diodes (OLEDs), for example, the orientation of dye molecules in two-component emitting layers significantly influences emission efficiency. Here we investigate how substrate temperature during vapor deposition influences the orientation of dye molecules in a model two-component system. We determine the average orientation of a linear blue light emitter 1,4-di-[4-( N,N-diphenyl)amino]styrylbenzene (DSA-Ph) in mixtures with aluminum-tris(8-hydroxyquinoline) (Alq 3) by spectroscopic ellipsometry and IR dichroism. We find that molecular orientation is controlled by the ratio of the substrate temperature during deposition and the glassmore » transition temperature of the mixture. Furthermore, these findings extend recent results for single component vapor-deposited glasses and suggest that, during vapor deposition, surface mobility allows partial equilibration towards orientations preferred at the free surface of the equilibrium liquid.« less

  8. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  9. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, Ahmet

    1989-01-01

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula (I) ##STR1## where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula I is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula I and a heat decomposable tellurium compound under nonoxidizing conditions.

  10. Chemical vapor deposition of group IIIB metals

    DOEpatents

    Erbil, A.

    1989-11-21

    Coatings of Group IIIB metals and compounds thereof are formed by chemical vapor deposition, in which a heat decomposable organometallic compound of the formula given in the patent where M is a Group IIIB metal, such as lanthanum or yttrium and R is a lower alkyl or alkenyl radical containing from 2 to about 6 carbon atoms, with a heated substrate which is above the decomposition temperature of the organometallic compound. The pure metal is obtained when the compound of the formula 1 is the sole heat decomposable compound present and deposition is carried out under nonoxidizing conditions. Intermetallic compounds such as lanthanum telluride can be deposited from a lanthanum compound of formula 1 and a heat decomposable tellurium compound under nonoxidizing conditions.

  11. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  12. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  13. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    PubMed

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  14. Analysis of channel confined selective area growth in evolutionary growth of GaN on SiO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leung, Benjamin; Tsai, Miao-Chan; Song, Jie

    2015-09-01

    Here, we analyze the chemical vapor deposition of semiconductor crystals by selective area growth in a non-planar geometry. Specifically, the growth process in laterally and vertically confined masks forming single-crystal GaN on SiO2 by metal-organic chemical vapor deposition is considered in detail. A textured AlN seed is used to initiate growth of oriented GaN selectively through the mask, allowing the reduction of degrees of freedom by the evolutionary grain selection process. As shown by measurements of growth rates within the mask, the sub micron length scale of the channel opening is comparable to the mean free path of precursors inmore » the gas phase, resulting in transport characteristics that can be described by an intermediate flow regime between continuum and free-molecular. Mass transport is modeled through kinetic theory to explain the growth rate enhancements of more than a factor of two by changes in reactor pressure. The growth conditions that enable the modification of nucleation density within the channel are then discussed, and are measured by electron-back scatter diffraction of the nucleated grains on the AlN seed. Finally, the selectivity behavior using the low fill factor masks needed in these configurations has been optimized by control of precursor flow rates and the H2 enhanced etching of the polycrystalline GaN nuclei.« less

  15. Seed-mediated photodeposition route to Ag-decorated SiO2@TiO2 microspheres with ideal core-shell structure and enhanced photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Ma, Jianqi; Guo, Xiaohua; Ge, Hongguang; Tian, Guanghui; Zhang, Qiang

    2018-03-01

    Ag-decorated SiO2@TiO2 microspheres (SiO2@TiO2-Ag) with ideal core-shell structure and enhanced photocatalytic activity were successfully fabricated by combining both coating anatase TiO2 on the surface of SiO2 spheres and subsequent depositing face-centered cubic Ag nanoparticles (NPs) on the coated TiO2 surface via novel sol-gel method and Ag-seed-mediated photodeposition (PD) route, respectively. The morphology, structure, composition and optical properties of the resulting composites were characterized in detail. The results reveal that the monodisperse SiO2 spheres of ∼260 nm were covered uniformly and perfectly by the TiO2 nanoparticle coating layer with the thickness of ca. 55 nm by the novel sol-gel method. Further, homogeneously and highly dispersed Ag NPs with an average size of 8 ± 1.5 nm were strongly anchored onto the TiO2 surface in SiO2@TiO2 core-shell spheres by the modified PD process (Ag-seed-mediated PD route), whereas polydispersed Ag aggregates and detached Ag NPs were irregularly deposited over the TiO2 surface in previous works, which is the inherent problem and has not been effectively solved for depositing noble metal NPs such as Au, Ag, Pt, Pd on TiO2 surface by conventional PD method. The formation mechanism of small and uniformly dispersed Ag NPs with narrow size distribution via the modified PD method is tentatively explained by both nucleation kinetics and growth kinetics. The key reason is that the pre-deposited seeds firmly tethered on SiO2@TiO2 spheres served as nucleation sites and anchoring points for the further nucleation and subsequent growth of Ag via photoreduction of Ag+.

  16. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  17. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  18. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  19. Enhanced Performance of Gate-First p-Channel Metal-Insulator-Semiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    NASA Astrophysics Data System (ADS)

    Kitano, Naomu; Horie, Shinya; Arimura, Hiroaki; Kawahara, Takaaki; Sakashita, Shinsuke; Nishida, Yukio; Yugami, Jiro; Minami, Takashi; Kosuda, Motomu; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-12-01

    We demonstrated the use of an in situ metal/high-k fabrication method for improving the performance of metal-insulator-semiconductor field-effect transistors (MISFETs). Gate-first pMISFETs with polycrystalline silicon (poly-Si)/TiN/HfSiON stacks were fabricated by techniques based on low-damage physical vapor deposition, in which high-quality HfSiON dielectrics were formed by the interface reaction between an ultrathin metal-Hf layer (0.5 nm thick) and a SiO2 underlayer, and TiN electrodes were continuously deposited on the gate dielectrics without exposure to air. Gate-first pMISFETs with high carrier mobility and a low threshold voltage (Vth) were realized by reducing the carbon impurity in the gate stacks and improving the Vth stability against thermal treatment. As a result, we obtained superior current drivability (Ion = 350 μA/μm at Ioff = 200 pA/μm), which corresponds to a 13% improvement over that of conventional chemical vapor deposition-based metal/high-k devices.

  20. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  1. Synthesis and catalytic performance of SiO2@Ni and hollow Ni microspheres

    NASA Astrophysics Data System (ADS)

    Liu, Xin; Liu, Yanhua; Shi, Xueting; Yu, Zhengyang; Feng, Libang

    2016-11-01

    Nickel (Ni) catalyst has been widely used in catalytic reducing reactions such as catalytic hydrogenation of organic compounds and catalytic reduction of organic dyes. However, the catalytic efficiency of pure Ni is low. In order to improve the catalytic performance, Ni nanoparticle-loaded microspheres can be developed. In this study, we have prepared Ni nanoparticle-loaded microspheres (SiO2@Ni) and hollow Ni microspheres using two-step method. SiO2@Ni microspheres with raspberry-like morphology and core-shell structure are synthesized successfully using SiO2 microsphere as a template and Ni2+ ions are adsorbed onto SiO2 surfaces via electrostatic interaction and then reduced and deposited on surfaces of SiO2 microspheres. Next, the SiO2 cores are removed by NaOH etching and the hollow Ni microspheres are prepared. The NaOH etching time does no have much influence on the crystal structure, shape, and surface morphology of SiO2@Ni; however, it can change the phase composition evidently. The hollow Ni microspheres are obtained when the NaOH etching time reaches 10 h and above. The as-synthesized SiO2@Ni microspheres exhibit much higher catalytic performance than the hollow Ni microspheres and pure Ni nanoparticles in the catalytic reduction of methylene blue. Meanwhile, the SiO2@Ni catalyst has high stability and hence it can be recycled for reuse.

  2. Dewetting process of Au films on SiO2 nanowires: Activation energy evaluation

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Grimaldi, M. G.

    2015-05-01

    SiO2 nanowires gain scientific and technological interest in application fields ranging from nano-electronics, optics and photonics to bio-sensing. Furthermore, the SiO2 nanowires chemical and physical properties, and so their performances in devices, can be enhanced if decorated by metal nanoparticles (such Au) due to local plasmonic effects. In the present paper, we propose a simple, low-cost and high-throughput three-steps methodology for the mass-production of Au nanoparticles coated SiO2 nanowires. It is based on (1) production of the SiO2 nanowires on Si surface by solid state reaction of an Au film with the Si substrate at high temperature; (2) sputtering deposition of Au on the SiO2 nanowires to obtain the nanowires coated by an Au film; and (3) furnace annealing processes to induce the Au film dewetting on the SiO2 nanowires surface. Using scanning electron microscopy analyses, we followed the change of the Au nanoparticles mean versus the annealing time extracting values for the characteristic activation energy of the dewetting process of the Au film on the SiO2 nanowires surface. Such a study can allow the tuning of the nanowires/nanoparticles sizes for desired technological applications.

  3. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  4. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  5. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  6. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  7. The preparation and photocatalytic activity of CdS/(Cal-Ta2O5-SiO2) composite photocatalyst under visible light

    NASA Astrophysics Data System (ADS)

    Li, Juxia

    2018-02-01

    CdS/(Cal-Ta2O5-SiO2) composite photocatalyst has been successfully fabricated via wet chemistry method. Ta2O5-SiO2 with multi-step Ta2O5 deposition on SiO2 has more Ta2O5 on SiO2 to ensure the active sites. Trough multi-step calcination, Ta2O5 can load on SiO2 with uniform and stable, which make it have high photocatalytic activity. The obtained samples were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), diffuse reflectance ultraviolet-visible spectroscopy (UV-vis) and photoluminescence spectroscopy (PL). Without any co-catalysts, the as-prepared CdS/(Cal-Ta2O5-SiO2) exhibited remarkable photocatalytic activity and recyclability both in the degradation of rhodamine B and in the hydrogen production from water splitting under visible light.

  8. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  9. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    NASA Astrophysics Data System (ADS)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  10. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad, E-mail: vlad@gwu.edu

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10{sup 5} K s{sup −1} follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysismore » of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed.« less

  11. A new approach of the synthesis of SiO 2 nanowires by using bulk copper foils as catalyst

    DOE PAGES

    Gomez-Martinez, A.; Márquez, F.; Morant, C.

    2016-06-22

    In this paper, a novel procedure for the growth of SiO 2 nanowires (SiO 2NWs) directly from polycrystalline copper foils is reported. The single-step synthesis procedure consists of a thermal treatment at 900°C without the need for additional catalysts. As a result, nanowires with an average diameter of 100 nm are synthesized. A systematic study undertaken at different stages of the SiO 2NWs growth confirmed the generation of nucleation centers on the Cu surface, as well as revealed the existence of an intermediate gaseous SiO species at the synthesis temperature. Lastly, on the basis of these evidences, the vapor-liquid-solid (VLS)more » route has been proposed as the mechanism responsible for the growth.« less

  12. PMMA-Etching-Free Transfer of Wafer-scale Chemical Vapor Deposition Two-dimensional Atomic Crystal by a Water Soluble Polyvinyl Alcohol Polymer Method

    PubMed Central

    Van Ngoc, Huynh; Qian, Yongteng; Han, Suk Kil; Kang, Dae Joon

    2016-01-01

    We have explored a facile technique to transfer large area 2-Dimensional (2D) materials grown by chemical vapor deposition method onto various substrates by adding a water-soluble Polyvinyl Alcohol (PVA) layer between the polymethyl-methacrylate (PMMA) and the 2D material film. This technique not only allows the effective transfer to an arbitrary target substrate with a high degree of freedom, but also avoids PMMA etching thereby maintaining the high quality of the transferred 2D materials with minimum contamination. We applied this method to transfer various 2D materials grown on different rigid substrates of general interest, such as graphene on copper foil, h-BN on platinum and MoS2 on SiO2/Si. This facile transfer technique has great potential for future research towards the application of 2D materials in high performance optical, mechanical and electronic devices. PMID:27616038

  13. Liquid-vapor phase relations in the Si-O system: A calorically constrained van der Waals-type model

    NASA Astrophysics Data System (ADS)

    Connolly, James A. D.

    2016-09-01

    This work explores the use of several van der Waals (vW)-type equations of state (EoS) for predicting vaporous phase relations and speciation in the Si-O system, with emphasis on the azeotropic boiling curve of SiO2-rich liquid. Comparison with the observed Rb and Hg boiling curves demonstrates that prediction accuracy is improved if the a-parameter of the EoS, which characterizes vW forces, is constrained by ambient pressure heat capacities. All EoS considered accurately reproduce metal boiling curve trajectories, but absent knowledge of the true critical compressibility factor, critical temperatures remain uncertain by ~500 K. The EoS plausibly represent the termination of the azeotropic boiling curve of silica-rich liquid by a critical point across which the dominant Si oxidation state changes abruptly from the tetravalent state characteristic of the liquid to the divalent state characteristic of the vapor. The azeotropic composition diverges from silica toward metal-rich compositions with increasing temperature. Consequently, silica boiling is divariant and atmospheric loss after a giant impact would enrich residual silicate liquids in reduced silicon. Two major sources of uncertainty in the boiling curve prediction are the heat capacity of silica liquid, which may decay during depolymerization from the near-Dulong-Petit limit heat capacity of the ionic liquid to value characteristic of the molecular liquid, and the unknown liquid affinity of silicon monoxide. Extremal scenarios for these uncertainties yield critical temperatures and compositions of 5200-6200 K and Si1.1O2-Si1.4O2. The lowest critical temperatures are marginally consistent with shock experiments and are therefore considered more probable.

  14. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  15. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  16. ZrO2 film interfaces with Si and SiO2

    NASA Astrophysics Data System (ADS)

    Lopez, C. M.; Suvorova, N. A.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2005-08-01

    The interface formed by the thermal oxidation of sputter-deposited Zr metal onto Si(100)- and SiO2-coated Si(100) wafers was studied in situ and in real time using spectroscopic ellipsometry (SE) in the 1.5-4.5 photon energy range and mass spectrometry of recoiled ions (MSRI). SE yielded optical properties for the film and interface and MSRI yielded film and interface composition. An optical model was developed and verified using transmission electron microscopy. Interfacial reaction of the ZrO2 was observed for both substrates, with more interaction for Si substrates. Equivalent oxide thicknesses and interface trap levels were determined on capacitors with lower trap levels found on samples with a thicker SiO2 underlayer. In addition to the optical properties for the intermixed interface layer, the optical properties for Zr metal and unreacted ZrO2 are also reported.

  17. Chemical Vapor Deposition Synthesis of Graphene-Based Materials and Chemical Modulation of Graphene Electronics

    NASA Astrophysics Data System (ADS)

    Yan, Zheng

    Graphene, a two-dimensional sp2-bonded carbon material, has attracted enormous attention due to its excellent electrical, optical and mechanical properties. Recently developed chemical vapor deposition (CVD) methods could produce large-size and uniform polycrystalline graphene films, limited to gas carbon sources, metal catalyst substrates and degraded properties induced by grain boundaries. Meanwhile, pristine monolayer graphene exhibits a standard ambipolar behavior with a zero neutrality point in field-effect transistors (FETs), limiting its future electronic applications. This thesis starts with the investigation of CVD synthesis of pristine and N-doped graphene with controlled thickness using solid carbon sources on metal catalyst substrates (chapter 1), and then discusses the direct growth of bilayer graphene on insulating substrates, including SiO2, h-BN, Si3N4 and Al2O3, without needing further transfer-process (chapter 2). Chapter 3 discusses the synthesis of high-quality graphene single crystals and hexagonal onion-ring-like graphene domains, and also explores the basic growth mechanism of graphene on Cu substrates. To extend graphene's potential applications, both vertical and planar graphene-carbon nanotube hybrids are fabricated using CVD method and their interesting properties are investigated (chapter 4). Chapter 5 discusses how to use chemical methods to modulate graphene's electronic behaviors.

  18. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    NASA Astrophysics Data System (ADS)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  19. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  20. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  1. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    NASA Astrophysics Data System (ADS)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  2. Preparation of SiO2-Protecting Metallic Fe Nanoparticle/SiO2 Composite Spheres for Biomedical Application

    PubMed Central

    Hsieh, Pin-Wei; Tseng, Ching-Li; Kuo, Dong-Hau

    2015-01-01

    Functionalized Fe nanoparticles (NPs) have played an important role in biomedical applications. In this study, metallic Fe NPs were deposited on SiO2 spheres to form a Fe/SiO2 composite. To protect the Fe from oxidation, a thin SiO2 layer was coated on the Fe/SiO2 spheres thereafter. The size and morphology of the SiO2@Fe/SiO2 composite spheres were examined by transmission electron microscopy (TEM). The iron form and its content and magnetic properties were examined by X-ray diffraction (XRD), inductively-coupled plasma mass spectrometry (ICP-MS) and a superconducting quantum interference device (SQUID). The biocompatibility of the SiO2@Fe/SiO2 composite spheres was examined by Cell Counting Kit-8 (CCK-8) and lactate dehydrogenase (LDH) tests. The intracellular distribution of the SiO2@Fe/SiO2 composite spheres was observed using TEM. XRD analysis revealed the formation of metallic iron on the surface of the SiO2 spheres. According to the ICP-MS and SQUID results, using 0.375 M FeCl3·6H2O for Fe NPs synthesis resulted in the highest iron content and magnetization of the SiO2@Fe/SiO2 spheres. Using a dye loading experiment, a slow release of a fluorescence dye from SiO2@Fe/SiO2 composite spheres was confirmed. The SiO2@Fe/SiO2 composite spheres co-cultured with L929 cells exhibit biocompatibility at concentrations <16.25 µg/mL. The TEM images show that the SiO2@Fe/SiO2 composite spheres were uptaken into the cytoplasm and retained in the endosome. The above results demonstrate that the SiO2@Fe/SiO2 composite spheres could be used as a multi-functional agent, such as a magnetic resonance imaging (MRI) contrast agent or drug carriers in biomedical applications.

  3. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    NASA Astrophysics Data System (ADS)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  4. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  5. Flash vaporization during earthquakes evidenced by gold deposits

    NASA Astrophysics Data System (ADS)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  6. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    The chemical vapor deposition (CVD) method for the growth of Si sheet on inexpensive substrate materials is investigated. The objective is to develop CVD techniques for producing large areas of Si sheet on inexpensive substrate materials, with sheet properties suitable for fabricating solar cells meeting the technical goals of the Low Cost Silicon Solar Array Project. Specific areas covered include: (1) modification and test of existing CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using standard and near-standard processing techniques.

  7. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  8. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  9. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  10. Real-time spectro-ellipsometric approach to distinguish between two-dimensional Ge layer growth and Ge dot formation on SiO2 substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei

    2018-04-01

    Morphological evolution of Ge layers on SiO2 substrates grown by photo-excited chemical vapor deposition from GeH4 was monitored in real time by recording (Ψ, Δ) angles of spectroscopic ellipsometry and ex-situ analyzed by atomic force microscopy (AFM). Distinct Ψ-Δ trajectory shapes were demonstrated to discriminate the two-dimensional (2D) and three-dimensional (3D) growth modes. While the trajectory of 2D growth is characterized by a one-turn spiral, that of 3D growth consisted of three sections corresponding to initial wetting of the SiO2 surface, creation of nucleation centers, and dot growth. The critical point where the system turns into 2D or 3D growth can be in situ identified in terms of the directions of the Ψ-Δ trajectories. AFM images revealed characteristic changes in the microstructure, including self-assembling dots and dots merging with one another. While the root-mean-square surface roughness increased linearly against film thickness, the maximum peak-to-valley height deviated once from linear dependence and later returned back to it, which reflected coarsening of dots and embedding of valleys between dots.

  11. Fabrication by Electrophoretic Deposition of Nano-Fe3O4 and Fe3O4@SiO2 3D Structure on Carbon Fibers as Supercapacitor Materials

    NASA Astrophysics Data System (ADS)

    Hajalilou, Abdollah; Abouzari-Lotf, Ebrahim; Etemadifar, Reza; Abbasi-Chianeh, Vahid; Kianvash, Abbas

    2018-05-01

    Core-shell nanostructured magnetic Fe3O4@SiO2 with particle size ranging from 3 nm to 40 nm has been synthesized via a facile precipitation method. Tetraethyl orthosilicate was employed as surfactant to prepare core-shell structures from Fe3O4 nanoparticles synthesized from pomegranate peel extract using a green method. X-ray diffraction analysis, Fourier-transform infrared and ultraviolet-visible (UV-Vis) spectroscopies, transmission electron microscopy, and scanning electron microscopy with energy-dispersive spectroscopy were employed to characterize the samples. The prepared Fe3O4 nanoparticles were approximately 12 nm in size, and the thickness of the SiO2 shell was 4 nm. Evaluation of the magnetic properties indicated lower saturation magnetization for Fe3O4@SiO2 powder ( 11.26 emu/g) compared with Fe3O4 powder ( 13.30 emu/g), supporting successful wrapping of the Fe3O4 nanoparticles by SiO2. As-prepared powders were deposited on carbon fibers (CFs) using electrophoretic deposition and their electrochemical behavior investigated. The rectangular-shaped cyclic voltagrams of Fe3O4@CF and Fe3O4@C@CF samples indicated electrochemical double-layer capacitor (EDLC) behavior. The higher specific capacitance of 477 F/g for Fe3O4@C@CF (at scan rate of 0.05 V/s in the potential range of - 1.13 to 0.45 V) compared with 205 F/g for Fe3O4@CF (at the same scan rate in the potential range of - 1.04 to 0.24 V) makes the former a superior candidate for use in energy storage applications.

  12. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  13. Synthesis of zirconia (ZrO2) nanowires via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Baek, M. K.; Park, S. J.; Choi, D. J.

    2017-02-01

    Monoclinic zirconia nanowires were synthesized by chemical vapor deposition using ZrCl4 powder as a starting material at 1200 °C and 760 Torr. Graphite was employed as a substrate, and an Au thin film was pre-deposited on the graphite as a catalyst. The zirconia nanostructure morphology was observed through scanning electron microscopy and transmission electron microscopy. Based on X-ray diffraction, selected area electron diffraction, and Raman spectroscopy data, the resulting crystal structure was found to be single crystalline monoclinic zirconia. The homogeneous distributions of Zr, O and Au were studied by scanning transmission electron microscopy with energy dispersive X-ray spectroscopy mapping, and there was no metal droplet at the nanowire tips despite the use of an Au metal catalyst. This result is apart from that of conventional metal catalyzed nanowires.

  14. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    NASA Astrophysics Data System (ADS)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  15. Sol-gel preparation of self-cleaning SiO2-TiO2/SiO2-TiO2 double-layer antireflective coating for solar glass

    NASA Astrophysics Data System (ADS)

    Lin, Wensheng; Zheng, Jiaxian; Yan, Lianghong; Zhang, Xinxiang

    2018-03-01

    Self-cleaning SiO2-TiO2/SiO2-TiO2 double-layer antireflective (AR) coating is prepared by sol-gel process. SiO2 sol is prepared by using tetraethyl orthosilicate (TEOS) as precursor and ammonia as catalyst, while TiO2 sol was prepared by using tetrabutyl orthotitanate (TBOT) as precursor and hydrochloric acid as catalyst. The effect of TiO2 content on refractive index, abrasion-resistance and photo-catalytic activity of SiO2-TiO2 hybrid thin films or powders is systematically investigated. It is found that the refractive index of SiO2-TiO2 hybrid thin films increases gradually from 1.18 to 1.53 as the weight ratio of TiO2 to SiO2 increased from 0 to 1.0. The SiO2-TiO2 hybrid thin film and powder possesses good abrasion-resistance and photo-catalytic activity, respectively, as the weight ratio of TiO2 to SiO2 is 0.4. The degradation degree of Rhodamine B by SiO2-TiO2 hybrid powder is 88.3%. Finally, SiO2-TiO2/SiO2-TiO2 double-layer AR coating with high transmittance, abrasion-resistance and self-cleaning property is realized.

  16. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  17. Development of Nb{sub 3}Sn Cavity Vapor Diffusion Deposition System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eremeev, Grigory V.; Macha, Kurt M.; Clemens, William A.

    2014-02-01

    Nb{sub 3}Sn is a BCS superconductors with the superconducting critical temperature higher than that of niobium, so theoretically it surpasses the limitations of niobium in RF fields. The feasibility of technology has been demonstrated at 1.5 GHz with Nb{sub 3}Sn vapor deposition technique at Wuppertal University. The benefit at these frequencies is more pronounced at 4.2 K, where Nb{sub 3}Sn coated cavities show RF resistances an order of magnitude lower than that of niobium. At Jefferson Lab we started the development of Nb{sub 3}Sn vapor diffusion deposition system within an R\\&D development program towards compact light sources. Here we presentmore » the current progress of the system development.« less

  18. Sol-gel-Derived nano-sized double layer anti-reflection coatings (SiO2/TiO2) for low-cost solar cell fabrication.

    PubMed

    Lee, Seung Jun; Hur, Man Gyu; Yoon, Dae Ho

    2013-11-01

    We investigate nano-sized double layer anti-reflection coatings (ARCs) using a TiO2 and SiO2 sol-gel solution process for mono-crystalline silicon solar cells. The process can be easily adapted for spraying sol-gel coatings to reduce manufacturing cost. The spray-coated SiO2/TiO2 nano-sized double layer ARCs were deposited on mono-crystalline silicon solar cells, and they showed good optical properties. The spray coating process is a lower-cost fabrication process for large-scale coating than vacuum deposition processes such as PECVD. The measured average optical reflectance (300-1200 nm) was about approximately 8% for SiO2/TiO2 nano-sized double layer ARCs. The electrical parameters of a mono-crystalline silicon solar cell and reflection losses show that the SiO2/TiO2 stacks can improve cell efficiency by 0.2% compared to a non-coated mono-crystalline silicon solar cell. In the results, good correlation between theoretical and experimental data was obtained. We expect that the sol-gel spray-coated mono-crystalline silicon solar cells have high potential for low-cost solar cell fabrication.

  19. Rapid vapor deposition of highly conformal silica nanolaminates.

    PubMed

    Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G

    2002-10-11

    Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

  20. Deposition of defected graphene on (001) Si substrates by thermal decomposition of acetone

    NASA Astrophysics Data System (ADS)

    Milenov, T. I.; Avramova, I.; Valcheva, E.; Avdeev, G. V.; Rusev, S.; Kolev, S.; Balchev, I.; Petrov, I.; Pishinkov, D.; Popov, V. N.

    2017-11-01

    We present results on the deposition and characterization of defected graphene by the chemical vapor deposition (CVD) method. The source of carbon/carbon-containing radicals is thermally decomposed acetone (C2H6CO) in Ar main gas flow. The deposition takes place on (001) Si substrates at about 1150-1160 °C. We established by Raman spectroscopy the presence of single- to few- layered defected graphene deposited on two types of interlayers that possess different surface morphology and consisted of mixed sp2 and sp3 hybridized carbon. The study of interlayers by XPS, XRD, GIXRD and SEM identifies different phase composition: i) a diamond-like carbon dominated film consisting some residual SiC, SiO2 etc.; ii) a sp2- dominated film consisting small quantities of C60/C70 fullerenes and residual Si-O-, Cdbnd O etc. species. The polarized Raman studies confirm the presence of many single-layered defected graphene areas that are larger than few microns in size on the predominantly amorphous carbon interlayers.

  1. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  2. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  3. Atmospheric pressure chemical vapor deposition: an alternative route to large-scale MoS2 and WS2 inorganic fullerene-like nanostructures and nanoflowers.

    PubMed

    Li, Xiao-Lin; Ge, Jian-Ping; Li, Ya-Dong

    2004-11-19

    Large-scale MoS2 and WS2 inorganic fullerene-like (IF) nanostructures (onionlike nanoparticles, nanotubes) and elegant three-dimensional nanoflowers (NF) have been selectively prepared through an atmospheric pressure chemical vapor deposition (APCVD) process with the reaction of chlorides and sulfur. The morphologies were controlled by adjusting the deposition position, the deposition temperature, and the flux of the carrier gas. All of the nanostructures have been characterized by X-ray powder diffraction (XRD), transmission electron microscopy (TEM), and scanning electron microscopy (SEM). A reaction mechanism is proposed based on the experimental results. The surface area of MoS2 IF nanoparticles and the field-emission effect of as-prepared WS2 nanoflowers is reported.

  4. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  5. Infrared analysis of vapor phase deposited tricresylphosphate (TCP)

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Hanyaloglu, Bengi; Graham, Earl E.

    1994-01-01

    Infrared transmission was employed to study the formation of a lubricating film deposited on two different substrates at 700 C. The deposit was formed from tricresylphosphate vapors and collected onto a NaCl substrate and on an iron coated NaCl substrate. Analysis of the infrared data suggests that a metal phosphate is formed initially, followed by the formation of organophosphorus polymeric compounds.

  6. One step growth of GaN/SiO2 core/shell nanowire in vapor-liquid-solid route by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Barick, B. K.; Yadav, Shivesh; Dhar, S.

    2017-11-01

    GaN/SiO2 core/shell nanowires are grown by cobalt phthalocyanine catalyst assisted vapor-liquid-solid route, in which Si wafer coated with a mixture of gallium and indium is used as the source for Ga and Si and ammonia is used as the precursor for nitrogen and hydrogen. Gallium in the presence of indium and hydrogen, which results from the dissociation of ammonia, forms Si-Ga-In alloy at the growth temperature ∼910 °C. This alloy acts as the source of Si, Ga and In. A detailed study using a variety of characterization tools reveals that these wires, which are several tens of micron long, has a diameter distribution of the core ranging from 20 to 50 nm, while the thickness of the amorphous SiO2 shell layer is about 10 nm. These wires grow along [ 1 0 1 bar 0 ] direction. It has also been observed that the average diameter of these wires decreases, while their density increases as the gallium proportion in the Ga-In mixture is increased.

  7. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    PubMed

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  8. Deposition of tetracene thin films on SiO2/Si substrates by rapid expansion of supercritical solutions using carbon dioxide

    NASA Astrophysics Data System (ADS)

    Fujii, Tatsuya; Takahashi, Yuta; Uchida, Hirohisa

    2015-03-01

    We report on a novel deposition technique of tetracene (naphthacene) thin films on SiO2/Si substrates by rapid expansion of supercritical solutions (RESS) using CO2. Optical microscopy and scanning electron microscopy show that the thin films consist of a high density of submicron-sized grains. The growth mode of the grains followed the Volmer-Weber mode. X-ray diffraction shows that the thin films have regularly arranged structures in both the horizontal and vertical directions of the substrate. A fabricated top-contacted organic thin-film transistor with the tetracene active layer showed p-type transistor characteristics with a field-effect mobility of 5.1 × 10-4 cm2 V-1 s-1.

  9. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  10. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  11. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  12. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  13. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  14. MoSi 2 Oxidation in 670-1498 K Water Vapor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sooby Wood, Elizabeth; Parker, Stephen S.; Nelson, Andrew T.

    Molybdenum disilicide (MoSi 2) has well documented oxidation resistance at high temperature (T > 1273 K) in dry O 2 containing atmospheres due to the formation of a passive SiO 2 surface layer. But, its behavior under atmospheres where water vapor is the dominant species has received far less attention. Oxidation testing of MoSi 2 was performed at temperatures ranging from 670–1498 K in both 75% water vapor and synthetic air (Ar-O2, 80%–20%) containing atmospheres. Here the thermogravimetric and microscopy data describing these phenomena are presented. Over the temperature range investigated, MoSi 2 displays more mass gain in water vapormore » than in air. The oxidation kinetics observed in water vapor differ from that of the air samples. Two volatile oxides, MoO 2(OH) 2 and Si(OH) 4, are thought to be the species responsible for the varied kinetics, at 670–877 K and at 1498 K, respectively. Finally, we observed an increase in oxidation (140–300 mg/cm 2) from 980–1084 K in water vapor, where passivation is observed in air.« less

  15. MoSi 2 Oxidation in 670-1498 K Water Vapor

    DOE PAGES

    Sooby Wood, Elizabeth; Parker, Stephen S.; Nelson, Andrew T.; ...

    2016-03-08

    Molybdenum disilicide (MoSi 2) has well documented oxidation resistance at high temperature (T > 1273 K) in dry O 2 containing atmospheres due to the formation of a passive SiO 2 surface layer. But, its behavior under atmospheres where water vapor is the dominant species has received far less attention. Oxidation testing of MoSi 2 was performed at temperatures ranging from 670–1498 K in both 75% water vapor and synthetic air (Ar-O2, 80%–20%) containing atmospheres. Here the thermogravimetric and microscopy data describing these phenomena are presented. Over the temperature range investigated, MoSi 2 displays more mass gain in water vapormore » than in air. The oxidation kinetics observed in water vapor differ from that of the air samples. Two volatile oxides, MoO 2(OH) 2 and Si(OH) 4, are thought to be the species responsible for the varied kinetics, at 670–877 K and at 1498 K, respectively. Finally, we observed an increase in oxidation (140–300 mg/cm 2) from 980–1084 K in water vapor, where passivation is observed in air.« less

  16. Numerical modeling tools for chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Jasinski, Thomas J.; Childs, Edward P.

    1992-01-01

    Development of general numerical simulation tools for chemical vapor deposition (CVD) was the objective of this study. Physical models of important CVD phenomena were developed and implemented into the commercial computational fluid dynamics software FLUENT. The resulting software can address general geometries as well as the most important phenomena occurring with CVD reactors: fluid flow patterns, temperature and chemical species distribution, gas phase and surface deposition. The physical models are documented which are available and examples are provided of CVD simulation capabilities.

  17. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  18. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  19. Core-shell structured SiO2@YVO4:Dy3+/Sm3+ phosphor particles: sol-gel preparation and characterization.

    PubMed

    Wang, H; Yu, M; Lin, C K; Lin, J

    2006-08-01

    Spherical SiO(2) particles have been coated with YVO(4):Dy(3+)/Sm(3+) phosphor layers by a Pechini sol-gel process, leading to the formation of core-shell structured SiO(2)@YVO(4):Dy(3+)/Sm(3+) particles. X-ray diffraction (XRD), Fourier-transform IR spectroscopy, field emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), photoluminescence (PL) spectra as well as lifetimes were used to characterize the resulting SiO(2)@YVO(4):Dy(3+)/Sm(3+) core-shell phosphors. The obtained core-shell phosphors have perfect spherical shape with narrow size distribution (average size ca. 300 nm), smooth surface and non-agglomeration. The thickness of shells could be easily controlled by changing the number of deposition cycles (20 nm for one deposition cycle). The core-shell particles show strong characteristic emission from Dy(3+) for SiO(2)@YVO(4):Dy(3+) and from Sm(3+) for SiO(2)@YVO(4):Sm(3+) due to an efficient energy transfer from YVO(4) host to them. The PL intensity of Dy(3+) and Sm(3+) increases with raising the annealing temperature and the number of coating cycles.

  20. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  1. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  2. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE PAGES

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang; ...

    2017-10-04

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  3. Vapor-Deposited Glasses with Long-Range Columnar Liquid Crystalline Order

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gujral, Ankit; Gomez, Jaritza; Ruan, Shigang

    Anisotropic molecular packing, particularly in highly ordered liquid crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized glassy solids of discotic liquid crystalline systems. Using grazing incidence X-ray scattering, atomic force microscopy, and UV–vis spectroscopy, we compare three systems: a rectangular columnar liquid crystal, a hexagonal columnar liquid crystal, and a nonmesogen. The packing motifs accessible by vapor deposition are highly organized for the liquid crystalline systems with columns propagating either in-plane or out-of-plane depending upon the substrate temperature during deposition.more » As a result, the structures formed at a given substrate temperature can be understood as resulting from partial equilibration toward the structure of the equilibrium liquid crystal surface during the deposition process.« less

  4. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  5. Coordination polyhedron and chemical vapor deposition of Cu(hfacac)2(t-BuNH2).

    PubMed

    Woo, Kyoungja; Paek, Hojeong; Lee, Wan In

    2003-10-06

    A new pentacoordinate Cu(II) complex, Cu(hfacac)(2)(t-BuNH(2)) [hfacac = CF(3)C(O)CHC(O)CF(3)(-), t-BuNH(2) = tert-butylamine], has been synthesized and structurally characterized. Interestingly, the structure of a single crystal occurred as square pyramidal with one O atom at the apical position and one N and three O atoms at the basal positions, showing a serious degree of distortion. This contrasts with the square-pyramidal structure of Cu(hfacac)(2)L (L = H(2)O and pyrazine), which has the L ligand at the axial position. In the Cu(hfacac)(2)(t-BuNH(2)) complex, the t-BuNH(2) ligand is placed at an equatorial position with a lowered angle by 19.9(2) degrees from the basal plane. This distortion seems to reduce sigma influence and steric hindrance and so stabilizes the square-pyramidal geometry. This precursor has a lower melting point and superior stability to air, moisture, and heat than the Cu(hfacac)(2)(xH(2)O) precursor. The deposition rate of copper oxide film on a Pt layer above 450 degrees C was nearly constant with increasing temperature, indicating a mass transport limited reaction. Therefore it would be a useful metal organic chemical vapor deposition precursor for the fabrication of copper oxide film or superconducting materials. Crystal data for Cu(hfacac)(2)(t-BuNH(2)): 293(2) K, a = 9.6699(4) A, b = 18.0831(10) A, c = 12.8864(11) A, beta = 111.839(5) degrees, monoclinic, space group P2(1)/c, Z = 4.

  6. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Campbell, A. G.; Johnson, R. E.; Kenty, J. L.; Moudy, L. A.; Shaw, G. L.; Simpson, W. I.; Yang, J. J.

    1978-01-01

    The objective was to investigate and develop chemical vapor deposition (CVD) techniques for the growth of large areas of Si sheet on inexpensive substrate materials, with resulting sheet properties suitable for fabricating solar cells that would meet the technical goals of the Low Cost Silicon Solar Array Project. The program involved six main technical tasks: (1) modification and test of an existing vertical-chamber CVD reactor system; (2) identification and/or development of suitable inexpensive substrate materials; (3) experimental investigation of CVD process parameters using various candidate substrate materials; (4) preparation of Si sheet samples for various special studies, including solar cell fabrication; (5) evaluation of the properties of the Si sheet material produced by the CVD process; and (6) fabrication and evaluation of experimental solar cell structures, using impurity diffusion and other standard and near-standard processing techniques supplemented late in the program by the in situ CVD growth of n(+)/p/p(+) sheet structures subsequently processed into experimental cells.

  7. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  8. Very low-refractive-index optical thin films consisting of an array of SiO2 nanorods

    NASA Astrophysics Data System (ADS)

    Xi, J.-Q.; Kim, Jong Kyu; Schubert, E. F.; Ye, Dexian; Lu, T.-M.; Lin, Shawn-Yu; Juneja, Jasbir S.

    2006-03-01

    The refractive-index contrast in dielectric multilayer structures, optical resonators, and photonic crystals is an important figure of merit that creates a strong demand for high-quality thin films with a low refractive index. A SiO2 nanorod layer with low refractive index of n=1.08, to our knowledge the lowest ever reported in thin-film materials, is grown by oblique-angle electron-beam deposition of SiO2. A single-pair distributed Bragg reflector employing a SiO2 nanorod layer is demonstrated to have enhanced reflectivity, showing the great potential of low-refractive-index films for applications in photonic structures and devices.

  9. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    NASA Astrophysics Data System (ADS)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  10. Synthesis of Copper-Based Nanostructured Catalysts on SiO2-Al2O3, SiO2-TiO2, and SiO2-ZrO2 Supports for NO Reduction.

    PubMed

    Namkhang, Pornpan; Kongkachuichay, Paisan

    2015-07-01

    The selective catalytic reduction of NO over a series of Cu-based catalysts supported on modified silica including SiO2-Al2O3, SiO2-TiO2, and SiO2-ZrO2 prepared via a sol-gel process and a flame spray pyrolysis (FSP) was studied. The prepared catalysts were characterized by means of TEM, XRD, XRF, TPR, and nitrogen physisorption measurement techniques, to determine particle diameter, morphology, crystallinity, phase composition, copper reducibility, surface area, and pore size of catalysts. The particles obtained from sol-gel method were almost spherical while the particles obtained from the FSP were clearly spherical and non-porous nanosized particles. The effects of Si:Al, Si:Ti, and Si:Zr molar ratio of precursor were identified as the domain for different crystalline phase of materials. It was clearly seen that a high SiO2 content inhibited the crystallization of materials. The BET surface area of catalysts obtained from sol-gel method was higher than that from the FSP and it shows that surface area increased with increasing SiO2 molar ratio due to high surface area from SiO2. The catalyst performances were tested for the selective catalytic reduction of NO with H2. It was found that the catalyst prepared over 7 wt% Cu on Si02-Al2O3 support was the most active compared with the others which converted NO as more than 70%. Moreover, the excess copper decreased the performance of NO reduction, due to the formation of CuO agglomeration covered on the porous silica as well as the alumina surface, preventing the direct contact of CO2 and AL2O3.

  11. Spectroscopic signatures of AA' and AB stacking of chemical vapor deposited bilayer MoS 2

    DOE PAGES

    Xia, Ming; Li, Bo; Yin, Kuibo; ...

    2015-11-04

    We discuss prominent resonance Raman and photoluminescence spectroscopic differences between AA'and AB stacked bilayer molybdenum disulfide (MoS 2) grown by chemical vapor deposition are reported. Bilayer MoS 2 islands consisting of the two stacking orders were obtained under identical growth conditions. Also, resonance Raman and photoluminescence spectra of AA' and AB stacked bilayer MoS 2 were obtained on Au nanopyramid surfaces under strong plasmon resonance. Both resonance Raman and photoluminescence spectra show distinct features indicating clear differences in interlayer interaction between these two phases. The implication of these findings on device applications based on spin and valley degrees of freedom.

  12. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    NASA Astrophysics Data System (ADS)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  13. The Use of Ion Vapor Deposited Aluminum (IVD) for the Space Shuttle Solid Rocket Booster (SRB)

    NASA Technical Reports Server (NTRS)

    Novak, Howard L.

    2003-01-01

    This viewgraph representation provides an overview of the use of ion vapor deposited aluminum (IVD) for use in the Space Shuttle Solid Rocket Booster (SRB). Topics considered include: schematics of ion vapor deposition system, production of ion vapor deposition system, IVD vs. cadmium coated drogue ratchets, corrosion exposure facilities and tests, seawater immersion facilities and tests and continued research and development issues.

  14. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  15. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    NASA Astrophysics Data System (ADS)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  16. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  17. Effects of SiO2 substitution on wettability of laser deposited Ca-P biocoating on Ti-6Al-4V.

    PubMed

    Yang, Yuling; Paital, Sameer R; Dahotre, Narendra B

    2010-09-01

    Silicon (Si) substitution in the crystal structure of calcium phosphate (CaP) ceramics has proved to generate materials with improved bioactivity than their stoichiometric counterpart. In light of this, in the current work, 100 wt% hydroxyapatite (HA) precursor and 25 wt% SiO(2)-HA precursors were used to prepare bioactive coatings on Ti-6Al-4V substrates by a laser cladding technique. The effects of SiO(2) on phase constituents, crystallite size, surface roughness, and surface energy of the CaP coatings were studied. Furthermore, on the basis of these results, the effects and roles of SiO(2) substitution in HA were systematically discussed. X-ray diffraction analysis of the coated samples indicated the presence of various phases such as CaTiO(3), Ca(2)SiO(4), Ca(3)(PO(4))(2), TiO(2) (Anatase), and TiO(2) (Rutile). The addition of SiO(2) in the HA precursor resulted in the refinement of grain size. Confocal laser microscopy characterization of the surface morphology demonstrated an improved surface roughness for samples with 25 wt% SiO(2)-HA precursor compared to the samples with 100 wt% HA precursor processed at 125 cm/min laser speed. The addition of SiO(2) in the HA precursor resulted in the highest surface energy, increased hydrophilicity, and improved biomineralization as compared to the control (untreated Ti-6Al-4V) and the sample with 100 wt% HA as precursor. The microstructural evolution observed using a scanning electron microscopy indicated that the addition of SiO(2) in the HA precursor resulted in the presence of reduced cracking across the cross-section of the bioceramic coating.

  18. Vapor and liquid optical monitoring with sculptured Bragg microcavities

    NASA Astrophysics Data System (ADS)

    Oliva-Ramirez, Manuel; Gil-Rostra, Jorge; López-Santos, Maria C.; González-Elipe, Agustín. R.; Yubero, Francisco

    2017-08-01

    Sculptured porous Bragg Microcavities (BMs) formed by the successive stacking of columnar SiO2 and TiO2 thin films with zig-zag columnar microstructure are prepared by glancing angle deposition. These BMs act as wavelength dependent optical retarders. This optical behavior is attributed to a self-structuration mechanism involving a fence-bundling association of nanocolumns as observed by Focused Ion Beam Scanning Electron Microscopy. The retardance of these optically active BMs can be modulated by dynamic infiltration of their open porosity with vapors, liquids or solutions with different refractive indices. The tunable birefringence of these nanostructured photonic systems have been successfully simulated with a simple model that assumes that each layer within the BMs stack has uniaxial birefringence. This type of self-associated nanostructures has been incorporated to microfluidic chips for free label vapor and liquid sensing. Several examples of the detection performance of these chips, working either in reflection or transmission configuration, for the optical characterization of vapor and liquids of different refractive index and aqueous solutions of glucose flowing through the microfluidic chips are described.

  19. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  20. Synthesis and Characterization of SiO2@Y2MoO6:Eu3+ Core-Shell Structured Spherical Phosphors by Sol-Gel Process.

    PubMed

    Li, G Z; Liu, F H; Chu, Z S; Wu, D M; Yang, L B; Li, J L; Wang, M N; Wang, Z L

    2016-04-01

    SiO2@Y2MoO6:Eu3+ core-shell phosphors were prepared by the sol-gel process. X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), field emission scanning electron microscopy (FESEM), energy-dispersive X-ray spectra (EDS), transmission electron microscopy (TEM), photoluminescence (PL) spectra as well as kinetic decays were used to characterize the resulting SiO2@Y2MoO6:Eu3+ core-shell phosphors. The XRD results demonstrated that the Y2MoO6:Eu3+ layers on the SiO2 spheres crystallized after being annealed at 700 °C and the crystallinity increased with raising the annealing temperature. The obtained core-shell phosphors have spherical shape with narrow size distribution (average size ca. 640 nm), non-agglomeration, and smooth surface. The thickness of the Y2MoO6:Eu3+ shells on the SiO2 cores could be easily tailored by varying the number of deposition cycles (70 nm for four deposition cycles). The Eul+ shows a strong PL emission (dominated by 5D0-7F2 red emission at 614 nm) under the excitation of 347 nm UV light. The PL intensity of Eu3+ increases with increasing the annealing temperature and the number of coating cycles.

  1. Vertical Graphene Growth on SiO Microparticles for Stable Lithium Ion Battery Anodes.

    PubMed

    Shi, Liurong; Pang, Chunlei; Chen, Shulin; Wang, Mingzhan; Wang, Kexin; Tan, Zhenjun; Gao, Peng; Ren, Jianguo; Huang, Youyuan; Peng, Hailin; Liu, Zhongfan

    2017-06-14

    Silicon-based materials are considered as strong candidates to next-generation lithium ion battery anodes because of their ultrahigh specific capacities. However, the pulverization and delamination of electrochemical active materials originated from the huge volume expansion (>300%) of silicon during the lithiation process results in rapid capacity fade, especially in high mass loading electrodes. Here we demonstrate that direct chemical vapor deposition (CVD) growth of vertical graphene nanosheets on commercial SiO microparticles can provide a stable conducting network via interconnected vertical graphene encapsulation during lithiation, thus remarkably improving the cycling stability in high mass loading SiO anodes. The vertical graphene encapsulated SiO (d-SiO@vG) anode exhibits a high capacity of 1600 mA h/g and a retention up to 93% after 100 cycles at a high areal mass loading of 1.5 mg/cm 2 . Furthermore, 5 wt % d-SiO@vG as additives increased the energy density of traditional graphite/NCA 18650 cell by ∼15%. We believe that the results strongly imply the important role of CVD-grown vertical graphene encapsulation in promoting the commercial application of silicon-based anodes.

  2. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Riley, B.; Szreders, B.E.

    1988-04-26

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (/approximately/1100/degree/ /minus/ 1300/degree/C) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20--50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  3. Fabrication of solid oxide fuel cell by electrochemical vapor deposition

    DOEpatents

    Brian, Riley; Szreders, Bernard E.

    1989-01-01

    In a high temperature solid oxide fuel cell (SOFC), the deposition of an impervious high density thin layer of electrically conductive interconnector material, such as magnesium doped lanthanum chromite, and of an electrolyte material, such as yttria stabilized zirconia, onto a porous support/air electrode substrate surface is carried out at high temperatures (approximately 1100.degree.-1300.degree. C.) by a process of electrochemical vapor deposition. In this process, the mixed chlorides of the specific metals involved react in the gaseous state with water vapor resulting in the deposit of an impervious thin oxide layer on the support tube/air electrode substrate of between 20-50 microns in thickness. An internal heater, such as a heat pipe, is placed within the support tube/air electrode substrate and induces a uniform temperature profile therein so as to afford precise and uniform oxide deposition kinetics in an arrangement which is particularly adapted for large scale, commercial fabrication of SOFCs.

  4. Vapor-phase cristobalite as a durable indicator of magmatic pore structure and halogen degassing: an example from White Island volcano (New Zealand)

    NASA Astrophysics Data System (ADS)

    Ian Schipper, C.; Mandon, Céline; Maksimenko, Anton; Castro, Jonathan M.; Conway, Chris E.; Hauer, Peter; Kirilova, Martina; Kilgour, Geoff

    2017-10-01

    Vesicles in volcanic rocks are physical records of magmatic degassing; however, the interpretation of their textures is complicated by resorption, coalescence, and collapse. We discuss the textural significance of vesicle-hosted vapor-phase cristobalite (high-T, low-P SiO2 polymorph), and its utility as a complement to textural assessments of magmatic degassing, using a representative dacite bomb erupted from White Island volcano (New Zealand) in 1999. Imaging in 2D (SEM) and 3D (CT) shows the bomb to have 56% bulk porosity, almost all of which is connected ( 99%) and devoid of SiO2 phases. The remaining ( 1%) of porosity is in isolated, sub-spherical vesicles that have corroded walls and contain small (< 30 μm across) prismatic vapor-phase cristobalite crystals (98.4 ± 0.4 wt.% SiO2 with diagnostic laser Raman spectra). Halogen degassing models show vapor-phase cristobalite to be indicative of closed-system chlorine and fluorine partitioning into H2O-rich fluid in isolated pores. At White Island, this occurred during shallow (< 100s of meters) ascent and extensive ( 50%) groundmass crystallization associated with slow cooling in a volcanic plug. Pristine textures in this White Island bomb demonstrate the link between pore isolation and vapor-phase cristobalite deposition. We suggest that because these crystals have higher preservation potential than the bubbles in which they form, they can serve as durable, qualitative textural indicators of halogen degassing and pre-quench bubble morphologies in slowly cooled volcanic rocks (e.g., lava flows and domes), even where emplacement mechanisms have overprinted original bubble textures.

  5. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    PubMed

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  6. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  7. Optical, electrical and dielectric properties of TiO2-SiO2 films prepared by a cost effective sol-gel process.

    PubMed

    Vishwas, M; Rao, K Narasimha; Gowda, K V Arjuna; Chakradhar, R P S

    2011-12-01

    Titanium dioxide (TiO(2)) and silicon dioxide (SiO(2)) thin films and their mixed films were synthesized by the sol-gel spin coating method using titanium tetra isopropoxide (TTIP) and tetra ethyl ortho silicate (TEOS) as the precursor materials for TiO(2) and SiO(2) respectively. The pure and composite films of TiO(2) and SiO(2) were deposited on glass and silicon substrates. The optical properties were studied for different compositions of TiO(2) and SiO(2) sols and the refractive index and optical band gap energies were estimated. MOS capacitors were fabricated using TiO(2) films on p-silicon (100) substrates. The current-voltage (I-V) and capacitance-voltage (C-V) characteristics were studied and the electrical resistivity and dielectric constant were estimated for the films annealed at 200°C for their possible use in optoelectronic applications. Copyright © 2011 Elsevier B.V. All rights reserved.

  8. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  9. Shock-tube studies of silicon-compound vapors

    NASA Technical Reports Server (NTRS)

    Park, C.; Fujiwara, T.

    1977-01-01

    Test gas mixtures containing SiO, SiO2, Si2, and SiH were produced in a shock tube by processing shock waves through a mixture of SiCl4 + N2O + Ar, SiH4 + Ar, or SiH4 + O2 + Ar. Absorption spectra of the test gases were studied photographically in the reflected shock region using a xenon flash lamp as the light source in the range of wavelengths between 250 and 600 nm. SiO was found to be a dominant species in the vapors produced by the SiCl4 + N2O and SiH4 + O2 mixtures. Spontaneous combustion was observed in the SiH4 + O2 + Ar mixture prior to the shock arrival, and the resulting solid SiO2 particles evaporated behind the shock wave. Spectral absorption characteristics of SiO, SiO2, Si2, and SiH were determined by studying the test gases.

  10. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2012-01-24

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  11. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    DOEpatents

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  12. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699

  13. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  14. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  15. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    PubMed

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  17. Physical vapor deposition as a route to hidden amorphous states

    PubMed Central

    Dawson, Kevin J.; Kearns, Kenneth L.; Yu, Lian; Steffen, Werner; Ediger, M. D.

    2009-01-01

    Stable glasses of indomethacin (IMC) were prepared by using physical vapor deposition. Wide-angle X-ray scattering measurements were performed to characterize the average local structure. IMC glasses prepared at a substrate temperature of 0.84 Tg (where Tg is the glass transition temperature) and a deposition rate of 0.2 nm/s show a broad, high-intensity peak at low q values that is not present in the supercooled liquid or melt-quenched glasses. When annealed slightly above Tg, the new WAXS pattern transforms into the melt-quenched glass pattern, but only after very long annealing times. For a series of samples prepared at the lowest deposition rate, the new local packing arrangement is present only for deposition temperatures below Tg −20 K, suggesting an underlying first-order liquid-to-liquid phase transition. PMID:19666494

  18. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  19. Imparting passivity to vapor deposited magnesium alloys

    NASA Astrophysics Data System (ADS)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  20. Modifying hydrogen-bonded structures by physical vapor deposition: 4-methyl-3-heptanol

    NASA Astrophysics Data System (ADS)

    Young-Gonzales, A. R.; Guiseppi-Elie, A.; Ediger, M. D.; Richert, R.

    2017-11-01

    We prepared films of 4-methyl-3-heptanol by vapor depositing onto substrates held at temperatures between Tdep = 0.6Tg and Tg, where Tg is the glass transition temperature. Using deposition rates between 0.9 and 6.0 nm/s, we prepared films about 5 μm thick and measured the dielectric properties via an interdigitated electrode cell onto which films were deposited. Samples prepared at Tdep = Tg display the dielectric behavior of the ordinary supercooled liquid. Films deposited at lower deposition temperatures show a high dielectric loss upon heating toward Tg, which decreases by a factor of about 12 by annealing at Tg = 162 K. This change is consistent with either a drop of the Kirkwood correlation factor, gk, by a factor of about 10, or an increase in the dielectric relaxation times, both being indicative of changes toward ring-like hydrogen-bonded structure characteristic of the ordinary liquid. We rationalize the high dielectric relaxation amplitude in the vapor deposited glass by suggesting that depositions at low temperature provide insufficient time for molecules to form ring-like supramolecular structures for which dipole moments cancel. Surprisingly, above Tg of the ordinary liquid, these vapor deposited films fail to completely recover the dielectric properties of the liquid obtained by supercooling. Instead, the dielectric relaxation remains slower and its amplitude much higher than that of the equilibrium liquid state, indicative of a structure that differs from the equilibrium liquid up to at least Tg + 40 K.

  1. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    PubMed

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  2. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  3. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  4. Low temperature junction growth using hot-wire chemical vapor deposition

    DOEpatents

    Wang, Qi; Page, Matthew; Iwaniczko, Eugene; Wang, Tihu; Yan, Yanfa

    2014-02-04

    A system and a process for forming a semi-conductor device, and solar cells (10) formed thereby. The process includes preparing a substrate (12) for deposition of a junction layer (14); forming the junction layer (14) on the substrate (12) using hot wire chemical vapor deposition; and, finishing the semi-conductor device.

  5. Direct spectroscopic evidence for isolated silanols in SiO x/Al 2O 3 and their formation mechanism

    DOE PAGES

    Mouat, Aidan R.; Kobayashi, Takeshi; Pruski, Marek; ...

    2017-02-27

    Here, the preparation and unambiguous characterization of isolated Brønsted-acidic silanol species on silica–alumina catalysts presents a key challenge in the rational design of solid acid catalysts. In this report, atomic layer deposition (ALD) and liquid-phase preparation (chemical liquid deposition, CLD) are used to install the SiO x sites on Al 2O 3 catalysts using the same Si source (tetraethylorthosilicate, TEOS). The ALD-derived and CLD-derived SiO x sites are probed with dynamic nuclear polarization (DNP)-enhanced 29Si– 29Si double-quantum/single-quantum (DQ/SQ) correlation NMR spectroscopy. The investigation reveals conclusively that the SiO x/Al 2O 3 material prepared by ALD and CLD, followed by calcinationmore » under an O 2 stream, contains fully spatially isolated Si species, in contrast with those resulting from the calcination under static air, which is widely accepted as a postgrafting treatment for CLD. Insight into the formation mechanism of these sites is obtained via in situ monitoring of the TEOS + γ-Al 2O 3 reaction in an environmental diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS) cell. Upon calcination, the DRIFTS spectra of SiO x/Al 2O 3 reveal a signature unambiguously assignable to isolated Brønsted-acidic silanol species. Surprisingly, the results of this study indicate that the method of preparing SiO x/Al 2O 3 catalysts is less important to the final structure of the silanol sites than the post-treatment conditions. This finding should greatly simplify the methods for synthesizing site-isolated, Brønsted-acidic SiO x/Al 2O 3 catalysts.« less

  6. Chemical Vapor Deposition of Multispectral Domes

    DTIC Science & Technology

    1975-04-01

    optical testing, was also cut out as indicated in Figure 10. The image spoiling measureinents were performed at the Air Force Avionics Laboratory on...AD-A014 362 CHEMICAL VAPOR DEPOSITION OF MULTISPECTRAL DOMES B. A. diBenedetto, et al Raytheon Company Prepared for: Air Force Materials Laboratory...Approved for public release; distribution unlimited. ) F) .• •~~EP 7 ’+ i.i AIR FORCE MATERIALS LABORATORY AIR FORCE SYSTEMS COMMAND WRIGHT-PATrERSON AIR

  7. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  8. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  9. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  10. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  11. Structural and growth aspects of electron beam physical vapor deposited NiO-CeO{sub 2} nanocomposite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuanr, Sushil Kumar; K, Suresh Babu, E-mail: sureshbabu.nst@pondiuni.edu.in

    2016-03-15

    Deposition of composite materials as thin film by electron beam physical vapor deposition technique (EB-PVD) still remains as a challenge. Here, the authors report the deposition of NiO-CeO{sub 2} (30/70 wt. %) composites on quartz substrate by EB-PVD. Two NiO-CeO{sub 2} nanocomposite targets—one as green compact and the other after sintering at 1250 °C—were used for the deposition. Though the targets varied with respect to physical properties such as crystallite size (11–45 nm) and relative density (44% and 96%), the resultant thin films exhibited a mean crystallite size in the range of 20–25 nm underlining the role of physical nature of deposition. In spitemore » of the crystalline nature of the targets and similar elemental concentration, a transformation from amorphous to crystalline structure was observed in thin films on using sintered target. Postannealing of the as deposited film at 800 °C resulted in a polycrystalline structure consisting of CeO{sub 2} and NiO. Deposition using pure CeO{sub 2} or NiO as target resulted in the preferential orientation toward (111) and (200) planes, respectively, showing the influence of adatoms on the evaporation and growth process of NiO-CeO{sub 2} composite. The results demonstrate the influence of electron beam gun power on the adatom energy for the growth process of composite oxide thin films.« less

  12. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  13. Glasses of three alkyl phosphates show a range of kinetic stabilities when prepared by physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Beasley, M. S.; Tylinski, M.; Chua, Y. Z.; Schick, C.; Ediger, M. D.

    2018-05-01

    In situ AC nanocalorimetry was used to characterize vapor-deposited glasses of three phosphates with increasing lengths of alkyl side chains: trimethyl phosphate, triethyl phosphate, and tributyl phosphate. The as-deposited glasses were assessed in terms of their reversing heat capacity, onset temperature, and isothermal transformation time. Glasses with a range of kinetic stabilities were prepared, including kinetically stable glasses, as indicated by high onset temperatures and long transformation times. Trimethyl phosphate forms kinetically stable glasses, similar to many other organic molecules, while triethyl phosphate and tributyl phosphate do not. Triethyl phosphate and tributyl phosphate present the first examples of non-hydrogen bonding systems that are unable to form stable glasses via vapor deposition at 0.2 nm/s. Based on experiments utilizing different deposition rates, we conclude that triethyl phosphate and tributyl phosphate lack the surface mobility required for stable glass formation. This may be related to their high enthalpies of vaporization and the internal structure of the liquid state.

  14. SEGR in SiO$${}_2$$ –Si$$_3$$ N$$_4$$ Stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Javanainen, Arto; Ferlet-Cavrois, Veronique; Bosser, Alexandre

    2014-04-17

    This work presents experimental SEGR data for MOS-devices, where the gate dielectrics are are made of stacked SiO 2–Si 3N 4 structures. Also a semi-empirical model for predicting the critical gate voltage in these structures under heavy-ion exposure is proposed. Then statistical interrelationship between SEGR cross-section data and simulated energy deposition probabilities in thin dielectric layers is discussed.

  15. Development of a Computational Chemical Vapor Deposition Model: Applications to Indium Nitride and Dicyanovinylaniline

    NASA Technical Reports Server (NTRS)

    Cardelino, Carlos

    1999-01-01

    A computational chemical vapor deposition (CVD) model is presented, that couples chemical reaction mechanisms with fluid dynamic simulations for vapor deposition experiments. The chemical properties of the systems under investigation are evaluated using quantum, molecular and statistical mechanics models. The fluid dynamic computations are performed using the CFD-ACE program, which can simulate multispecies transport, heat and mass transfer, gas phase chemistry, chemistry of adsorbed species, pulsed reactant flow and variable gravity conditions. Two experimental setups are being studied, in order to fabricate films of: (a) indium nitride (InN) from the gas or surface phase reaction of trimethylindium and ammonia; and (b) 4-(1,1)dicyanovinyl-dimethylaminoaniline (DCVA) by vapor deposition. Modeling of these setups requires knowledge of three groups of properties: thermodynamic properties (heat capacity), transport properties (diffusion, viscosity, and thermal conductivity), and kinetic properties (rate constants for all possible elementary chemical reactions). These properties are evaluated using computational methods whenever experimental data is not available for the species or for the elementary reactions. The chemical vapor deposition model is applied to InN and DCVA. Several possible InN mechanisms are proposed and analyzed. The CVD model simulations of InN show that the deposition rate of InN is more efficient when pulsing chemistry is used under conditions of high pressure and microgravity. An analysis of the chemical properties of DCVA show that DCVA dimers may form under certain conditions of physical vapor transport. CVD simulations of the DCVA system suggest that deposition of the DCVA dimer may play a small role in the film and crystal growth processes.

  16. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  17. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    USGS Publications Warehouse

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  18. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  19. Direct synthesis of large area graphene on insulating substrate by gallium vapor-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murakami, Katsuhisa, E-mail: k.murakami@bk.tsukuba.ac.jp; Hiyama, Takaki; Kuwajima, Tomoya

    2015-03-02

    A single layer of graphene with dimensions of 20 mm × 20 mm was grown directly on an insulating substrate by chemical vapor deposition using Ga vapor catalysts. The graphene layer showed highly homogeneous crystal quality over a large area on the insulating substrate. The crystal quality of the graphene was measured by Raman spectroscopy and was found to improve with increasing Ga vapor density on the reaction area. High-resolution transmission electron microscopy observations showed that the synthesized graphene had a perfect atomic-scale crystal structure within its grains, which ranged in size from 50 nm to 200 nm.

  20. Post-pyrite transition in SiO2

    NASA Astrophysics Data System (ADS)

    Ho, K.; Wu, S.; Umemoto, K.; Wentzcovitch, R. M.; Ji, M.; Wang, C.

    2010-12-01

    Here we propose a new phase of SiO2 beyond the pyrite-type phase. SiO2 is one of the most important minerals in Earth and planetary sciences. So far, the pyrite-type phase has been identified experimentally as the highest-pressure form of SiO2. In solar giants and extrasolar planets whose interior pressures are considerably higher than that on Earth, a post-pyrite transition in SiO2 may occur at ~ 1 TPa as a result of the dissociation of MgSiO3 post-perovskite into MgO and SiO2 [Umemtoto et al., Science 311, 983 (2006)]. Several dioxides considered to be low-pressure analogs of SiO2 have a phase with cotunnite-type (PbCl2-type) structure as the post-pyrite phase. However, a first-principles structural search using a genetic algorithm shows that SiO2 should undergo a post-pyrite transition to a hexagonal phase, not to the cotunnite phase. The hexagonal phase is energetically very competitive with the cotunnite-type one. This work was supported by the U.S. Department of Energy, Office of Basic Energy Science, Division of Materials Sciences and Engineering and NSF under ATM-0428774 (VLab), EAR-0757903, and EAR-1019853. Ames Laboratory is operated for the U.S. Department of Energy by Iowa State University under Contract No. DE-AC02-07CH11358. The computations were performed at the National Energy Research Supercomputing Centre (NERSC) and the Minnesota Supercomputing Institute (MSI).

  1. Chemical vapor deposition of fluorine-doped zinc oxide

    DOEpatents

    Gordon, Roy G.; Kramer, Keith; Liang, Haifan

    2000-06-06

    Fims of fluorine-doped zinc oxide are deposited from vaporized precursor compounds comprising a chelate of a dialkylzinc, such as an amine chelate, an oxygen source, and a fluorine source. The coatings are highly electrically conductive, transparent to visible light, reflective to infrared radiation, absorbing to ultraviolet light, and free of carbon impurity.

  2. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  3. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  4. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  5. Organometallic chemical vapor deposition and characterization of ZnGeP2/GaP multiple heterostructures on GaP substrates

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, Klaus J.

    1993-01-01

    The growth of ZnGeP2/GaP double and multiple heterostructures on GaP substrates by organometallic chemical vapor deposition is reported. These epitaxial films were deposited at a temperature of 580 C using dimethylzinc, trimethylgallium, germane, and phosphine as source gases. With appropriate deposition conditions, mirror smooth epitaxial GaP/ZnGeP2 multiple heterostructures were obtained on (001) GaP substrates. Transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) studies of the films showed that the interfaces are sharp and smooth. Etching study of the films showed dislocation density on the order of 5x10(exp 4)cm(sup -2). The growth rates of the GaP layers depend linearly on the flow rates of trimethylgallium. While the GaP layers crystallize in zinc-blende structure, the ZnGeP2 layers crystallize in the chalcopyrite structure as determined by (010) electron diffraction pattern. This is the first time that multiple heterostructures combining these two crystal structures were made.

  6. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    NASA Astrophysics Data System (ADS)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  7. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  8. Ultrahigh Responsivity and Detectivity Graphene–Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    PubMed Central

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I

    2017-01-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W−1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450–700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices. PMID:28422117

  9. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  10. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    NASA Technical Reports Server (NTRS)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  11. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  12. Thermodynamic Analysis and Growth of Zirconium Carbide by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Sun; Hua, Hao Zheng; Xiang, Xiong

    Equilibrium calculations were used to optimize conditions for the chemical vapor deposition of zirconium carbide from zirconium halide + CxHy+H2+Ar system. The results show the CVD-ZrC phase diagram is divided into ZrC+C, ZrC and ZrC+Zr zones by C, Zr generating lines. For the same mole of ZrCl4 reactant, it needs higher concentration of CH4 to generate single ZrC phase than that of C3H6. Using these calculations as a guide, single-phase cubic zirconium carbide coatings were deposited onto graphite substrate.

  13. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  14. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  15. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulationsmore » and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.« less

  16. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  17. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  18. Ruthenium films by digital chemical vapor deposition: Selectivity, nanostructure, and work function

    NASA Astrophysics Data System (ADS)

    Dey, Sandwip K.; Goswami, Jaydeb; Gu, Diefeng; de Waard, Henk; Marcus, Steve; Werkhoven, Chris

    2004-03-01

    Ruthenium electrodes were selectively deposited on photoresist-patterned HfO2 surface [deposited on a SiOx/Si wafer by atomic layer deposition (ALD)] by a manufacturable, digital chemical vapor deposition (DCVD) technique. DCVD of Ru was carried out at 280-320 °C using an alternate delivery of Bis (2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)Ru (dissolved in tetrahydrofuran) and oxygen. The as-deposited Ru films were polycrystalline, dense, and conducting (resistivity ˜20.6 μΩ cm). However, Rutherford backscattering spectroscopy, x-ray photoelectron spectroscopy, and high-resolution electron microscopy results indicate the presence of an amorphous RuOx at the Ru grain boundaries and at the DCVD-Ru/ALD-HfO2 interface. The estimated work function of DCVD-Ru on ALD-HfO2 was ˜5.1 eV. Moreover, the equivalent oxide thickness, hysteresis in capacitance-voltage, and leakage current density at -2 V of the HfO2/SiOx dielectric, after forming gas (95% N2+5% H2) annealing at 450 °C for 30 min, were 1.4 nm, 20 mV, and 7.4×10-7 A cm-2, respectively.

  19. Vapor and liquid optical monitoring with sculptured Bragg microcavities

    NASA Astrophysics Data System (ADS)

    Oliva-Ramirez, Manuel; Gil-Rostra, Jorge; López-Santos, Maria Carmen; González-Elipe, Agustín R.; Yubero, Francisco

    2017-10-01

    Sculptured porous Bragg microcavities (BMs) formed by the successive stacking of columnar SiO2 and TiO2 thin films with a zig-zag columnar microstructure are prepared by glancing angle deposition. These BMs act as wavelength-dependent optical retarders. This optical behavior is attributed to a self-structuration of the stacked layers involving the lateral association of nanocolumns in the direction perpendicular to the main flux of particles during the multilayer film growth, as observed by focused ion beam scanning electron microscopy. The retardance of these optically active BMs can be modulated by dynamic infiltration of their open porosity with vapors, liquids, or solutions with different refractive indices. The tunable birefringence of these nanostructured photonic systems has been successfully simulated with a simple model that assumes that each layer within the BMs stack has uniaxial birefringence. The sculptured BMs have been incorporated as microfluidic chips for optical transduction for label-free vapor and liquid sensing. Several examples of the detection performance of these chips, working either in reflection or transmission configuration, for the optical monitoring of vapor and liquids of different refractive indices and aqueous solutions of glucose flowing through the microfluidic chips are described.

  20. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.; Santoro, G. J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory an the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  1. Experimental verification of corrosive vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1986-01-01

    The ability to predict deposition rates is required to facilitate modelling of high temperature corrosion by fused salt condensates in turbine engines. A corrosive salt vapor deposition theory based on multicomponent chemically frozen boundary layers (CFBL) has been successfully verified by high velocity burner rig experiments. The experiments involved internally air-impingement cooled, both rotating full and stationary segmented cylindrical collectors located in the crossflow of sodium-seeded combustion gases. Excellent agreement is found between the CFBL theory and the experimental measurements for both the absolute amounts of Na2SO4 deposition rates and the behavior of deposition rate with respect to collector temperature, mass flowrate (velocity) and Na concentration.

  2. Comparison of the agglomeration behavior of thin metallic films on SiO2

    NASA Astrophysics Data System (ADS)

    Gadkari, P. R.; Warren, A. P.; Todi, R. M.; Petrova, R. V.; Coffey, K. R.

    2005-07-01

    The stability of continuous metallic thin films on insulating oxide surfaces is of interest to applications such as semiconductor interconnections and gate engineering. In this work, we report the study of the formation of voids and agglomeration of initially continuous Cu, Au, Ru and Pt thin films deposited on amorphous thermally grown SiO2 surfaces. Polycrystalline thin films having thicknesses in the range of 10-100 nm were ultrahigh vacuum sputter deposited on thermally grown SiO2 surfaces. The films were annealed at temperatures in the range of 150-800 °C in argon and argon+3% hydrogen gases. Scanning electron microscopy was used to investigate the agglomeration behavior, and transmission electron microscopy was used to characterize the microstructure of the as-deposited and annealed films. The agglomeration sequence in all of the films is found to follow a two step process of void nucleation and void growth. However, void growth in Au and Pt thin films is different from Cu and Ru thin films. Residual stress and adhesion were observed to play an important part in deciding the mode of void growth in Au and Pt thin films. Last, it is also observed that the tendency for agglomeration can be reduced by encapsulating the metal film with an oxide overlayer.

  3. A visual water vapor photonic crystal sensor with PVA/SiO2 opal structure

    NASA Astrophysics Data System (ADS)

    Yang, Haowei; Pan, Lei; Han, Yingping; Ma, Lihua; Li, Yao; Xu, Hongbo; Zhao, Jiupeng

    2017-11-01

    In study, we proposed a simple yet fast optical sensing motif based on thimbleful of polyvinyl alcohol (PVA) infiltrated photonic crystal (PC), which allows for high efficiency in vapor sensing through changes in their inter-layer space. Linear response to a broad dynamic range of vapor concentration was realized. Ultrafast response time (<1 s) and excellent recyclability were also demonstrated. Selective response to a vapor was exhibited, reflecting well the characteristic sorption properties of PVA, with which colorimetric reporting was readily achieved. These substantial improvements in performance are attributed to the efficacy of signal transduction and the enhanced signal transduction because of thimbleful PVA infiltrated space between adjacent SiO2 nanospheres.

  4. Tunable carbon nanotube-tungsten carbide nanoparticles heterostructures by vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xia, Min; Guo, Hongyan; Ge, Changchun

    2014-05-14

    A simple, versatile route for the synthesis of carbon nanotube (CNT)-tungsten carbide nanoparticles heterostructures was set up via vapor deposition process. For the first time, amorphous CNTs (α-CNTs) were used to immobilized tungsten carbide nanoparticles. By adjusting the synthesis and annealing temperature, α-CNTs/amorphous tungsten carbide, α-CNTs/W{sub 2}C, and CNTs/W{sub 2}C/WC heterostructures were prepared. This approach provides an efficient method to attach other metal carbides and other nanoparticles to carbon nanotubes with tunable properties.

  5. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  6. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  7. The Metastable Persistence of Vapor-Deposited Amorphous Ice at Anomalously High Temperatures

    NASA Technical Reports Server (NTRS)

    Blake, David F.; Jenniskens, Peter; DeVincenzi, Donald L. (Technical Monitor)

    1995-01-01

    Studies of the gas release, vaporization behavior and infrared (IR) spectral properties of amorphous and crystalline water ice have direct application to cometary and planetary outgassing phenomena and contribute to an understanding of the physical properties of astrophysical ices. Several investigators report anomalous phenomena related to the warming of vapor-deposited astrophysical ice analogs. However gas release, ice volatilization and IR spectral features are secondary or tertiary manifestations of ice structure or morphology. These observations are useful in mimicking the bulk physical and chemical phenomena taking place in cometary and other extraterrestrial ices but do not directly reveal the structural changes which are their root cause. The phenomenological interpretation of spectral and gas release data is probably the cause of somewhat contradictory explanations invoked to account for differences in water ice behavior in similar temperature regimes. It is the microstructure, micromorphology and microchemical heterogeneity of astrophysical ices which must be characterized if the mechanisms underlying the observed phenomena are to be understood. We have been using a modified Transmission Electron Microscope to characterize the structure of vapor-deposited astrophysical ice analogs as a function of their deposition, temperature history and composition. For the present experiments, pure water vapor is deposited at high vacuum onto a 15 K amorphous carbon film inside an Hitachi H-500H TEM. The resulting ice film (approx. 0.05 micrometers thick) is warmed at the rate of 1 K per minute and diffraction patterns are collected at 1 K intervals. These patterns are converted into radial intensity distributions which are calibrated using patterns of crystalline gold deposited on a small part of the carbon substrate. The small intensity contributed by the amorphous substrate is removed by background subtraction. The proportions of amorphous and crystalline material

  8. Chemical vapor deposition of low reflective cobalt (II) oxide films

    NASA Astrophysics Data System (ADS)

    Amin-Chalhoub, Eliane; Duguet, Thomas; Samélor, Diane; Debieu, Olivier; Ungureanu, Elisabeta; Vahlas, Constantin

    2016-01-01

    Low reflective CoO coatings are processed by chemical vapor deposition from Co2(CO)8 at temperatures between 120 °C and 190 °C without additional oxygen source. The optical reflectivity in the visible and near infrared regions stems from 2 to 35% depending on deposition temperature. The combination of specific microstructural features of the coatings, namely a fractal ⿿cauliflower⿿ morphology and a grain size distribution more or less covering the near UV and IR wavelength ranges enhance light scattering and gives rise to a low reflectivity. In addition, the columnar morphology results in a density gradient in the vertical direction that we interpret as a refractive index gradient lowering reflectivity further down. The coating formed at 180 °C shows the lowest average reflectivity (2.9%), and presents an interesting deep black diffuse aspect.

  9. Experimental verification of vapor deposition rate theory in high velocity burner rigs

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.; Santoro, Gilbert J.

    1985-01-01

    The main objective has been the experimental verification of the corrosive vapor deposition theory in high-temperature, high-velocity environments. Towards this end a Mach 0.3 burner-rig appartus was built to measure deposition rates from salt-seeded (mostly Na salts) combustion gases on the internally cooled cylindrical collector. Deposition experiments are underway.

  10. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1987-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  11. Criteria for significance of simultaneous presence of both condensible vapors and aerosol particles on mass transfer (deposition) rates

    NASA Technical Reports Server (NTRS)

    Gokoglu, S. A.

    1986-01-01

    The simultaneous presence of aerosol particles and condensible vapors in a saturated boundary layer which may affect deposition rates to subcooled surfaces because of vapor-particle interactions is discussed. Scavenging of condensible vapors by aerosol particles may lead to increased particle size and decreased vapor mass fraction, which alters both vapor and particle deposition rates. Particles, if sufficiently concentrated, may also coagulate. Criteria are provided to assess the significance of such phenomena when particles are already present in the mainstream and are not created inside the boundary layer via homogeneous nucleation. It is determined that there is direct proportionality with: (1) the mass concentration of both condensible vapors and aerosol particles; and (2) the square of the boundary layer thickness to particle diameter ratio (delta d sub p) square. Inverse proportionality was found for mainstream to surface temperature difference if thermophoresis dominates particle transport. It is concluded that the square of the boundary layer thickness to particle diameter ratio is the most critical factor to consider in deciding when to neglect vapor-particle interactions.

  12. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    NASA Astrophysics Data System (ADS)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  13. Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Raupp, Gregory B.

    1993-01-01

    The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.

  14. Growth and surface analysis of SiO2 on 4H-SiC for MOS devices

    NASA Astrophysics Data System (ADS)

    Kodigala, Subba Ramaiah; Chattopadhyay, Somnath; Overton, Charles; Ardoin, Ira; Gordon, B. J.; Johnstone, D.; Roy, D.; Barone, D.

    2015-03-01

    The SiO2 layers have been grown onto C-face and Si-face 4H-SiC substrates by two different techniques such as wet thermal oxidize process and sputtering. The deposition recipes of these techniques are carefully optimized by trails and error method. The growth effects of SiO2 on the C-face and Si-face 4H-SiC substrates are thoroughly investigated by AFM analysis. The growth mechanism of different species involved in the growth process of SiO2 by wet thermal oxide is now proposed by adopting two body classical projectile scattering. This mechanism drives to determine growth of secondary phases such as α-CH nano-islands in the grown SiO2 layer. The effect of HF etchings on the SiO2 layers grown by both techniques and on both the C-face and Si-face substrates are legitimately studied. The thicknesses of the layers determined by AFM and ellipsometry techniques are widely promulgated. The MOS capacitors are made on the Si-face 4H-SiC wafers by wet oxidation and sputtering processes, which are studied by capacitance versus voltage (CV) technique. From CV measurements, the density of trap states with variation of trap level for MOS devices is estimated.

  15. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  16. Preparation and Characterization of Fluorescent SiO2 Microspheres

    NASA Astrophysics Data System (ADS)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  17. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    NASA Astrophysics Data System (ADS)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  18. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  19. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  20. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  1. Passivation of Flexible YBCO Superconducting Current Lead With Amorphous SiO2 Layer

    NASA Technical Reports Server (NTRS)

    Johannes, Daniel; Webber, Robert

    2013-01-01

    across a thermal gradient with as little flow of heat as possible to make an efficient current lead. By protecting YBCO on a flexible substrate of low thermal conductivity with SiO2, a thermally efficient and flexible current lead can be fabricated. The technology is also applicable to current leads for 4 K superconducting electronics current biasing. A commercially available thin-film YBCO composite tape conductor is first stripped of its protective silver coating. It is then mounted on a jig that holds the sample flat and acts as a heat sink. Silicon dioxide is then deposited onto the YBCO to a thickness of about 1 micron using PECVD (plasma-enhanced chemical vapor deposition), without heating the YBCO to the point where degradation occurs. Since SiO2 can have good high-frequency electrical properties, it can be used to coat YBCO cable structures used to feed RF signals across temperature gradients. The prime embodiment concerns the conduction of DC current across the cryogenic temperature gradient. The coating is hard and electrically insulating, but flexible.

  2. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  3. Bonding Transition in SiO2 Glass at High Pressures: Applications to SiO2 Liquid in Earth's Interior

    NASA Astrophysics Data System (ADS)

    Yoo, C.; Lin, J.; Fukui, H.; Prendergast, D.; Okuchi, T.; Cai, Y.; Hiraoka, N.; Trave, A.; Eng, P.; Hu, M. Y.; Chow, P.

    2006-12-01

    SiO2 and MgSiO3 liquids are two major components in the magma deep inside the Earth. Knowledge of their electronic bonding characters at high pressures is essential to understanding the complex properties of the materials in the melts. The nature of pressure-induced bonding change in amorphous SiO2 has been an intriguing and long-standing problem that remains to be further understood. For example, previous infrared and X-ray diffraction studies suggested that a continuous transformation from the four- to six-fold coordinated silicon occurred in amorphous SiO2 at high pressures, whereas separate optical Raman studies attributed to a pressure-induced shift in the local ring statistics and a breakdown in the intermediate-range order. Here we have studied the oxygen near K-edge spectra of SiO2 glass to 51 GPa obtained using X-ray Raman scattering in a diamond-anvil cell, which directly probes the electronic bonding character of the sample. Our results provide conclusive evidence for a pressure-induced electronic bonding transition in SiO2 glass at high pressures. Although a progressive decrease in the mean Si-O-Si angle in the SiO4 tetrahedra is believed to be responsible for the irreversible densification in SiO2 glass at high pressures, our observed transition is reversible upon decompression. A similar transformation is also expected to occur in silicate glasses and melts, which will most definitely alter their physical, mechanical and transport properties in the magma chamber deep in the Earth's interior. This work was performed under the auspices of the U.S. DOE by UC/LLNL under Contract W-7405-Eng-48.

  4. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  5. Effect of ring-shaped SiO2 current blocking layer thickness on the external quantum efficiency of high power light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Liu, Mengling; Hu, Hongpo; Gao, Yilin; Liu, Xingtong

    2017-12-01

    A ring-shaped SiO2 CBL underneath the p-electrode was employed to enhance current spreading of GaN-based light-emitting diodes (LEDs). Effects of ring-shaped SiO2 current blocking layer (CBL) thickness on optical and electrical characteristics of high power LEDs were investigated. A 190-nm-thick ring-shaped SiO2 CBL with inclined sidewalls was obtained using a combination of a thermally reflowed photoresist technique and an inductively coupled plasma (ICP) etching process, allowing for the deposition of conformal indium tin oxide (ITO) transparent conductive layer on sidewalls of ring-shaped SiO2 CBL. It was indicated that the external quantum efficiency (EQE) of high power LEDs increased with increasing thickness of ring-shaped SiO2 CBL. The EQE of high power LED with 190-nm-thick ring-shaped SiO2 CBL was 12.7% higher than that of high power LED without SiO2 CBL. Simulations performed with commercial SimuLED software package showed that the ring-shaped SiO2 CBL could significantly alleviate current crowding around p-electrode, resulting in enhanced current spreading over the entire high power LED structure.

  6. Testing of Wrought Iridium/Chemical Vapor Deposition Rhenium Rocket

    NASA Technical Reports Server (NTRS)

    Reed, Brian D.; Schneider, Steven J.

    1996-01-01

    A 22-N class, iridium/rhenium (Ir/Re) rocket chamber, composed of a thick (418 miocrometer) wrought iridium (Ir) liner and a rhenium substrate deposited via chemical vapor deposition, was tested over an extended period on gaseous oxygen/gaseous hydrogen (GO2/GH2) propellants. The test conditions were designed to produce species concentrations similar to those expected in an Earth-storable propellant combustion environment. Temperatures attained in testing were significantly higher than those expected with Earth-storable propellants, both because of the inherently higher combustion temperature of GO2/GH2 propellants and because the exterior surface of the rocket was not treated with a high-emissivity coating that would be applied to flight class rockets. Thus the test conditions were thought to represent a more severe case than for typical operational applications. The chamber successfully completed testing (over 11 hr accumulated in 44 firings), and post-test inspections showed little degradation of the Ir liner. The results indicate that use of a thick, wrought Ir liner is a viable alternative to the Ir coatings currently used for Ir/Re rockets.

  7. Development and study of chemical vapor deposited tantalum base alloys

    NASA Technical Reports Server (NTRS)

    Meier, G. H.; Bryant, W. A.

    1976-01-01

    A technique for the chemical vapor deposition of alloys was developed. The process, termed pulsing, involves the periodic injection of reactant gases into a previously-evacuated reaction chamber where they blanket the substrate almost instantaneously. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative numbers of the various cycles. The technique has been utilized to produce dense alloys of uniform thickness and composition (Ta- 10 wt % W) by depositing alternating layers of Ta and W by the hydrogen reduction of TaCl5 and WCl6. A similar attempt to deposit a Ta - 8 wt % W - 2 wt% Hf alloy was unsuccessful because of the difficulty in reducing HfCl4 at temperatures below those at which gas phase nucleation of Ta and W occurred.

  8. The effect of SiO2, Pt, and Pt /Au templates on the microstructure and permittivity of BaxSr1-xTiO3 films

    NASA Astrophysics Data System (ADS)

    Rundqvist, Pär; Liljenfors, Tomas; Vorobiev, Andrei; Olsson, Eva; Gevorgian, Spartak

    2006-12-01

    Ba0.25Sr0.75TiO3 (BSTO) and SrTiO3 (STO) ferroelectric thin films were grown on templates of SiO2/Si, Pt /TiO2/SiO2/Si, and Pt /Au/Pt/TiO2/SiO2/Si using pulsed laser deposition. The microstructure and surface morphology of the multilayer stacks were studied using x-ray diffraction, atomic force microscopy, and transmission electron microscopy. The microstructural analysis shows that the ferroelectric films are polycrystalline textured with a columnar structure where the grain size is 50-100nm. The BSTO films deposited at 800°C on an amorphous SiO2/Si template reveal a textured structure with a dominant (110) orientation, which is explained by a dominant growth of BSTO (110) grains due to the lower surface energy of the (110) phase. The STO and BSTO films deposited at 650°C on the Pt /TiO2/SiO2/Si and Pt /Au/Pt/TiO2/SiO2/Si templates, respectively, reveal a structure with a dominant (111) orientation, which is explained by the dominant growth of BSTO (STO) (111) grains imposed by the underlying Pt (111) texture. In all cases the ferroelectric films are subject to compressive in-plane strain which is different for different grain orientations. Strain modified permittivities of ferroelectric films grown on different templates are calculated from first principles for different orientations and compared with measured results. The correlations between grain orientations, grain sizes, grain boundaries, strain, and dielectric permittivity of ferroelectric films on different templates are discussed.

  9. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drake, Tasha L.; Stair, Peter C., E-mail: pstair@u.northwestern.edu

    2016-09-15

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO{sub x} growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm{sup 2} on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsingmore » strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.« less

  10. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  11. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  12. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    NASA Astrophysics Data System (ADS)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  13. The Vapor Deposition Model of Space Weathering: A Strawman Paradigm for the Moon

    NASA Astrophysics Data System (ADS)

    Hapke, Bruce W.

    1998-01-01

    Understanding space weathering on the lunar surface is essential to solving a number of major problems, including correctly interpreting lunar remote-sensing observations, understanding physical and chemical processes in the lunar regolith, and extrapolating to other bodies, especially Mercury, the asteroids, and the parent bodies of the ordinary chondrites. Hence, it is of great importance to correctly identify the process or processes that dominate lunar space weathering. The vapor deposition model postulates that lunar space weathering occurs as a result of the production of submicrscopic metallic iron (SMFe, also called superparamagnetic iron and nanophase iron) particles in the regolith by the intrinsic differentiation that accompanies the deposition of silicate vapor produced by both solar wind sputtering and micrometeorite impacts. This is the only process that has been demonstrated repeatedly by laboratory experiments to be capable of selectively producing SMFe. Hence, at present, it must be regarded as the leading contender for the correct model of lunar space weathering. This paper reviews the features of the vapor deposition model. The basic mechanism of the model relies on the fact that the porous microrelief of the lunar regolith allows most of the vapor produced by sputtering and impacts to be retained in the soil, rather than escaping from the Moon. As the individual vapor atoms impact the soil grain surfaces, they are first weakly bound by physical adsorption processes, and so have a finite probability of desorbing and escaping. Since the O is the most volatile, it escapes preferentially. The remaining atoms become chemically bound and form amorphous coatings on lunar soil grains. Because Fe is the most easily reduced of the major cations in the soil, the O deficiency manifests itself in the form of interstitial Fe0 in the glass deposits. Subsequent heating by impacts allows the Feo atoms to congregate together by solid-state diffusion to form SMFe

  14. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    NASA Astrophysics Data System (ADS)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  15. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  16. Study of Silicidation Process of Tungsten Catalyzer during Silicon Film Deposition in Catalytic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Honda, Kazuhiro; Ohdaira, Keisuke; Matsumura, Hideki

    2008-05-01

    In catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD, source gases are decomposed by catalytic cracking reactions with heated catalyzing metal wires. In the case of silicon (Si) film deposition, such metal wires are often converted to silicide, which shortens the lifetime of catalyzing wires. As a catalyzer, tungsten (W) is widely used. Thus, the process of silicidation of a W catalyzer at temperatures over 1650 °C, which is the temperature used in Cat-CVD for Si film deposition, was studied extensively in various experiments. It is found that two phases of tungsten-silicide, WSi2 and W5Si3, are formed at this temperature, and that the radiation emissivity of WSi2 is 1.2 to 1.7 times higher than that of W5Si3 and pure W. The increase of surface emissivity due to the formation of WSi2 decreases the catalyzer surface temperature which induces further growth of the tungsten-silicide layer. It is also found that the suppression of WSi2 formation by elevating catalyzer temperatures over 1750 °C is a key to extending the lifetime of the W catalyzer in Cat-CVD.

  17. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    PubMed

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  18. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid [Raymond, OH; Hornyak, Louis [Evergreen, CO; Dillon, Anne C [Boulder, CO; Heben, Michael J [Denver, CO

    2008-10-07

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  19. Continuous growth of single-wall carbon nanotubes using chemical vapor deposition

    DOEpatents

    Grigorian, Leonid; Hornyak, Louis; Dillon, Anne C; Heben, Michael J

    2014-09-23

    The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.

  20. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  1. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    PubMed

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  2. Highly dispersed SiO x/Al 2O 3 catalysts illuminate the reactivity of isolated silanol sites

    DOE PAGES

    Mouat, Aidan R.; George, Cassandra; Kobayashi, Takeshi; ...

    2015-09-23

    The reaction of γ-alumina with tetraethylorthosilicate (TEOS) vapor at low temperatures selectively yields monomeric SiO x species on the alumina surface. These isolated (-AlO) 3Si(OH) sites are characterized by PXRD, XPS, DRIFTS of adsorbed NH 3, CO, and pyridine, and 29Si and 27Al DNP-enhanced solid-state NMR spectroscopy. The formation of isolated sites suggests that TEOS reacts preferentially at strong Lewis acid sites on the γ-Al 2O 3 surface, functionalizing the surface with “mild” Brønsted acid sites. As a result, for liquid-phase catalytic cyclohexanol dehydration, these SiO x sites exhibit up to 3.5-fold higher specific activity than the parent alumina withmore » identical selectivity.« less

  3. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  4. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  5. Vaporization and thermodynamics of forsterite-rich olivine and some implications for silicate atmospheres of hot rocky exoplanets

    NASA Astrophysics Data System (ADS)

    Costa, Gustavo C. C.; Jacobson, Nathan S.; Fegley, Bruce, Jr.

    2017-06-01

    We describe an experimental and theoretical study of olivine [Mg2SiO4 (Fo)-Fe2SiO4 (Fa)] vaporization. The vaporization behavior and thermodynamic properties of a fosterite-rich olivine (Fo95Fa5) have been explored by high-temperature Knudsen effusion mass spectrometry (KEMS) from 1750 to 2250 K. The gases observed (in order of decreasing partial pressure) are Fe, SiO, Mg, O2 and O. We measured the solidus temperature (∼2050 K), partial pressures of individual gases, the total vapor pressure, and thermodynamic activities and partial molar enthalpies of MgO, 'FeO', and SiO2 for the Fo95Fa5 olivine. The results are compared to other measurements and models of the olivine system. Our experimental data show olivine vaporizes incongruently. We discuss this system both as a psuedo-binary of Fo-Fa and a psuedo-ternary of MgO-'FeO'-SiO2. Iron/magnesium molar ratios in the sample before (∼0.05) and after (∼0.04) vaporization are consistent with the small positive deviations from ideality of fayalite (γ ∼ 1.17) in olivine of the composition studied (e.g., Nafziger and Muan, 1967). Our data for olivine + melt confirm prior theoretical models predicting fractional vaporization of Fe relative to Mg from molten silicates (Fegley and Cameron, 1987; Schaefer and Fegley, 2009; Ito et al., 2015). If loss of silicate atmospheres occurs from hot rocky exoplanets with magma oceans the residual planet may be enriched in magnesium relative to iron.

  6. Improved Optical Transmittance and Crystal Characteristics of ZnS:TbOF Thin Film on Bi4Ti3O12/Indium Tin Oxide/Glass Substrate by Using a SiO2 Buffer Layer

    NASA Astrophysics Data System (ADS)

    Chia, Wei‑Kuo; Yokoyama, Meiso; Yang, Cheng‑Fu; Chiang, Wang‑Ta; Chen, Ying‑Chung

    2006-07-01

    Bi4Ti3O12 thin films are deposited on indium tin oxide (ITO)/glass substrates using RF magnetron sputtering technology and are annealed at 675 °C in a rapid thermal annealing furnace in an oxygen atmosphere. The resulting films have high optical transmittances and good crystalline characteristics. ZnS:TbOF films are then deposited on the Bi4Ti3O12 films, causing the originally highly transparent specimens to blacken and to resemble a glass surface coated with carbon powder. The optical transmittance of the specimen is less than 15% under the visible wavelength range, and neither a crystalline phase nor a distinct ZnS grain structure is evident in X-ray diffractometer (XRD) and scanning electronic microscope (SEM). Secondary ion mass spectrometer (SIMS) analysis reveals the occurrence of interdiffusion between the ZnS and Bi4Ti3O12 layers. This suggests that one or more unknown chemical reactions take place among the elements Bi, S, and O at the interface during the deposition of ZnS:TbOF film on a Bi4Ti3O12/ITO/glass substrate. These reactions cause the visible transmittance of the specimens to deteriorate dramatically. To prevent interdiffusion, a silicon dioxide (SiO2) buffer layer 100 nm thick was grown on the Bi4Ti3O12/ITO/glass substrate using plasma-enhanced chemical vapor deposition (PECVD), then the ZnS:TbOF film was grown on the SiO2 buffer layer. The transmittance of the resulting specimen is enhanced approximately 8-fold in the visible region. XRD patterns reveal the ZnS(111)-oriented phase is dominant. Furthermore, dense, crack-free ZnS:TbOF grains are observed by SEM. The results imply that the SiO2 buffer layer sandwiched between the ZnS:TbOF and Bi4Ti3O2 layers effectively separates the two layers. Therefore, interdiffusion and chemical reactions are prevented at the interface of the two layers, and the crystalline characteristics of the ZnS:TbOF layer and the optical transmittance of the specimen are improved as a result. Finally, the dielectric

  7. Controlled growth of MoS2 nanopetals on the silicon nanowire array using the chemical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Chen, Shang-Min; Lin, Yow-Jon

    2018-01-01

    In order to get a physical/chemical insight into the formation of nanoscale semiconductor heterojunctions, MoS2 flakes are deposited on the silicon nanowire (SiNW) array by chemical vapor deposition (CVD). In this study, H2O2 treatment provides a favorable place where the formation of Sisbnd O bonds on the SiNW surfaces that play important roles (i.e., the nucleation centers, catalyst control centers or ;seeds;) can dominate the growth of MoS2 on the SiNWs. Using this configuration, the effect of a change in the S/MoO3 mass ratio (MS/MMoO3) on the surface morphology of MoS2 is studied. It is shown that an increase in the value of MS/MMoO3 leads to the increased nucleation rate, increasing the size of MoS2 nanopetals. This study provides valuable scientific information for directly CVD-grown edge-oriented MoS2/SiNWs heterojunctions for various nanoscale applications, including hydrogen evolution reaction and electronic and optoelectronic devices.

  8. Synthesis of nanocrystalline α - Zn 2SiO 4 at ZnO-porous silicon interface: Phase transition study

    NASA Astrophysics Data System (ADS)

    Singh, R. G.; Singh, Fouran; Mehra, R. M.; Kanjilal, D.; Agarwal, V.

    2011-05-01

    Thermal annealing induced formation of nanocrystalline Zinc silicate (α-Zn 2SiO 4) at the interface of ZnO-porous silicon (PSi) nanocomposites is reported. The PSi templates were formed by electrochemical anodization of p-type (100) Si and ZnO crystallites were deposited on the PSi surface by a Sol-gel spin coating process. The formation of α-Zn 2SiO 4 is confirmed by glancing angle X-ray diffraction and Fourier transform infrared spectroscopy studies. The presence of intense yellow-green emission also confirms the formation of α-Zn 2SiO 4. The mechanism of silicate phase formation at the ZnO-PSi interface and the origin of various photoluminescence (PL) bands are discussed in view of its potential applications in advanced optoelectronic devices.

  9. Critical current density of high-quality Bi2Sr2Ca2Cu3Ox thin films prepared by metalorganic chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamasaki, H.; Endo, K.; Nakagawa, Y.; Umeda, M.; Kosaka, S.; Misawa, S.; Yoshida, S.; Kajimura, K.

    1992-10-01

    Critical current densities Jc were measured in as-deposited, c-axis-oriented Bi2Sr2Ca2Cu3Ox thin films with Tc values as high as 97 K, which were prepared by metalorganic chemical-vapor deposition. These films showed high Jc (≳109 A/m2) at 77.3 K in high magnetic fields (≥1 T, H∥a-b plane). The best values are 3.3×109 A/m2 at 1 T and 9.1×108 A/m2 at 8 T, which are the highest Jc for Bi-oxide thin films among those reported so far. There were no signs of weak links in the Jc(H) behavior, and the surface morphology examined by scanning electron microscopy showed no apparent grain boundaries. The values of Jc decreased sharply when the applied field deviated from the a-b plane, and went to zero at the angles where the field component in the c direction is nearly equal to the irreversibility field Hc2* parallel to the c axis. The angular dependence of Jc of these films is most reasonably explained by the theory of intrinsic pinning.

  10. Scalable high-mobility MoS2 thin films fabricated by an atmospheric pressure chemical vapor deposition process at ambient temperature

    NASA Astrophysics Data System (ADS)

    Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.

    2014-10-01

    Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.

  11. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  12. Growth of monolayer MoS2 films in a quasi-closed crucible encapsulated substrates by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Yong; Pu, Hongbin; Lin, Tao; Li, Lianbi; Zhang, Shan; Sun, Gaopeng

    2017-07-01

    Monolayer molybdenum disulfide (m-MoS2) has attracted significant interest due to its unique electronic and optical properties. Herein, we report the successful fabrication of high quality and continuous m-MoS2 films in a quasi-closed crucible encapsulated substrates via a three-zone chemical vapor deposition (CVD) system. Quasi-closed crucible lowers the concentration of precursors around substrates and makes the sulfurization rate gentle, which is beneficial for invariable m-MoS2 growth. Characterization results indicate that as-grown m-MoS2 films are of high crystallinity and high quality comparable to the exfoliated MoS2. This approach is also adapted to the growth of other transition metal dichalcogenides.

  13. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    NASA Astrophysics Data System (ADS)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  14. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  15. Morphology of the D/A interface in vapor deposited bilayer organic photovoltaics

    NASA Astrophysics Data System (ADS)

    Erwin, Patrick; Dimitriou, Michael; Thompson, Mark E.

    2017-08-01

    A series of bilayer films were prepared by vacuum deposition onto Silicon substrates. These films consisted of either Si/SiO2/donor/C60 or Si/SiO2/C60/donor, where the organic films were in the 20-40 nm thick range and the donors were 7,7-difluoro-14-phenyl-7H-6l4,7l4-[1,3,2]diazaborinino[4,3-a:6,1-a']diisoindole (bDIP), copper phthalocyanine (CuPC), 3,6,11,14-tetraphenyldiindeno[1,2,3-cd:1',2',3'-lm]perylene (DBP) and 2-(4-(diphenylamino)-2,6- dihydroxyphenyl)-4-(4-(diphenyliminio)-2,6-dihydroxycyclohexa-2,5-dien-1-ylidene)-3-oxocyclobut-1-en-1-olate (DPSQ). The donors chosen here have been reported to give good power efficiencies when incorporated into bilayer photovoltaic cells with a C60 acceptor. These bilayer films were examined by neutron reflectometry to characterize the interface between the donor and C60. In the SiO2/donor/C60 films, DPSQ, CuPC, and DBP show a discrete interface with C60 while bDIP shows substantial spontaneous mixing at the interface, consistent with a donor/(donor + C60)/C60 structure, where the mixed layer is 14 nm.. In the SiO2/C60/donor films, all four donors show negligible mixing at the D/A interface consistent with a discrete D/A junction.

  16. A flexible insulator of a hollow SiO2 sphere and polyimide hybrid for flexible OLEDs.

    PubMed

    Kim, Min Kyu; Kim, Dong Won; Shin, Dong Wook; Seo, Sang Joon; Chung, Ho Kyoon; Yoo, Ji Beom

    2015-01-28

    The fabrication of interlayer dielectrics (ILDs) in flexible organic light-emitting diodes (OLEDs) not only requires flexible materials with a low dielectric constant, but also ones that possess the electrical, thermal, chemical, and mechanical properties required for optimal device performance. Porous polymer-silica hybrid materials were prepared to satisfy these requirements. Hollow SiO2 spheres were synthesized using atomic layer deposition (ALD) and a thermal calcination process. The hybrid film, which consists of hollow SiO2 spheres and polyimide, shows a low dielectric constant of 1.98 and excellent thermal stability up to 500 °C. After the bending test for 50 000 cycles, the porous hybrid film exhibits no degradation in its dielectric constant or leakage current. These results indicate that the hybrid film made up of hollow SiO2 spheres and polyimide (PI) is useful as a flexible insulator with a low dielectric constant and high thermal stability for flexible OLEDs.

  17. Texture related unusual phenomena in electrodeposition and vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, D. N.; Han, H. N.

    2015-04-01

    The tensile strength of electrodeposits generally decreases with increasing bath temperature because the grain size increases and the dislocation density decreases with increasing bath temperature. Therefore, discontinuities observed in the tensile strength vs. bath temperature curves in electrodeposition of copper are unusual. The tensile strength of electrodeposits generally increases with increasing cathode current density because the rate of nucleation in electrodeposits increases with increasing current density, which in turn gives rise to a decrease in the grain size and in turn an increase in the strength. Therefore, a decrease in the tensile strength of copper electrodeposits at a high current density is unusual. The grain size of vapor deposits is expected to decrease with decreasing substrate temperature. However, rf sputtered Co-Cr deposits showed that deposits formed on water-cooled polyimide substrates had a larger grain size than deposits formed on polyimide substrates at 200 °C. These unusual phenomena can be explained by the preferred growth model for deposition texture evolution.

  18. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  19. Physical vapor deposition of one-dimensional nanoparticle arrays on graphite: seeding the electrodeposition of gold nanowires.

    PubMed

    Cross, C E; Hemminger, J C; Penner, R M

    2007-09-25

    One-dimensional (1D) ensembles of 2-15 nm diameter gold nanoparticles were prepared using physical vapor deposition (PVD) on highly oriented pyrolytic graphite (HOPG) basal plane surfaces. These 1D Au nanoparticle ensembles (NPEs) were prepared by depositing gold (0.2-0.6 nm/s) at an equivalent thickness of 3-4 nm onto HOPG surfaces at 670-690 K. Under these conditions, vapor-deposited gold nucleated selectively at the linear step edge defects present on these HOPG surfaces with virtually no nucleation of gold particles on terraces. The number density of 2-15 nm diameter gold particles at step edges was 30-40 microm-1. These 1D NPEs were up to a millimeter in length and organized into parallel arrays on the HOPG surface, following the organization of step edges. Surprisingly, the deposition of more gold by PVD did not lead to the formation of continuous gold nanowires at step edges under the range of sample temperature or deposition flux we have investigated. Instead, these 1D Au NPEs were used as nucleation templates for the preparation by electrodeposition of gold nanowires. The electrodeposition of gold occurred selectively on PVD gold nanoparticles over the potential range from 700-640 mV vs SCE, and after optimization of the electrodeposition parameters continuous gold nanowires as small as 80-90 nm in diameter and several micrometers in length were obtained.

  20. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  1. Chemical vapor deposition modeling: An assessment of current status

    NASA Technical Reports Server (NTRS)

    Gokoglu, Suleyman A.

    1991-01-01

    The shortcomings of earlier approaches that assumed thermochemical equilibrium and used chemical vapor deposition (CVD) phase diagrams are pointed out. Significant advancements in predictive capabilities due to recent computational developments, especially those for deposition rates controlled by gas phase mass transport, are demonstrated. The importance of using the proper boundary conditions is stressed, and the availability and reliability of gas phase and surface chemical kinetic information are emphasized as the most limiting factors. Future directions for CVD are proposed on the basis of current needs for efficient and effective progress in CVD process design and optimization.

  2. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    NASA Astrophysics Data System (ADS)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  3. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  4. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  5. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  6. Structural enhancement of ZnO on SiO2 for photonic applications

    NASA Astrophysics Data System (ADS)

    Ruth, Marcel; Meier, Cedrik

    2013-07-01

    Multi-layer thin films are often the basis of photonic devices. Zinc oxide (ZnO) with its excellent optoelectronic properties can serve as a high quality emitter in structures like microdisks or photonic crystals. Here, we present a detailed study on the enhancement of the structural properties of low-temperature MBE grown ZnO on silica (SiO2). By thermal annealing a grain coalescence of the initially polycrystalline layer leads to an enhancement of the electronic structure, indicated by a blue shift of the photoluminescence (PL) signal maximum. Oxygen atmosphere during the annealing process prevents the creation of intrinsic defects by out-diffusion. Pre-annealing deposited SiO2 capping layers instead obstruct the recrystallization and lead to less intense emission. While thin capping layers partially detach from the ZnO film at high temperatures and cause higher surface roughness and the weakest emission, thicker layers remain smoother and exhibit a significantly stronger photoluminescence.

  7. Backbone-Degradable Polymers Prepared by Chemical Vapor Deposition.

    PubMed

    Xie, Fan; Deng, Xiaopei; Kratzer, Domenic; Cheng, Kenneth C K; Friedmann, Christian; Qi, Shuhua; Solorio, Luis; Lahann, Joerg

    2017-01-02

    Polymers prepared by chemical vapor deposition (CVD) polymerization have found broad acceptance in research and industrial applications. However, their intrinsic lack of degradability has limited wider applicability in many areas, such as biomedical devices or regenerative medicine. Herein, we demonstrate, for the first time, a backbone-degradable polymer directly synthesized via CVD. The CVD co-polymerization of [2.2]para-cyclophanes with cyclic ketene acetals, specifically 5,6-benzo-2-methylene-1,3-dioxepane (BMDO), results in well-defined, hydrolytically degradable polymers, as confirmed by FTIR spectroscopy and ellipsometry. The degradation kinetics are dependent on the ratio of ketene acetals to [2.2]para-cyclophanes as well as the hydrophobicity of the films. These coatings address an unmet need in the biomedical polymer field, as they provide access to a wide range of reactive polymer coatings that combine interfacial multifunctionality with degradability. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. SiO2 Solubility in Rutile at High Pressure and Temperature

    NASA Astrophysics Data System (ADS)

    Ren, Y.; Fei, Y.; Yang, J.; Bai, W. J.; Xu, Z. Q.

    2005-12-01

    Silicon-bearing rutile has been found in nature. The extent of SiO2 solubility in rutile and the nature of its origin are still not clear. At high pressure, SiO2 takes rutile structure with 6-coordinated Si. The high-pressure phase of SiO2 may enhance its solubility in rutile because possible isovalent exchange in octahedral site. In this study, we report new experimental results on SiO2 solubility in rutile up to 23 GPa and 2273 K. Starting materials are mixtures of powdered TiO2 and SiO2, with compositions of (Ti0.5Si0.5)O2, (Ti0.93Si0.07)O2, and (Ti0.75Si0.25)O2. The mixtures were loaded into either a platinum capsules (for a 10/5 assembly) or a rhenium capsules (for an 8/3 assembly). The experiments were carried out using multi-anvil high-pressure apparatus with rhenium resistance heater. Sample temperatures were measured with a type-C thermocouple. The quenched samples were recovered and prepared for electron microprobe analyses. TiO2-rich and SiO2-rich phases are produced in all the quenched samples. The analyses showed that the solubility of SiO2 in rutile increases with increasing pressure, from 1.5 wt% SiO2 at 10 GPa to 3.8 wt% SiO2 at 23 GPa for a given temperature of 2073 K. The solubility also increases with increasing temperature, from 0.5 wt% SiO2 at 1773 K to 4.5 wt% SiO2 at 2273 K for a given pressure of 18 GPa. On the other hand, the solubility of TiO2 in coesite or stishovite is very limited, with an average of 0.6 wt% TiO2 over the experimental P-T ranges. Lower oxygen fugacity decreases the solubility of SiO2 in rutile, whereas water has little effect on the solubility of SiO2 in rutile. Our experimental data are extreme useful for determine the depth of origin for the SiO2-bearing rutile in nature.

  9. On the temperature dependence of Na migration in thin SiO 2 films during ToF-SIMS O 2+ depth profiling

    NASA Astrophysics Data System (ADS)

    Krivec, Stefan; Detzel, Thomas; Buchmayr, Michael; Hutter, Herbert

    2010-10-01

    The detection of Na in insulating samples by means of time of flight-secondary ion mass spectrometry (ToF-SIMS) depth profiling has always been a challenge. In particular the use of O 2+ as sputter species causes a severe artifact in the Na depth distribution due to Na migration under the influence of an internal electrical filed. In this paper we address the influence of the sample temperature on this artifact. It is shown that the transport of Na is a dynamic process in concordance with the proceeding sputter front. Low temperatures mitigated the migration process by reducing the Na mobility in the target. In the course of this work two sample types have been investigated: (i) A Na doped PMMA layer, deposited on a thin SiO 2 film. Here, the incorporation behavior of Na into SiO 2 during depth profiling is demonstrated. (ii) Na implanted into a thin SiO 2 film. By this sample type the migration behavior could be examined when defects, originating from the implantation process, are present in the SiO 2 target. In addition, we propose an approach for the evaluation of an implanted Na profile, which is unaffected by the migration process.

  10. Nanoscale investigation of platinum nanoparticles on strontium titanium oxide grown via physical vapor deposition and atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Christensen, Steven Thomas

    This dissertation examines growth of platinum nanoparticles from vapor deposition on SrTiO3 using a characterization approach that combines imaging techniques and X-ray methods. The primary suite of characterization probes includes atomic force microscopy (AFM), grazing-incidence small-angle X-ray scattering (GISAXS), X-ray fluorescence (XRF), scanning electron microscopy (SEM), and X-ray absorption spectroscopy (XAS). The vapor deposition techniques include physical vapor deposition (PVD) by evaporation and atomic layer deposition (ALD). For the PVD platinum study, AFM/XRF showed ˜10 nm nanoparticles separated by an average of 100 nm. The combination of AFM, GISAXS, and XRF indicated that the nanoparticles observed with AFM were actually comprised of closely spaced, smaller nanoparticles. These conclusions were supported by high-resolution SEM. The unusual behavior of platinum nanoparticles to aggregate without coalescence or sintering was observed previously by other researchers using transmissision electron microscopy (TEM). Platinum nanoparticle growth was also investigated on SrTiO3 (001) single crystals using ALD to nucleate nanoparticles that subsequently grew and coalesced into granular films as the ALD progresses. The expected growth rate for the early stages of ALD showed a two-fold increase which was attributed to the platinum deposition occurring faster on the bare substrate. Once the nanoparticles had coalesced into a film, steady state ALD growth proceeded. The formation of nanoparticles was attributed to the atomic diffusion of platinum atoms on the surface in addition to direct growth from the ALD precursor gases. The platinum ALD nanoparticles were also studied on SrTiO3 nanocube powders. The SrTiO3 nanocubes average 60 nm on a side and the cube faces have a {001} orientation. The ALD proceeded in a similar fashion as on the single crystal substrates where the deposition rate was twice as fast as the steady state growth rate. The Pt nanoparticle

  11. Highly Luminescent Hybrid SiO2-Coated CdTe Quantum Dots Retained Initial Photoluminescence Efficiency in Sol-Gel SiO2 Film.

    PubMed

    Sun, Hongsheng; Xing, Yugui; Wu, Qinan; Yang, Ping

    2015-02-01

    A highly luminescent silica film was fabricated using tetraethyl orthosilicate (TEOS) and 3-aminopropyltrimethoxysilane (APS) through a controlled sol-gel reaction. The pre-hydrolysis of TEOS and APS which resulted in the mixture of TEOS and APS in a molecular level is a key for the formation of homogenous films. The aminopropyl groups in APS play an important role for obtaining homogeneous film with high photoluminescence (PL). Red-emitting hybrid SiO2-coated CdTe nano-crystals (NCs) were fabricated by a two-step synthesis including a thin SiO2 coating via a sol-gel process and a subsequent refluxing using green-emitting CdTe NCs. The hybrid SiO2-coated CdTe NCs were embedded in a functional SiO2 film via a two-step process including adding the NCs in SiO2 sol with a high viscosity and almost without ethanol and a subsequent spinning coating. The hybrid SiO2-coated CdTe NCs retained their initial PL efficiency (54%) in the film. Being encapsulated with the hybrid NCs in the film, no change on the absorption and PL spectra of red-emitting CdTe NCs (632 nm) was observed. This indicates the hybrid NCs is stable enough during preparation. This phenomenon is ascribed to the controlled sol-gel process and a hybrid SiO2 shell on CdTe NCs. Because these films exhibited high PL efficiency and stability, they will be utilizable for potential applications in many fields.

  12. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  13. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  14. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.

    2003-10-01

    SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  15. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  16. Zinc doping of large-area MoS2 films via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi; Liu, Haoming; Park, Kyungwha; Li, Zhen; Losovyj, Yaroslav; Starr, Matthew; Werbianskyj, Madilynn; Fertig, Herbert; Zhang, Shixiong

    Atomically thin molybdenum disulfide (MoS2) has attracted significant attention because of its great potential for electronic and optoelectronic applications. Undoped MoS2 is n-type presumably due to the formation of native defects, and realizing p-type conduction has often turned out to be challenging. In this work, we report on the synthesis and characterizations of large-area Zn-doped MoS2 thin films in which the zinc dopant is demonstrated to be p-type. The films were grown by chemical vapor deposition and are monolayers or bilayers with a lateral dimension on the order of millimeters. The p-type nature of Zn dopants was evidenced by the suppression of n-type conduction and a downward shift of the Fermi level with doping. Density-functional-theory calculations were carried out to demonstrate the stability of the Zn dopants and to determine the impurity states. A p-type gate transfer characteristic was observed after the Zn-MoS2 film was thermally annealed in a sulfur atmosphere. This work is supported by the NSF through Grant Nos. DMR-1506460, DMR-1506263, and DMR-1206354, the San Diego Supercomputer Center (SDSC) Gordon under DMR060009N, and by the US-Israel Binational Science Foundation.

  17. Optical coatings of variable refractive index and high laser-resistance from physical-vapor-deposited perfluorinated amorphous polymer

    DOEpatents

    Chow, R.; Loomis, G.E.; Thomas, I.M.

    1999-03-16

    Variable index optical single-layers, optical multilayer, and laser-resistant coatings were made from a perfluorinated amorphous polymer material by physical vapor deposition. This was accomplished by physically vapor depositing a polymer material, such as bulk Teflon AF2400, for example, to form thin layers that have a very low refractive index (ca. 1.10--1.31) and are highly transparent from the ultra-violet through the near infrared regime, and maintain the low refractive index of the bulk material. The refractive index can be varied by simply varying one process parameter, either the deposition rate or the substrate temperature. The thus forming coatings may be utilized in anti-reflectors and graded anti-reflection coatings, as well as in optical layers for laser-resistant coatings at optical wavelengths of less than about 2000 nm. 2 figs.

  18. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  19. Advanced Cu chemical displacement technique for SiO2-based electrochemical metallization ReRAM application.

    PubMed

    Chin, Fun-Tat; Lin, Yu-Hsien; You, Hsin-Chiang; Yang, Wen-Luh; Lin, Li-Min; Hsiao, Yu-Ping; Ko, Chum-Min; Chao, Tien-Sheng

    2014-01-01

    This study investigates an advanced copper (Cu) chemical displacement technique (CDT) with varying the chemical displacement time for fabricating Cu/SiO2-stacked resistive random-access memory (ReRAM). Compared with other Cu deposition methods, this CDT easily controls the interface of the Cu-insulator, the switching layer thickness, and the immunity of the Cu etching process, assisting the 1-transistor-1-ReRAM (1T-1R) structure and system-on-chip integration. The modulated shape of the Cu-SiO2 interface and the thickness of the SiO2 layer obtained by CDT-based Cu deposition on SiO2 were confirmed by scanning electron microscopy and atomic force microscopy. The CDT-fabricated Cu/SiO2-stacked ReRAM exhibited lower operation voltages and more stable data retention characteristics than the control Cu/SiO2-stacked sample. As the Cu CDT processing time increased, the forming and set voltages of the CDT-fabricated Cu/SiO2-stacked ReRAM decreased. Conversely, decreasing the processing time reduced the on-state current and reset voltage while increasing the endurance switching cycle time. Therefore, the switching characteristics were easily modulated by Cu CDT, yielding a high performance electrochemical metallization (ECM)-type ReRAM.

  20. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    NASA Astrophysics Data System (ADS)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  1. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  2. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  3. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  5. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  6. Chemical vapor deposited carbon nanotubes for aqueous H2-Cl2 fuel cells.

    PubMed

    Suryavanshi, U B; Bhosale, C H

    2010-06-01

    Carbon nanotubes having large surface area is an interesting material to develop H2-Cl2 fuel cell electrodes. The attempts were made to deposit carbon nanotubes on porous substrates by chemical vapour deposition. Turpentine oil (C10H16) was used as a precursor, decomposed at 1100 degrees C reactor temperature. Nickel, platinum, tin, Ni-Pt, Ni-Sn, Pt-Sn, Ni-Pt-Sn catalysts were used to grow carbon nanotubes. Nickel was deposited with electrodeposition, platinum with sputter coater and tin with vacuum deposition technique. The developed electrodes were characterized by XRD, SEM, TEM, FTIR, and resistivity by van-der Pauw method. Carbon nanotubes have been formed for 0.25 N nickel deposited for 45 and 60 min; 0.5 N, 0.75 N and 1 N nickel deposited for 15 to 60 min, at the interval of 15. Ni-Pt, Ni-Sn, Pt-Sn and Ni-Pt-Sn activated carbon also shows the well grown CNTs. Aqueous H2-Cl2 fuel cell performance was tested with these grown carbon nanotubes. 40% KCl with 1067 mohm(-1) cm(-1) conductivity was used as electrolyte. Linear sweep voltametry shows reduction potential for hydrogen gas. Chronoamperometry results show better half cell performance for nickel, deposited with 1 N, 45 min deposition time period; and combination of Ni-Pt-Sn with 140, and 110-100 mA/cm2 stable current density respectively.

  7. Growth of oriented polycrystalline α-HgI 2 films by ultrasonic-wave-assisted physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weiguang; Nie, Lei; Li, Dongmei; Wang, Yali; Zhou, Jie; Ma, Lei; Wang, Zhenhua; Shi, Weimin

    2011-06-01

    Polycrystalline α-HgI 2 thick films have been grown on ITO-coated glass substrates using ultrasonic-wave-assisted vapor phase deposition (UWAVPD) with the different source temperatures and ultrasonic frequencies. The influence of the assisted ultrasonic wave and source temperature on the structural and electrical properties of the polycrystalline α-HgI 2 films is investigated. It is found that the assisted ultrasonic wave plays an important role in the improvement of the structural and electrical properties. An uniformly oriented polycrystalline α-HgI 2 film with clear facets and narrow size distribution can be obtained at the source temperature of 80 °C under the assistance of 59 KHz ultrasonic frequency with the ultrasonic power of 200 W, which has the lowest value of ρ=2.2×10 12 Ω cm for E-field parallel to c-axis, approaching to that of high quality α-HgI 2 single crystals (4.0×10 12 Ω cm).

  8. Modeling of InP metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.

    1991-01-01

    The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.

  9. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  10. Layer uniformity in glucose oxidase immobilization on SiO 2 surfaces

    NASA Astrophysics Data System (ADS)

    Libertino, Sebania; Scandurra, Antonino; Aiello, Venera; Giannazzo, Filippo; Sinatra, Fulvia; Renis, Marcella; Fichera, Manuela

    2007-09-01

    The goal of this work was the characterization, step by step, of the enzyme glucose oxidase (GOx) immobilization on silicon oxide surfaces, mainly by means of X-Ray photoelectron spectroscopy (XPS). The immobilization protocol consists of four steps: oxide activation, silanization, linker molecule deposition and GOx immobilization. The linker molecule, glutaraldehyde (GA) in this study, must be able to form a uniform layer on the sample surface in order to maximize the sites available for enzyme bonding and achieve the best enzyme deposition. Using a thin SiO 2 layer grown on Si wafers and following the XPS Si2p signal of the Si substrate during the immobilization steps, we demonstrated both the glutaraldehyde layer uniformity and the possibility to use XPS to monitor thin layer uniformity. In fact, the XPS substrate signal, not shielded by the oxide, is suppressed only when a uniform layer is deposited. The enzyme correct immobilization was monitored using the XPS C1s and N1s signals. Atomic force microscopy (AFM) measurements carried out on the same samples confirmed the results.

  11. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  12. SiO2 and TiO2 nanoparticles synergistically trigger macrophage inflammatory responses.

    PubMed

    Tsugita, Misato; Morimoto, Nobuyuki; Nakayama, Masafumi

    2017-04-11

    Silicon dioxide (SiO 2 ) nanoparticles (NPs) and titanium dioxide (TiO 2 ) NPs are the most widely used inorganic nanomaterials. Although the individual toxicities of SiO 2 and TiO 2 NPs have been extensively studied, the combined toxicity of these NPs is much less understood. In this study, we observed unexpected and drastic activation of the caspase-1 inflammasome and production of IL-1β in mouse bone marrow-derived macrophages stimulated simultaneously with SiO 2 and TiO 2 NPs at concentrations at which these NPs individually do not cause macrophage activation. Consistent with this, marked lung inflammation was observed in mice treated intratracheally with both SiO 2 and TiO 2 NPs. In macrophages, SiO 2 NPs localized in lysosomes and TiO 2 NPs did not; while only TiO 2 NPs produced ROS, suggesting that these NPs induce distinct cellular damage leading to caspase-1 inflammasome activation. Intriguingly, dynamic light scattering measurements revealed that, although individual SiO 2 and TiO 2 NPs immediately aggregated to be micrometer size, the mixture of these NPs formed a stable and relatively monodisperse complex with a size of ~250 nm in the presence of divalent cations. Taken together, these results suggest that SiO 2 and TiO 2 NPs synergistically induce macrophage inflammatory responses and subsequent lung inflammation. Thus, we propose that it is important to assess the synergistic toxicity of various combinations of nanomaterials.

  13. Low-Power RIE of SiO2 in CHF3 To Obtain Steep Sidewalls

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process has been developed to enable the formation of holes with steep sidewalls in a layer of silicon dioxide that covers a silicon substrate. The holes in question are through the thickness of the SiO2 and are used to define silicon substrate areas to be etched or to be built upon through epitaxial deposition of silicon. The sidewalls of these holes are required to be vertical in order to ensure that the sidewalls of the holes to be etched in the substrate or the sidewalls of the epitaxial deposits, respectively, also turn out to be vertical.

  14. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  15. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  16. Transport and retention of engineered Al2O3, TiO2, and SiO2 nanoparticles through various sedimentary rocks.

    PubMed

    Bayat, Ali Esfandyari; Junin, Radzuan; Shamshirband, Shahaboddin; Chong, Wen Tong

    2015-09-16

    Engineered aluminum oxide (Al2O3), titanium dioxide (TiO2), and silicon dioxide (SiO2) nanoparticles (NPs) are utilized in a broad range of applications; causing noticeable quantities of these materials to be released into the environment. Issues of how and where these particles are distributed into the subsurface aquatic environment remain as major challenges for those in environmental engineering. In this study, transport and retention of Al2O3, TiO2, and SiO2 NPs through various saturated porous media were investigated. Vertical columns were packed with quartz-sand, limestone, and dolomite grains. The NPs were introduced as a pulse suspended in aqueous solutions and breakthrough curves in the column outlet were generated using an ultraviolet-visible spectrophotometer. It was found that Al2O3 and TiO2 NPs are easily transported through limestone and dolomite porous media whereas NPs recoveries were achieved two times higher than those found in the quartz-sand. The highest and lowest SiO2-NPs recoveries were also achieved from the quartz-sand and limestone columns, respectively. The experimental results closely replicated the general trends predicted by the filtration and DLVO calculations. Overall, NPs mobility through a porous medium was found to be strongly dependent on NP surface charge, NP suspension stability against deposition, and porous medium surface charge and roughness.

  17. Transport and retention of engineered Al2O3, TiO2, and SiO2 nanoparticles through various sedimentary rocks

    PubMed Central

    Esfandyari Bayat, Ali; Junin, Radzuan; Shamshirband, Shahaboddin; Tong Chong, Wen

    2015-01-01

    Engineered aluminum oxide (Al2O3), titanium dioxide (TiO2), and silicon dioxide (SiO2) nanoparticles (NPs) are utilized in a broad range of applications; causing noticeable quantities of these materials to be released into the environment. Issues of how and where these particles are distributed into the subsurface aquatic environment remain as major challenges for those in environmental engineering. In this study, transport and retention of Al2O3, TiO2, and SiO2 NPs through various saturated porous media were investigated. Vertical columns were packed with quartz-sand, limestone, and dolomite grains. The NPs were introduced as a pulse suspended in aqueous solutions and breakthrough curves in the column outlet were generated using an ultraviolet-visible spectrophotometer. It was found that Al2O3 and TiO2 NPs are easily transported through limestone and dolomite porous media whereas NPs recoveries were achieved two times higher than those found in the quartz-sand. The highest and lowest SiO2-NPs recoveries were also achieved from the quartz-sand and limestone columns, respectively. The experimental results closely replicated the general trends predicted by the filtration and DLVO calculations. Overall, NPs mobility through a porous medium was found to be strongly dependent on NP surface charge, NP suspension stability against deposition, and porous medium surface charge and roughness. PMID:26373598

  18. Spontaneous Oscillations and Waves during Chemical Vapor Deposition of InN

    NASA Astrophysics Data System (ADS)

    Jiang, F.; Munkholm, A.; Wang, R.-V.; Streiffer, S. K.; Thompson, Carol; Fuoss, P. H.; Latifi, K.; Elder, K. R.; Stephenson, G. B.

    2008-08-01

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  19. Spontaneous oscillations and waves during chemical vapor deposition of InN.

    PubMed

    Jiang, F; Munkholm, A; Wang, R-V; Streiffer, S K; Thompson, Carol; Fuoss, P H; Latifi, K; Elder, K R; Stephenson, G B

    2008-08-22

    We report observations of self-sustaining spatiotemporal chemical oscillations during metal-organic chemical vapor deposition of InN onto GaN. Under constant supply of vapor precursors trimethylindium and NH3, the condensed-phase cycles between crystalline islands of InN and elemental In droplets. Propagating fronts between regions of InN and In occur with linear, circular, and spiral geometries. The results are described by a model in which the nitrogen activity produced by surface-catalyzed NH3 decomposition varies with the exposed surface areas of GaN, InN, and In.

  20. Synthesis of Ni nanoparticles decorated SiO2/TiO2 magnetic spheres for enhanced photocatalytic activity towards the degradation of azo dye

    NASA Astrophysics Data System (ADS)

    Mahesh, K. P. O.; Kuo, Dong-Hau

    2015-12-01

    Highly photocatalytic active Ni magnetic nanoparticles-decorated SiO2 core/TiO2 shell (Ni-SiO2/TiO2) particles have been prepared by the simultaneous hydrolysis and condensation of titanium tetra-isopropoxide on SiO2 sphere of ∼300 nm in size followed by the reduction of nickel chloride using hydrazine hydrate as a reducing agent. The crystalline nature, surface morphology, electrochemical impedance spectra and UV-vis diffuse reflectance spectra of the Ni-SiO2/TiO2 magnetic spheres were characterized by PXRD, FE-SEM, TEM, EIS and UV-vis DRS. The Ni-SiO2/TiO2 magnetic photocatalyst was used for the degradation of Acid Black 1 (AB 1) dye under UV irradiation. The effects of different concentrations of the Ni nanoparticles deposited on the SiO2/TiO2 composite spheres for the photo-mineralization of AB 1 dye were analyzed. The results showed the Ni-SiO2/TiO2 magnetic photocatalyst to be efficient and reusable.

  1. Nrf2 protects against oxidative stress induced by SiO2 nanoparticles.

    PubMed

    Liu, Wei; Hu, Tao; Zhou, Li; Wu, Desheng; Huang, Xinfeng; Ren, Xiaohu; Lv, Yuan; Hong, Wenxu; Huang, Guanqin; Lin, Zequn; Liu, Jianjun

    2017-10-01

    The aim of our study was to explore the role of nuclear factor erythroid 2 (NF-E2)-related factor 2 (Nrf2) on the exposure of SiO 2 nanoparticles (NPs) and its influence. To understand the mechanism of NP-induced oxidative stress, the involvement of oxidative-stress-responding transcription factors and the Nrf2/antioxidant reactive element (ARE) signaling pathway in the toxicity of SiO 2 NPs' exposure was investigated via in vivo and in vitro models. A549 cells showed a significant cytotoxic effect while A549-shNrf2 cells showed decreased cell viability after nm-SiO 2 exposure. SiO 2 NPs' exposure activated the Nrf2/ARE signaling pathway. Nrf2 -/- exposed mice showed increased reactive oxygen species, 8-hydroxyl deoxyguanosine level and decreased total antioxidant capacity. Nrf2/ARE signaling pathway activation disrupted, leading inhibition of heme oxygenase-1 and upregulation of PKR-like endoplasmic-reticulum-regulated kinase. Our findings suggested that Nrf2 could protect against oxidative stress induced by SiO 2 NPs, and the Nrf2/ARE pathway might be involved in mild-to-moderate SiO 2 NP-induced oxidative stress that was evident from dampened activity of Nrf2.

  2. Synthesis of a conducting SiO2-carbon composite from commercial silicone grease and its conversion to paramagnetic SiO2 particles.

    PubMed

    Pol, V G; Pol, S V; George, P P; Markovsky, B; Gedanken, A

    2006-07-13

    The thermal decomposition of commercial silicone grease was carried out in a closed reactor (Swagelok) that was heated at 800 degrees C for 3 h, yielding a SiO2-carbon composite with a BET surface area of 369 m2/g. The bulk conductivity (5.72 x 10(-6) S x cm(-2)) of the SiO2-carbon composite was determined by impedance measurements. The as-prepared SiO2-carbon composite was further annealed at 500 degrees C in air for 2 h, which led to the formation of white paramagnetic silica particles (confirmed by ESR), possessing a surface area of 111 m2/g. The present synthetic technique requires unsophisticated equipment and a low-cost commercial precursor, and the reaction is carried out without a solvent, surfactant, or catalyst. The mechanism for the formation of a porous SiO2-carbon composite from the silicone grease is also presented.

  3. Core-exsolved SiO2 Dispersal in the Earth's Mantle

    NASA Astrophysics Data System (ADS)

    Helffrich, G. R.; Ballmer, M.; Hirose, K.

    2017-12-01

    SiO2 may have been expelled from the core following its formation in the early stages of Earth's accretion and onwards through the present day. On account of SiO2's low density with respect to both the core and the lowermost mantle, we examine the process of SiO2 accumulation at the core-mantle boundary (CMB) and its incorporation into the mantle by buoyant rise. Today, the if SiO2 is 100-10000 times more viscous than lower mantle material, the dimensions of SiO2 diapirs formed by the viscous Rayleigh-Taylor instability at the CMB would cause them to be swept into the mantle as inclusions of 100 m - 10 km diameter. Under early Earth conditions of rapid heat loss after core formation, SiO2 diapirs of 5-80 km diameter could have risen independently of mantle flow to their level of neutral buoyancy in the mantle, trapping them there due to a combination of high viscosity and neutral buoyancy. We examine the SiO2 yield by assuming Si+O saturation at the conditions found at the base of a magma ocean and find that for a range of conditions, dispersed bodies could reach as high as 2 volume percent in shallow parts of the lower mantle, with their abundance decreasing with depth. At such low concentrations, their effect on aggregate seismic wavespeeds would be within the uncertainty of the radial Earth model PREM. However, their presence would be revealed by small-scale scattering in the lower mantle due to the bodies' large velocity contrast. We conclude that the shallow lower mantle (700-1500 km depth) could harbor SiO2 released in early Earth times.

  4. Sodium sulfate - Deposition and dissolution of silica

    NASA Technical Reports Server (NTRS)

    Jacobson, Nathan S.

    1989-01-01

    The hot-corrosion process for SiO2-protected materials involves deposition of Na2SO4 and dissolution of the protective SiO2 scale. Dew points for Na2SO4 deposition are calculated as a function of pressure, sodium content, and sulfur content. Expected dissolution regimes for SiO2 are calculated as a function of Na2SO4 basicity. Controlled-condition burner-rig tests on quartz verify some of these predicted dissolution regimes. The basicity of Na2SO4 is not always a simple function of P(SO3). Electrochemical measurements of an (Na2O) show that carbon creates basic conditions in Na2SO4, which explains the extensive corrosion of SiO2-protected materials containing carbon, such as SiC.

  5. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    PubMed

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. The organometallic chemical vapor deposition of transition metal carbides: The use of homoleptic alkyls

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Healy, M.D.; Smith, D.C.; Springer, R.W.

    1993-12-31

    The organometallic chemical vapor deposition of transition metal carbides (M = Ti, Zr, Hf, and Cr) from tetraneopentyl-metal precursors has been carried out. Metal carbides can be deposited on Si, Al{sub 2}O{sub 3}, and stainless steel substrates from M[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} at temperatures in the range of 300 to 750 C and pressures from 10{sup {minus}2} to 10{sup {minus}4} Torr. Thin films have also been grown using a carrier gas (Ar, H{sub 2}). The effects of variation of the metal center, deposition conditions, and reactor design on the resulting material have been examined by SEM, XPS, XRD, ERDmore » and AES. Hydrocarbon fragments generated in the deposition chamber have been studied in by in-situ mass spectrometry. Complementary studies examining the UHV surface decomposition of Zr[CH{sub 2}C(CH{sub 3}){sub 3}]{sub 4} have allowed for a better understanding of the mechanism leading to film growth.« less

  7. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  8. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    NASA Astrophysics Data System (ADS)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials.Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary

  9. Super-hydrophobic self-cleaning bead-like SiO2@PTFE nanofiber membranes for waterproof-breathable applications

    NASA Astrophysics Data System (ADS)

    Liang, Yueyao; Ju, Jingge; Deng, Nanping; Zhou, Xinghai; Yan, Jing; Kang, Weimin; Cheng, Bowen

    2018-06-01

    Superhydrophobic waterproof-breathable membranes, which possess a huge superiority in multi-functional applications including self-cleaning, anti-icing, anticorrosion and protective clothing, have aroused considerable attention owing to their excellent performance. Herein, the robust superhydrophobic microporous fibrous membranes were efficiently prepared via a facile and environmental-friendly electro-blown spinning (EBS) technique followed by calcination. Compared with hydrophobic pure PTFE fibrous membranes, the bead-like SiO2@PTFE nanofiber membranes (BLNFMs) exhibited superhydrophobic surface with the advancing water angle (θadv) and the water contact angle (WCA) up to 161° and 155°, respectively. The SiO2 nanoparticles were introduced as fillers which can alter the pore structure and form the multilevel rough surface. The BLNFMs could maintain superhydrophobic surface even after abrasion for 30 times or exposing to a strong corrosive solution with PH from 0 to 12 for 24 h. Besides, the BLNFMs were endowed with the modest vapor permeability (9.7 kg·m-2·d-1) and air permeability (7.2 mm·s-1) when the concentration of SiO2 nanoparticles reached to 7.3 wt%. In addition, a potential relationship among θadv, maximum pore size (dmax) and breathability (effective breathing area) was proposed in order to design the waterproof-breathable membranes with excellent properties. Furthermore, the superhydrophobic membranes with durable self-cleaning property provided the advantages of potential applications in the fields of membrane distillation, versatile protective clothing, etc.

  10. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  11. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    NASA Astrophysics Data System (ADS)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  12. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    . This work has demonstrated that a polysilane polymeric source can be used to deposit a wide range of thin film materials exhibiting similar properties with conventional ceramic materials such as silicon carbide (SiC), silicon oxynitride (SiON), silicon oxycarbide (SiOC) silicon dioxide (SiO2) and silicon nitride (Si3N4). The strict control of the deposition process allows precise control of the electrical, optical and chemical properties of polymer-based thin films within a broad range. This work has also demonstrated for the first time that poly(dimethylsilmaes) polymers deposited by CVD can be used to effectively passivate both silicon and gallium arsenide MOS devices. This finding makes polymer-based thin films obtained by CVD very promising for the development of high-kappa dielectric materials for next generation high-mobility CMOS technology. Keywords. Thin films, Polymers, Vapor Phase Deposition, CVD, Nanodielectrics, Organosilanes, Polysilanes, GaAs Passivation, MOSFET, Silicon Oxynitride, Integrated Waveguide, Silicon Carbide, Compound Semiconductors.

  13. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  14. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    NASA Astrophysics Data System (ADS)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  15. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  16. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  17. Chemical Vapor Deposited Zinc Sulfide. SPIE Press Monograph

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCloy, John S.; Tustison, Randal W.

    2013-04-22

    Zinc sulfide has shown unequaled utility for infrared windows that require a combination of long-wavelength infrared transparency, mechanical durability, and elevated-temperature performance. This book reviews the physical properties of chemical vapor deposited ZnS and their relationship to the CVD process that produced them. An in-depth look at the material microstructure is included, along with a discussion of the material's optical properties. Finally, because the CVD process itself is central to the development of this material, a brief history is presented.

  18. Pulsed laser-deposited VO2 thin films on Pt layers

    NASA Astrophysics Data System (ADS)

    Sakai, Joe; Zaghrioui, Mustapha; Ta Phuoc, Vinh; Roger, Sylvain; Autret-Lambert, Cécile; Okimura, Kunio

    2013-03-01

    VO2 films were deposited on Pt (111)/TiO2/SiO2/Si (001) substrates by means of a pulsed laser deposition technique. An x-ray diffraction peak at 2θ = 39.9° was deconvoluted into two pseudo-Voigt profiles of Pt (111) and VOx-originated components. The VOx diffraction peak was more obvious in a VOx/Pt (111)/Al2O3 (0001) sample, having a narrower width compared with a VO2/Al2O3 (0001) sample. Temperature-controlled Raman spectroscopy for the VOx/Pt/TiO2/SiO2/Si sample has revealed the monoclinic VO2 phase at low temperature and the structural phase transition at about 72 °C in a heating process. The electronic conductive nature at the high temperature phase was confirmed by near normal incidence infrared reflectivity measurements. Out-of-plane current-voltage characteristics showed an electric field-induced resistance switching at a voltage as low as 0.2 V for a 50 nm-thick film. A survey of present and previous results suggests an experimental law that the transition voltage of VO2 is proportional to the square root of the electrodes distance.

  19. Antireflection and SiO2 Surface Passivation by Liquid-Phase Chemistry for Efficient Black Silicon Solar Cells: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yuan, H. C.; Oh, J.; Zhang, Y.

    2012-06-01

    We report solar cells with both black Si antireflection and SiO2 surface passivation provided by inexpensive liquid-phase chemistry, rather than by conventional vacuum-based techniques. Preliminary cell efficiency has reached 16.4%. Nanoporous black Si antireflection on crystalline Si by aqueous etching promises low surface reflection for high photon utilization, together with lower manufacturing cost compared to vacuum-based antireflection coating. Ag-nanoparticle-assisted black Si etching and post-etching chemical treatment recently developed at NREL enables excellent control over the pore diameter and pore separation. Performance of black Si solar cells, including open-circuit voltage, short-circuit current density, and blue response, has benefited from these improvements.more » Prior to this study, our black Si solar cells were all passivated by thermal SiO2 produced in tube furnaces. Although this passivation is effective, it is not yet ideal for ultra-low-cost manufacturing. In this study, we report, for the first time, the integration of black Si with a proprietary liquid-phase deposition (LPD) passivation from Natcore Technology. The Natcore LPD forms a layer of <10-nm SiO2 on top of the black Si surface in a relatively mild chemical bath at room temperature. We demonstrate black Si solar cells with LPD SiO2 with a spectrum-weighted average reflection lower than 5%, similar to the more costly thermally grown SiO2 approach. However, LPD SiO2 provides somewhat better surface-passivation quality according to the lifetime analysis by the photo-conductivity decay measurement. Moreover, black Si solar cells with LPD SiO2 passivation exhibit higher spectral response at short wavelength compared to those passivated by thermally grown SiO2. With further optimization, the combination of aqueous black Si etching and LPD could provide a pathway for low-cost, high-efficiency crystalline Si solar cells.« less

  20. Core-Exsolved SiO2 Dispersal in the Earth's Mantle

    NASA Astrophysics Data System (ADS)

    Helffrich, George; Ballmer, Maxim D.; Hirose, Kei

    2018-01-01

    SiO2 may have been expelled from the core directly following core formation in the early stages of Earth's accretion and onward through the present day. On account of SiO2's low density with respect to both the core and the lowermost mantle, we examine the process of SiO2 accumulation at the core-mantle boundary (CMB) and its incorporation into the mantle by buoyant rise. Today, if SiO2 is 100-10,000 times more viscous than lower mantle material, the dimensions of SiO2 diapirs formed by the viscous Rayleigh-Taylor instability at the CMB would cause them to be swept into the mantle as inclusions of 100 m-10 km diameter. Under early Earth conditions of rapid heat loss after core formation, SiO2 diapirs of ˜1 km diameter could have risen independently of mantle flow to their level of neutral buoyancy in the mantle, trapping them there due to a combination of intrinsically high viscosity and neutral buoyancy. We examine the SiO2 yield by assuming Si + O saturation at the conditions found at the base of a magma ocean and find that for a range of conditions, dispersed bodies could reach as high as 8.5 vol % in parts of the lower mantle. At such low concentration, their effect on aggregate seismic wave speeds is within observational seismology uncertainty. However, their presence can account for small-scale scattering in the lower mantle due to the bodies' large-velocity contrast. We conclude that the shallow lower mantle (700-1,500 km depth) could harbor SiO2 released in early Earth times.

  1. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  2. High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor

    NASA Technical Reports Server (NTRS)

    Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.

  3. Chemical Vapor Deposition Growth of Degenerate p-Type Mo-Doped ReS2 Films and Their Homojunction.

    PubMed

    Qin, Jing-Kai; Shao, Wen-Zhu; Xu, Cheng-Yan; Li, Yang; Ren, Dan-Dan; Song, Xiao-Guo; Zhen, Liang

    2017-05-10

    Substitutional doping of transition metal dichalcogenide two-dimensional materials has proven to be effective in tuning their intrinsic properties, such as band gap, transport characteristics, and magnetism. In this study, we realized substitutional doping of monolayer rhenium disulfide (ReS 2 ) with Mo via chemical vapor deposition. Scanning transmission electron microscopy demonstrated that Mo atoms are successfully doped into ReS 2 by substitutionally replacing Re atoms in the lattice. Electrical measurements revealed the degenerate p-type semiconductor behavior of Mo-doped ReS 2 field effect transistors, in agreement with density functional theory calculations. The p-n diode device based on a doped ReS 2 and ReS 2 homojunction exhibited gate-tunable current rectification behaviors, and the maximum rectification ratio could reach up to 150 at V d = -2/+2 V. The successful synthesis of p-type ReS 2 in this study could largely promote its application in novel electronic and optoelectronic devices.

  4. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  5. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  6. Experimental study on the coalescence process of SiO2 supported colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.; Grimaldi, M. G.

    2015-11-01

    We report on an experimental study of the coalescence-driven grow process of colloidal Au nanoparticles on SiO2 surface. Nanoparticles with 30, 50, 80, 100 nm nominal diameters on a SiO2 substrate were deposited, from solutions, by the drop-casting method. Then, annealing processes, in the 573-1173 K temperature range and 900-3600 s time range, were performed. Using scanning electron microscopy analyses, the temporal evolution of the nanoparticles sizes has been studied. In particular, for all classes of nanoparticles, the experimental-obtained diameters distributions evidenced double-peak shapes (i. e. bimodal distributions): a first peak centered (and unchanged changing the annealing temperature and/or time) at the nominal diameter of the as-deposited nanoparticles, , and a second peak shifting at higher mean diameters, , increasing the annealing temperature and/or time. This observation suggested us a coalescence-driven growth process of a nanoparticles sub-population. As a consequence, the temporal evolution of (for each class of nanoparticles and each annealing temperature), within the well-established particles coalescence theoretical framework, has been analyzed. In particular, by the analyses of the experimental data using relations as prescribed by the theoretical model, a characteristic size-dependent activation energy for the Au nanoparticles coalescence process has been evaluated.

  7. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition.

    PubMed

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-22

    Centimeter-scale continuous monolayer WS 2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS 2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS 2 for specific applications in (opto)electronics.

  8. Grain wall boundaries in centimeter-scale continuous monolayer WS2 film grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jia, Zhiyan; Hu, Wentao; Xiang, Jianyong; Wen, Fusheng; Nie, Anmin; Mu, Congpu; Zhao, Zhisheng; Xu, Bo; Tian, Yongjun; Liu, Zhongyuan

    2018-06-01

    Centimeter-scale continuous monolayer WS2 film with large tensile strain has been successfully grown on oxidized silicon substrate by chemical vapor deposition, in which monolayer grains can be more than 200 μm in size. Monolayer WS2 grains are observed to merge together via not only traditional grain boundaries but also non-traditional ones, which are named as grain walls (GWs) due to their nanometer-scale widths. The GWs are revealed to consist of two or three layers. Though not a monolayer, the GWs exhibit significantly enhanced fluorescence and photoluminescence. This enhancement may be attributed to abundant structural defects such as stacking faults and partial dislocations in the GWs, which are clearly observable in atomically resolved high resolution transmission electron microscopy and scanning transmission electron microscopy images. Moreover, GW-based phototransistor is found to deliver higher photocurrent than that based on monolayer film. These features of GWs provide a clue to microstructure engineering of monolayer WS2 for specific applications in (opto)electronics.

  9. A highly efficient g-C3N4/SiO2 heterojunction: the role of SiO2 in the enhancement of visible light photocatalytic activity.

    PubMed

    Hao, Qiang; Niu, Xiuxiu; Nie, Changshun; Hao, Simeng; Zou, Wei; Ge, Jiangman; Chen, Daimei; Yao, Wenqing

    2016-11-23

    SiO 2 , an insulator, hardly has any photocatalytic acitivity due to its intrinsic property, and it is generally used as a hard template to increase the surface area of catalysts. However, in this work, we found that the surface state of the insulator SiO 2 can promote the migration of photogenerated charge carriers, leading to the enhancement of the photooxidation ability of graphitic carbon nitride (g-C 3 N 4 ). A one-pot calcination method was employed to prepare g-C 3 N 4 /SiO 2 composites using melamine and SiO 2 as precursors. The composites present considerably high photocatalytic degradation activities for 2,4-dichlorophenol (2,4-DCP) and rhodamine B (RhB) under visible light (λ > 420 nm) irradiation, which are about 1.53 and 4.18 times as high as those of bulk g-C 3 N 4 , respectively. The enhancement of the photocatalytic activity is due to the fact that the introduction of the insulator SiO 2 in g-C 3 N 4 /SiO 2 composites can greatly improve the specific surface area of the composites; more importantly, the impurity energy level of SiO 2 can help accelerate the separation and transfer of electron-hole pairs of g-C 3 N 4 . Electron paramagnetic resonance (EPR) spectroscopy and trapping experiments with different radical scavengers show that the main active species of g-C 3 N 4 are superoxide radicals, while holes also play a role in photodegradation. For g-C 3 N 4 /SiO 2 -5, besides superoxide radicals and holes, the effect of hydroxyl radicals was greatly improved. Finally, a possible mechanism for the photogenerated charge carrier migration of the g-C 3 N 4 /SiO 2 photocatalyst was proposed.

  10. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  11. Water Sorption in Electron-Beam Evaporated SiO2 on QCM Crystals and Its Influence on Polymer Thin Film Hydration Measurements.

    PubMed

    Kushner, Douglas I; Hickner, Michael A

    2017-05-30

    Spectroscopic ellipsometry (SE) and quartz crystal microbalance (QCM) measurements are two critical characterization techniques routinely employed for hydration studies of polymer thin films. Water uptake by thin polymer films is an important area of study to investigate antifouling surfaces, to probe the swelling of thin water-containing ionomer films, and to conduct fundamental studies of polymer brush hydration and swelling. SiO 2 -coated QCM crystals, employed as substrates in many of these hydration studies, show porosity in the thin electron-beam (e-beam) evaporated SiO 2 layer. The water sorption into this porous SiO 2 layer requires correction of the optical and mass characterization of the hydrated polymer due to changes in the SiO 2 layer as it sorbs water. This correction is especially important when experiments on SiO 2 -coated QCM crystals are compared to measurements on Si wafers with dense native SiO 2 layers. Water adsorption filling void space during hydration in ∼200-260 nm thick SiO 2 layers deposited on a QCM crystal resulted in increased refractive index of the layer during water uptake experiments. The increased refractive index led to artificially higher polymer swelling in the optical modeling of the hydration experiments. The SiO 2 -coated QCM crystals showed between 6 and 8% void as measured by QCM and SE, accounting for 60%-85% of the measured polymer swelling in the low humidity regime (<20% RH) and 25%-40% of the polymer swelling in the high humidity regime (>70% RH) from optical modeling for 105 and 47 nm thick sulfonated polymer films. Correcting the refractive index of the SiO 2 layer for its water content resulted in polymer swelling that successfully resembled swelling measured on a silicon wafer with nonporous native oxide.

  12. Constructing a superhydrophobic surface on polydimethylsiloxane via spin coating and vapor-liquid sol-gel process.

    PubMed

    Peng, Yu-Ting; Lo, Kuo-Feng; Juang, Yi-Je

    2010-04-06

    In this study, a superhydrophobic surface on polydimethylsiloxane (PDMS) substrate was constructed via the proposed vapor-liquid sol-gel process in conjunction with spin coating of dodecyltrichlorosilane (DTS). Unlike the conventional sol-gel process where the reaction takes place in the liquid phase, layers of silica (SiO(2)) particles were formed through the reaction between the reactant spin-coated on the PDMS surface and vapor of the acid solution. This led to the SiO(2) particles inlaid on the PDMS surface. Followed by subsequent spin coating of DTS solution, the wrinkle-like structure was formed, and the static contact angle of the water droplet on the surface could reach 162 degrees with 2 degrees sliding angle and less than 5 degrees contact angle hysteresis. The effect of layers of SiO(2) particles, concentrations of DTS solution and surface topography on superhydrophobicity of the surface is discussed.

  13. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  14. Whiter, brighter, and more stable cellulose paper coated with TiO2 /SiO2 core/shell nanoparticles using a layer-by-layer approach.

    PubMed

    Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas

    2013-08-01

    To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Rotary target method to prepare thin films of CdS/SiO 2 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Wang, H.; Zhu, Y.; Ong, P. P.

    2000-12-01

    Thin films of CdS-doped SiO 2 glass were prepared by using the conventional pulsed laser deposition (PLD) technique. The laser target consisted of a specially constructed rotary wheel which provided easy control of the exposure-area ratio to expose alternately the two materials to the laser beam. The physical target assembly avoided the potential complications inherent in chemically mixed targets such as in the sol-gel method. Time-of-flight (TOF) spectra confirmed the existence of the SiO 2 and CdS components in the thin-film samples so produced. X-ray diffraction (XRD) and atomic force microscopy(AFM) results showed the different sizes and structures of the as-deposited and annealed films. The wurtzite phase of CdS was found in the 600 oC-annealed sample, while the as-deposited film showed a cubic-hexagonal mixed structure. In the corresponding PL (photoluminescence) spectra, a red shift of the CdS band edge emission was found, which may be a result of the interaction between the CdS nanocrystallite and SiO 2 at their interface.

  16. Laboratory studies of silicon vapor deposition, phase A. [feasibility of producing thin films for photovoltaic applications

    NASA Technical Reports Server (NTRS)

    Frost, R. T.; Racette, G. W.; Stockhoff, E. H.

    1977-01-01

    A system is described capable of carrying out silicon vapor deposition experiments in the low 10 to the minus 10th power torr vacuum range. The system was assembled and tested for use in a program aimed at exploration of vacuum heteroepitaxy of silicon on several substrates of potential interest for photovoltaic applications. An experiment is described in which a silicon layer 2.5 microns thick was deposited on a pyrolytically cleaned tungsten substrate held at a temperature of 400 C. Using a resistance heated silicon source, thicker layers can be deposited in periods of hours by utilizing closer source to substrate distances.

  17. Role of bovine serum albumin and humic acid in the interaction between SiO2 nanoparticles and model cell membranes.

    PubMed

    Wei, Xiaoran; Qu, Xiaolei; Ding, Lei; Hu, Jingtian; Jiang, Wei

    2016-12-01

    Silica nanoparticles (SiO 2 NPs) can cause health hazard after their release into the environment. Adsorption of natural organic matter and biomolecules on SiO 2 NPs alters their surface properties and cytotoxicity. In this study, SiO 2 NPs were treated by bovine serum albumin (BSA) and humic acid (HA) to study their effects on the integrity and fluidity of model cell membranes. Giant and small unilamellar vesicles (GUVs and SUVs) were prepared as model cell membranes in order to avoid the interference of cellular activities. The microscopic observation revealed that the BSA/HA treated (BSA-/HA-) SiO 2 NPs took more time to disrupt membrane than untreated-SiO 2 NPs, because BSA/HA adsorption covered the surface SiOH/SiO - groups and weakened the interaction between NPs and phospholipids. The deposition of SiO 2 NPs on membrane was monitored by a quartz crystal microbalance with dissipation (QCM-D). Untreated- and HA-SiO 2 NPs quickly disrupted the SUV layer on QCM-D sensor; BSA-SiO 2 NPs attached on the membranes but only caused slow vesicle disruption. Untreated-, BSA- and HA-SiO 2 NPs all caused the gelation of the positively-charged membrane, which was evaluated by the generalized polarity values. HA-SiO 2 NPs caused most serious gelation, and BSA-SiO 2 NPs caused the least. Our results demonstrate that the protein adsorption on SiO 2 NPs decreases the NP-induced membrane damage. Copyright © 2016 Elsevier Ltd. All rights reserved.

  18. Preparation and Characterization of TiO2-Based Photocatalysts by Chemical Vapour Deposition

    NASA Astrophysics Data System (ADS)

    Nacevski, Goran; Marinkovski, Mirko; Tomovska, Radmila; Fajgar, Radek

    In the present work, a novel technique for the preparation of TiO2-based photocatalysts modified with SiO2 is presented, using a pulsed ArF laser to induce a chemical vapor deposition process. The irradiated gas mixture was composed of TiCl4/SiCl4 precursors in excess of oxygen. Laser irradiation at 193 nm with a repetition frequency of 10 Hz induced the deposition of thin nano-sized mixed oxide films. In order to improve the photocatalytic activity of the catalysts and to expand the activity from the UV to the visible part of the spectrum, doping of the catalysts with chromium oxides was performed. For that aim, the same technique of catalyst preparation was used, irradiating the same gas mixture with the addition of chromyl chloride as Cr precursor. The thin films prepared were annealed up to 500°C in order to remove crystal defects, which could be responsible for poor photocatalytic activity. The dependence of structure and properties on reaction process and irradiation conditions (laser energy and fluence, precursors pressure) were examined. The main aim was to find the best conditions for the production of highly photoactive catalysts and to decrease deactivation processes during the photo-oxidation. The composition, structure and morphology of the oxide catalysts prepared were studied by various spectroscopies, electron microscopy and diffraction techniques.

  19. Field emission properties of SiO2-wrapped CNT field emitter.

    PubMed

    Lim, Yu Dian; Hu, Liangxing; Xia, Xin; Ali, Zishan; Wang, Shaomeng; Tay, Beng Kang; Aditya, Sheel; Miao, Jianmin

    2018-01-05

    Carbon nanotubes (CNTs) exhibit unstable field emission (FE) behavior with low reliability due to uneven heights of as-grown CNTs. It has been reported that a mechanically polished SiO 2 -wrapped CNT field emitter gives consistent FE performance due to its uniform CNT heights. However, there are still a lack of studies on the comparison between the FE properties of freestanding and SiO 2 -wrapped CNTs. In this study, we have performed a comparative study on the FE properties of freestanding and SiO 2 -wrapped CNT field emitters. From the FE measurements, freestanding CNT field emitter requires lower applied voltage of 5.5 V μm -1 to achieve FE current density of 22 mA cm -2 ; whereas SiO 2 -wrapped field emitter requires 8.5 V μm -1 to achieve the same current density. This can be attributed to the lower CNT tip electric field of CNTs embedded in SiO 2 , as obtained from the electric field simulation. Nevertheless, SiO 2 -wrapped CNTs show higher consistency in FE current than freestanding CNTs. Under repeated FE measurement, SiO 2 -wrapped CNT field emitter achieves consistent FE behavior from the 1st voltage sweep, whereas freestanding field emitter only achieved consistent FE performance after 3rd voltage sweep. At the same time, SiO 2 -wrapped CNTs exhibit better emission stability than freestanding CNTs over 4000 s continuous emission.

  20. Field emission properties of SiO2-wrapped CNT field emitter

    NASA Astrophysics Data System (ADS)

    Lim, Yu Dian; Hu, Liangxing; Xia, Xin; Ali, Zishan; Wang, Shaomeng; Tay, Beng Kang; Aditya, Sheel; Miao, Jianmin

    2018-01-01

    Carbon nanotubes (CNTs) exhibit unstable field emission (FE) behavior with low reliability due to uneven heights of as-grown CNTs. It has been reported that a mechanically polished SiO2-wrapped CNT field emitter gives consistent FE performance due to its uniform CNT heights. However, there are still a lack of studies on the comparison between the FE properties of freestanding and SiO2-wrapped CNTs. In this study, we have performed a comparative study on the FE properties of freestanding and SiO2-wrapped CNT field emitters. From the FE measurements, freestanding CNT field emitter requires lower applied voltage of 5.5 V μm-1 to achieve FE current density of 22 mA cm-2 whereas SiO2-wrapped field emitter requires 8.5 V μm-1 to achieve the same current density. This can be attributed to the lower CNT tip electric field of CNTs embedded in SiO2, as obtained from the electric field simulation. Nevertheless, SiO2-wrapped CNTs show higher consistency in FE current than freestanding CNTs. Under repeated FE measurement, SiO2-wrapped CNT field emitter achieves consistent FE behavior from the 1st voltage sweep, whereas freestanding field emitter only achieved consistent FE performance after 3rd voltage sweep. At the same time, SiO2-wrapped CNTs exhibit better emission stability than freestanding CNTs over 4000 s continuous emission.

  1. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    PubMed

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  2. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    NASA Astrophysics Data System (ADS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-05-01

    We studied the surface spins disorder in uncoated and silica (SiO2) coated maghemite (γ-Fe2O3) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (TB) for SiO2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (Ms) of SiO2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1- BTb)) was fitted well for both uncoated and SiO2 coated nanoparticles and yields: B =3×10-7 K-b, b=2.22 and B=0.0127 K-b, b=0.57 for uncoated and SiO2 coated nanoparticles, respectively. Higher value of B for SiO2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO2 coated nanoparticles than in uncoated γ-Fe2O3 nanoparticles.

  3. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  4. Vapor-deposited water and nitric acid ices

    NASA Astrophysics Data System (ADS)

    Leu, Ming-Taun; Keyser, Leon F.

    Ices formed by vapor deposition have been the subject of numerous laboratory investigations in connection with snow and glaciers on the ground, ice clouds in the terrestrial atmosphere, surfaces of other planets and their satellites, and the interstellar medium. In this review we will focus on these specific subjects: (1) heterogeneous chemistry on the surfaces of polar stratospheric clouds (PSCs) and (2) surfaces of satellites of the outer planets in our solar system. Stratospheric ozone provides a protective shield for mankind and the global biosphere from harmful ultraviolet solar radiation. In past decades, theoretical atmospheric models for the calculation of ozone balance frequently used only homogeneous gas-phase reactions in their studies. Since the discovery of the Antarctic ozone hole in 1985, however, it has been demonstrated that knowledge of heterogeneous reactions on the surface of PSCs is definitely needed to understand this significant natural event due to the anthropogenic emission of chlorofluorocarbons (CFCs). We will briefly discuss the experimental techniques for the investigation of heterogeneous chemistry on ice surfaces carried out in our laboratories. The experimental apparatus used include: several flow-tube reactors, an electron-impact ionization mass spectrometer, a Fourier transform infrared spectrometer, a BET adsorption apparatus, and a scanning environmental electron microscope. The adsorption experiments and electron microscopic work have demonstrated that the vapor-deposited ices are highly porous. Therefore, it is necessary to develop theoretical models for the elucidation of the uptake and reactivity of trace gases in porous ice substrates. Several measurements of uptake and reaction probabilities of these trace gases on water ices and nitric acid ices have been performed under ambient conditions in the upper troposphere and lower stratosphere, mainly in the temperature range 180-220 K. The trace gases of atmospheric importance

  5. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  6. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  7. Selenium-assisted controlled growth of graphene-Bi2Se3 nanoplates hybrid Dirac materials by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Sun, Zhencui; Man, Baoyuan; Yang, Cheng; Liu, Mei; Jiang, Shouzhen; Zhang, Chao; Zhang, Jiaxin; Liu, Fuyan; Xu, Yuanyuan

    2016-03-01

    Se seed layers were used to synthesize the high-quality graphene-Bi2Se3 nanoplates hybrid Dirac materials via chemical vapor deposition (CVD) method. The morphology, crystallization and structural properties of the hybrid Dirac materials were characterized by SEM, EDS, Raman, XRD, AFM and HRTEM. The measurement results verify that the Se seed layer on the graphene surface can effectively saturate the surface dangling bonds of the graphene, which not only impel the uniform Bi2Se3 nanoplates growing along the horizontal direction but also can supply enough Se atoms to fill the Se vacancies. We also demonstrate the Se seed layer can effectively avoid the interaction of Bi2Se3 and the graphene. Further experiments testify the different Se seed layer on the graphene surface can be used to control the density of the Bi2Se3 nanoplates.

  8. Preparing highly ordered glasses of discotic liquid crystalline systems by vapor deposition

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit; Gomez, Jaritza; Bishop, Camille E.; Toney, Michael F.; Ediger, M. D.

    Anisotropic molecular packing, particularly in highly ordered liquid-crystalline arrangements, has the potential for optimizing performance in organic electronic and optoelectronic applications. Here we show that physical vapor deposition can be used to prepare highly organized out-of-equilibrium (glassy) solids of discotic liquid-crystalline (LC) systems. Using grazing incidence x-ray scattering, we compare 3 systems: a rectangular columnar LC, a hexagonal columnar LC and a non-liquid crystal former. The packing motifs accessible by vapor deposition are highly organized and vary from face-on to edge-on columnar arrangements depending upon substrate temperature. A subset of these structures cannot be accessed under equilibrium conditions. The structures formed at a given substrate temperature can be understood as the result of the system partially equilibrating toward the structure of the free surface of the equilibrium liquid crystal. Consistent with this view, the structures formed are independent of the substrate material.

  9. Formation of pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer.

    PubMed

    Kim, Chaeho; Jeon, D

    2008-09-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO(2) surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO(2) and pentacene wetting layer.

  10. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C.; Angélidès, L.

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor ablemore » to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.« less

  11. The Effect of Interfacial Chemical Bonding in TiO2-SiO2 Composites on Their Photocatalytic NOx Abatement Performance

    PubMed Central

    Hakki, Amer; Yang, Lu; Wang, Fazhou; Macphee, Donald E.

    2017-01-01

    The chemical bonding of particulate photocatalysts to supporting material surfaces is of great importance in engineering more efficient and practical photocatalytic structures. However, the influence of such chemical bonding on the optical and surface properties of the photocatalyst and thus its photocatalytic activity/reaction selectivity behavior has not been systematically studied. In this investigation, TiO2 has been supported on the surface of SiO2 by means of two different methods: (i) by the in situ formation of TiO2 in the presence of sand quartz via a sol-gel method employing tetrabutyl orthotitanium (TBOT); and (ii) by binding the commercial TiO2 powder to quartz on a surface silica gel layer formed from the reaction of quartz with tetraethylorthosilicate (TEOS). For comparison, TiO2 nanoparticles were also deposited on the surfaces of a more reactive SiO2 prepared by a hydrolysis-controlled sol-gel technique as well as through a sol-gel route from TiO2 and SiO2 precursors. The combination of TiO2 and SiO2, through interfacial Ti-O-Si bonds, was confirmed by FTIR spectroscopy and the photocatalytic activities of the obtained composites were tested for photocatalytic degradation of NO according to the ISO standard method (ISO 22197−1). The electron microscope images of the obtained materials showed that variable photocatalyst coverage of the support surface can successfully be achieved but the photocatalytic activity towards NO removal was found to be affected by the preparation method and the nitrate selectivity is adversely affected by Ti-O-Si bonding. PMID:28715384

  12. The photodeposition of surface plasmon Ag metal on SiO2@α-Fe2O3 nanocomposites sphere for enhancement of the photo-Fenton behavior

    NASA Astrophysics Data System (ADS)

    Uma, Kasimayan; Arjun, Nadarajan; Pan, Guan-Ting; Yang, Thomas C.-K.

    2017-12-01

    In this study, a simple sol-gel method was used for the synthesis of a core-shell structure of SiO2@α-Fe2O3 nanocomposites for employment as a visible light photocatalyst. It was observed that Ag nanoparticles about 20 nm in size were successfully deposited on the surface of the SiO2@α-Fe2O3 nanocomposites. The photocatalytic activity of the Ag-SiO2@α-Fe2O3 nanocomposites catalyst was investigated by observing the degradation of methylene blue (MB) dye in a photo-Fenton process. The results showed that the Ag nanoparticles acted as centers for photo induced electron transfer. The catalytic activity in the SiO2@α-Fe2O3 nanocomposites were enhanced due to the plasmoni c effect of Ag metal under visible light irradiation. The addition of H2O2 played an important role, generating more OH radicals which improved the photo-Fenton catalytic activity, resulting in quicker degradation of the MB dye using the Ag-SiO2@α-Fe2O3 nanocomposite catalyst.

  13. Water Vapor Corrosion in EBC Constituent Materials

    NASA Technical Reports Server (NTRS)

    Kowalski, Benjamin; Fox, Dennis; Jacobson, Nathan S.

    2017-01-01

    Environmental Barrier Coating (EBC) materials are sought after to protect ceramic matrix composites (CMC) in high temperature turbine engines. CMCs are particularly susceptible to degradation from oxidation, Ca-Al-Mg-Silicate (CMAS), and water vapor during high temperature operation which necessitates the use of EBCs. However, the work presented here focuses on water vapor induced recession in EBC constituent materials. For example, in the presence of water vapor, silica will react to form Si(OH)4 (g) which will eventually corrode the material away. To investigate the recession rate in EBC constituent materials under high temperature water vapor conditions, thermal gravimetric analysis (TGA) is employed. The degradation process can then be modeled through a simple boundary layer expression. Ultimately, comparisons are made between various single- and poly-crystalline materials (e.g. TiO2, SiO2) against those found in literature.

  14. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE PAGES

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.; ...

    2018-01-31

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  15. Chemical vapor deposition of Mo tubes for fuel cladding applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beaux, Miles F.; Vodnik, Douglas R.; Peterson, Reuben J.

    In this study, chemical vapor deposition (CVD) techniques have been evaluated for fabrication of free-standing 0.25 mm thick molybdenum tubes with the end goal of nuclear fuel cladding applications. In order to produce tubes with the wall thickness and microstructures desirable for this application, long deposition durations on the order of 50 h with slow deposition rates were employed. A standard CVD method, involving molybdenum pentachloride reduction by hydrogen, as well as a fluidized-bed CVD (FBCVD) method was applied towards these objectives. Characterization of the tubes produced in this manner revealed regions of material with fine grain microstructure and wallmore » thickness suitable for fuel cladding applications, but lacking necessary uniformity across the length of the tubes. Finally, a path forward for the production of freestanding molybdenum tubes that possess the desired properties across their entire length has been identified and can be accomplished by future optimization of the deposition system.« less

  16. The effects of a SiO2 coating on the corrosion parameters cpTi and Ti-6Al-7Nb alloy

    PubMed Central

    Basiaga, Marcin; Walke, Witold; Paszenda, Zbigniew; Karasiński, Paweł; Szewczenko, Janusz

    2014-01-01

    The aim of this paper was to evaluate the usefulness of the sol-gel method application, to modificate the surface of the Ti6Al7Nb alloy and the cpTi titanium (Grade 4) with SiO2 oxide, applied on the vascular implants to improve their hemocompatibility. Mechanical treatment was followed by film deposition on surface of the titanium samples. An appropriate selection of the process parameters was verified in the studies of corrosion, using potentiodynamic and impedance method. A test was conducted in the solution simulating blood vessels environment, in simulated body fluid at t = 37.0 ± 1 °C and pH = 7.0 ± 0.2. Results showed varied electrochemical properties of the SiO2 film, depending on its deposition parameters. Correlations between corrosion resistance and layer adhesion to the substrate were observed, depending on annealing temperature. PMID:25482412

  17. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  18. Growth of normally-immiscible materials (NIMs), binary alloys, and metallic fibers by hyperbaric laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maxwell, J. L.; Black, M. R.; Chavez, C. A.; Maskaly, K. R.; Espinoza, M.; Boman, M.; Landstrom, L.

    2008-06-01

    This work demonstrates that two or more elements of negligible solubility (and no known phase diagram) can be co-deposited in fiber form by hyperbaric-pressure laser chemical vapor deposition (HP-LCVD). For the first time, Hg-W alloys were grown as fibers from mixtures of tungsten hexafluoride, mercury vapor, and hydrogen. This new class of materials is termed normally-immiscible materials (NIMs), and includes not only immiscible materials, but also those elemental combinations that have liquid states at exclusive temperatures. This work also demonstrates that a wide variety of other binary and ternary alloys, intermetallics, and mixtures can be grown as fibers, e.g. silicon-tungsten, aluminum-silicon, boron-carbon-silicon, and titanium-carbon-nitride. In addition, pure metallic fibers of aluminum, titanium, and tungsten were deposited, demonstrating that materials of high thermal conductivity can indeed be grown in three-dimensions, provided sufficient vapor pressures are employed. A wide variety of fiber properties and microstructures resulted depending on process conditions; for example, single crystals, fine-grained alloys, and glassy metals could be deposited.

  19. SiO2 Hole Etching Using Perfluorocarbon Alternative Gas with Small Global Greenhouse Effect

    NASA Astrophysics Data System (ADS)

    Ooka, Masahiro; Yokoyama, Shin

    2004-06-01

    The etching of contact holes of 0.1 μm size in SiO2 is achieved using, for the first time, cyclic (c-)C5F8 with a small greenhouse effect in the pulse-modulated inductively coupled plasma. The shape of the cross section of the contact hole is as good as that etched using conventional c-C4F8. It is confirmed that Kr mixing instead of Ar in the plasma does not change the etching characteristics, although lowering of the electron temperature is expected which reduces the plasma-induced damage. Pulse modulation of the plasma is found to improve the etching selectivity of SiO2 with respect to Si. Langmuir probe measurement of the plasma suggests that the improvement of the etching selectivity is due to the deposition of fluorocarbon film triggered by lowering of the electron temperature when the off time of the radio frequency (rf) power is extended.

  20. Tungsten Doped TiO2 with Enhanced Photocatalytic and Optoelectrical Properties via Aerosol Assisted Chemical Vapor Deposition

    PubMed Central

    Sathasivam, Sanjayan; Bhachu, Davinder S.; Lu, Yao; Chadwick, Nicholas; Althabaiti, Shaeel A.; Alyoubi, Abdulrahman O.; Basahel, Sulaiman N.; Carmalt, Claire J.; Parkin, Ivan P.

    2015-01-01

    Tungsten doped titanium dioxide films with both transparent conducting oxide (TCO) and photocatalytic properties were produced via aerosol-assisted chemical vapor deposition of titanium ethoxide and dopant concentrations of tungsten ethoxide at 500 °C from a toluene solution. The films were anatase TiO2, with good n-type electrical conductivities as determined via Hall effect measurements. The film doped with 2.25 at.% W showed the lowest resistivity at 0.034 Ω.cm and respectable charge carrier mobility (14.9 cm3/V.s) and concentration (×1019 cm−3). XPS indicated the presence of both W6+ and W4+ in the TiO2 matrix, with the substitutional doping of W4+ inducing an expansion of the anatase unit cell as determined by XRD. The films also showed good photocatalytic activity under UV-light illumination, with degradation of resazurin redox dye at a higher rate than with undoped TiO2. PMID:26042724

  1. Vacuum ultraviolet thin films. I - Optical constants of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 thin films. II - Vacuum ultraviolet all-dielectric narrowband filters

    NASA Technical Reports Server (NTRS)

    Zukic, Muamer; Torr, Douglas G.; Spann, James F.; Torr, Marsha R.

    1990-01-01

    An iteration process matching calculated and measured reflectance and transmittance values in the 120-230 nm VUV region is presently used to ascertain the optical constants of bulk MgF2, as well as films of BaF2, CaF2, LaF3, MgF2, Al2O3, HfO2, and SiO2 deposited on MgF2 substrates. In the second part of this work, a design concept is demonstrated for two filters, employing rapidly changing extinction coefficients, centered at 135 nm for BaF2 and 141 nm for SiO2. These filters are shown to yield excellent narrowband spectral performance in combination with narrowband reflection filters.

  2. Process development for the manufacture of an integrated dispenser cathode assembly using laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnson, Ryan William

    2005-07-01

    Laser Chemical Vapor Deposition (LCVD) has been shown to have great potential for the manufacture of small, complex, two or three dimensional metal and ceramic parts. One of the most promising applications of the technology is in the fabrication of an integrated dispenser cathode assembly. This application requires the deposition of a boron nitride-molybdenum composite structure. In order to realize this structure, work was done to improve the control and understanding of the LCVD process and to determine experimental conditions conducive to the growth of the required materials. A series of carbon fiber and line deposition studies were used to characterize process-shape relationships and study the kinetics of carbon LCVD. These studies provided a foundation for the fabrication of the first high aspect ratio multi-layered LCVD wall structures. The kinetics studies enabled the formulation of an advanced computational model in the FLUENT CFD package for studying energy transport, mass and momentum transport, and species transport within a forced flow LCVD environment. The model was applied to two different material systems and used to quantify deposition rates and identify rate-limiting regimes. A computational thermal-structural model was also developed using the ANSYS software package to study the thermal stress state within an LCVD deposit during growth. Georgia Tech's LCVD system was modified and used to characterize both boron nitride and molybdenum deposition independently. The focus was on understanding the relations among process parameters and deposit shape. Boron nitride was deposited using a B3 N3H6-N2 mixture and growth was characterized by sporadic nucleation followed by rapid bulk growth. Molybdenum was deposited from the MoCl5-H2 system and showed slow, but stable growth. Each material was used to grow both fibers and lines. The fabrication of a boron nitride-molybdenum composite was also demonstrated. In sum, this work served to both advance the

  3. Analysis of experimental nucleation data for silver and SiO using scaled nucleation theory

    NASA Astrophysics Data System (ADS)

    Hale, Barbara N.; Kemper, Paul; Nuth, Joseph A.

    1989-10-01

    The experimental vapor phase nucleation data of Nuth et al., for silver [J. A. Nuth, K. A. Donnelly, B. Donn, and L. U. Lilleleht, J. Chem. Phys. 77, 2639 (1982)] and SiO [J. A. Nuth and B. Donn, J. Chem. Phys. 85, 1116 (1986)] are reanalyzed using a scaled model for homogeneous nucleation [B. N. Hale, Phys. Rev. A 33, 4156 (1986)]. The approximation is made that the vapor pressure at the nucleation site is not diminished significantly from that at the source (crucible). It is found that the data for ln S have a temperature dependence consistent with the scaled theory ln S≊ΓΩ3/2 [Tc/T-1]3/2, and predict critical temperatures 3800±200 K for silver and 3700±200 K for SiO. One can also extract an effective excess surface entropy per atom Ω=2.1±0.1 and an effective surface tension σ≊1500-0.45T ergs/cm2 for the small silver clusters (assuming a range of nucleation rates from 105 to 1011 cm-3 s-1). The corresponding values for SiO are Ω≊1.7±0.1 and σ≊820-0.22T ergs/cm2 (assuming a range of nucleation rates from 109 to 1012 cm-3 s-1).

  4. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    PubMed

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  5. Comparative Study of Solution Phase and Vapor Phase Deposition of Aminosilanes on Silicon Dioxide Surfaces

    PubMed Central

    Yadav, Amrita R.; Sriram, Rashmi; Carter, Jared A.; Miller, Benjamin L.

    2014-01-01

    The uniformity of aminosilane layers typically used for the modification of hydroxyl bearing surfaces such as silicon dioxide is critical for a wide variety of applications, including biosensors. However, in spite of many studies that have been undertaken on surface silanization, there remains a paucity of easy-to-implement deposition methods reproducibly yielding smooth aminosilane monolayers. In this study, solution- and vapor-phase deposition methods for three aminoalkoxysilanes differing in the number of reactive groups (3-aminopropyl triethoxysilane (APTES), 3-aminopropyl methyl diethoxysilane (APMDES) and 3-aminopropyl dimethyl ethoxysilane (APDMES)) were assessed with the aim of identifying methods that yield highly uniform and reproducible silane layers that are resistant to minor procedural variations. Silane film quality was characterized based on measured thickness, hydrophilicity and surface roughness. Additionally, hydrolytic stability of the films was assessed via these thickness and contact angle values following desorption in water. We found that two simple solution-phase methods, an aqueous deposition of APTES and a toluene based deposition of APDMES, yielded high quality silane layers that exhibit comparable characteristics to those deposited via vapor-phase methods. PMID:24411379

  6. Preparation of SiO2@Ag Composite Nanoparticles and Their Antimicrobial Activity.

    PubMed

    Qin, Rui; Li, Guian; Pan, Liping; Han, Qingyan; Sun, Yan; He, Qiao

    2017-04-01

    At normal atmospheric temperature, the modified sol–gel method was employed to synthesize SiO2 nanospheres (SiO2 NSs) whose average size was about 352 nm. Silver nanoparticles (Ag NPs) were uniformly distributed on the surface of SiO2 nanospheres (SiO2 NSs) by applying chemical reduction method at 95 °C and the size of silver nanoparticles (Ag NPs) could be controlled by simply tuning the reaction time and the concentration of sodium citrate. Besides, the size, morphology, structure and optical absorption properties of SiO2@Ag composite nanoparticles were measured and characterized by laser particle size analyzer (LPSA), transmission electron microscope (TEM), scanning electron microscope (SEM), X-ray diffraction (XRD) and ultraviolet visible absorption spectrometer (UV-Vis), respectively. Furthermore, antimicrobial effect experiments that against gram-negative bacteria (E. coli) and gram-positive bacteria (S. aureus) were carried out to characterize the antibacterial activity of synthesized SiO2@Ag composite nanoparticles. The results show that the prepared SiO2@Ag composite nanoparticles have strong antimicrobial activity, which is associated with the size of silver nanoparticles.

  7. SiO2 Glass Density to Lower-Mantle Pressures

    NASA Astrophysics Data System (ADS)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste; Collings, Ines E.; Jennings, Eleanor S.; Blanchard, Ingrid; Kantor, Innokenty; Kurnosov, Alexander; Cotte, Marine; Dane, Thomas; Burghammer, Manfred; Rubie, David C.

    2017-11-01

    The convection or settling of matter in the deep Earth's interior is mostly constrained by density variations between the different reservoirs. Knowledge of the density contrast between solid and molten silicates is thus of prime importance to understand and model the dynamic behavior of the past and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60 GPa. The density data present two discontinuities at ˜17 and ˜60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5 /6 coordination and from 5 /6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at ˜40 GPa , and its density becomes identical to that of MgSiO3 glass above 80 GPa. Our results on SiO2 glass may suggest that a variation of SiO2 content in a basaltic or pyrolitic melt with pressure has at most a minor effect on the final melt density, and iron partitioning between the melts and residual solids is the predominant factor that controls melt buoyancy in the lowermost mantle.

  8. Nucleation and growth mechanism of 2D SnS2 by chemical vapor deposition: initial 3D growth followed by 2D lateral growth

    NASA Astrophysics Data System (ADS)

    Zhang, Haodong; van Pelt, Thomas; Nalin Mehta, Ankit; Bender, Hugo; Radu, Iuliana; Caymax, Matty; Vandervorst, Wilfried; Delabie, Annelies

    2018-07-01

    Tin disulfide (SnS2) is a n-type semiconductor with a hexagonally layered crystal structure and has promising applications in nanoelectronics, optoelectronics and sensors. Such applications require the deposition of SnS2 with controlled crystallinity and thickness control at monolayer level on large area substrate. Here, we investigate the nucleation and growth mechanism of two-dimensional (2D) SnS2 by chemical vapor deposition (CVD) using SnCl4 and H2S as precursors. We find that the growth mechanism of 2D SnS2 is different from the classical layer-by-layer growth mode, by which monolayer-thin 2D transition metal dichalcogenides can be formed. In the initial nucleation stage, isolated 2D SnS2 domains of several monolayers high are formed. Next, 2D SnS2 crystals grow laterally while keeping a nearly constant height until layer closure is achieved, due to the higher reactivity of SnS2 crystal edges than basal planes. We infer that the thickness of the 2D SnS2 crystals is determined by the height of initial SnS2 islands. After layer closure, SnS2 grows on grain boundaries and results in 3D growth mode, accompanied by spiral growth. Our findings suggest an approach to prepare 2D SnS2 with a controlled thickness of several monolayers and add more knowledge on the nucleation and growth mechanism of 2D materials.

  9. Magnetic studies of SiO2 coated CoFe2O4 nanoparticles

    NASA Astrophysics Data System (ADS)

    Limaye, Mukta V.; Singh, Shashi B.; Das, Raja; Poddar, Pankaj; Abyaneh, Majid K.; Kulkarni, Sulabha K.

    2017-11-01

    Oleic acid capped CoFe2O4 nanoparticles which exhibit a high coercivity of ∼9.47 kOe at room temperature were coated with a robust coating of SiO2. We have used chemical synthesis method to obtain SiO2 coated CoFe2O4 nanoparticles with different weight percentages of CoFe2O4 in SiO2 (1.5, 3.1 and 4.8 wt.%). The morphological investigation of the coated nanoparticles by transmission electron microscopy shows that the particles are spherical with average size ∼160 nm. Infrared spectroscopy reveals that oleic acid capping on the surface of CoFe2O4 nanoparticles is retained after silica coating process. The complete coating of SiO2 on CoFe2O4 nanoparticles is confirmed by X-ray photoelectron spectroscopy as there is no signature of cobalt or iron ions on the surface. Magnetic measurements show that coercivity of SiO2 coated CoFe2O4 particles remains more or less unaffected as in CoFe2O4 nanoparticles at room temperature. In addition, the temperature dependent magnetic measurements show that at 5 K the CoFe2O4 and SiO2 coated 1.5 wt.% CoFe2O4 samples exhibit a very high value of coercivity (∼20 kOe) which is more than twice as compared to room temperature coercivity value (∼9.47 kOe). We conclude that silica coating in our study does not significantly affect the coercivity of CoFe2O4 nanoparticles.

  10. Structural analysis of ion-implanted chemical-vapor-deposited diamond by transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Jiang, N.; Deguchi, M.; Wang, C. L.; Won, J. H.; Jeon, H. M.; Mori, Y.; Hatta, A.; Kitabatake, M.; Ito, T.; Hirao, T.; Sasaki, T.; Hiraki, A.

    1997-04-01

    A transmission electron microscope (TEM) study of ion-implanted chemical-vapor-deposited (CVD) diamond is presented. CVD diamond used for transmission electron microscope observation was directly deposited onto Mo TEM grids. As-deposited specimens were irradiated by C (100 keV) ions at room temperature with a wide range of implantation doses (10 12-10 17/cm 2). Transmission electron diffraction (TED) patterns indicate that there exists a critical dose ( Dc) for the onset of amorphization of CVD diamond as a result of ion induced damage and the value of critical dose is confirmed to be about 3 × 10 15/cm 2. The ion-induced transformation process is clearly revealed by high resolution electron microscope (HREM) images. For a higher dose implantation (7 × 10 15/cm 2) a large amount of diamond phase is transformed into amorphous carbon and many tiny misoriented diamond blocks are found to be left in the amorphous solid. The average size of these misoriented diamond blocks is only about 1-2 nm. Further bombardment (10 17/cm 2) almost kills all of the diamond phase within the irradiated volume and moreover leads to local formation of micropolycrystalline graphite.

  11. Preparation and wettability examinations of transparent SiO2 binder-added MgF2 nanoparticle coatings covered with fluoro-alkyl silane self-assembled monolayer.

    PubMed

    Murata, Tsuyoshi; Hieda, Junko; Saito, Nagahiro; Takai, Osamu

    2012-05-01

    SiO2-added MgF2 nanoparticle coatings with various surface roughness properties were formed on fused silica-glass substrates from autoclaved sols prepared at 100-180 °C. To give it hydrophobicity, we treated the samples with fluoro-alkyl silane (FAS) vapor to form self-assembled monolayers on the nanoparticle coating and we examined the wettability of the samples. The samples preserved good transparency even after the FAS treatment. The wettability examination revealed that higher autoclave temperatures produced a larger average MgF2 nanoparticle particle size, a larger surface roughness, and a higher contact angle and the roll-off angle.

  12. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    NASA Astrophysics Data System (ADS)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  13. ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire capacitor structure fabricated solely by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru

    2016-02-01

    HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.

  14. Band alignment of SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) determined by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Feng, Zhaoqing; Feng, Qian; Zhang, Jincheng; Li, Xiang; Li, Fuguo; Huang, Lu; Chen, Hong-Yan; Lu, Hong-Liang; Hao, Yue

    2018-03-01

    In this work, we report the investigation of the band alignment of SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) utilizing the high resolution X-ray photoelectron spectroscopy (XPS) measurements. The single crystallinity and orientation of β-(AlxGa1-x)2O3 films grown on sapphire by pulsed laser deposition were studied with the high resolution X-ray diffraction. The Ga 2p3/2 and Si 2p core-level spectra as well as valence band spectra were used in the analysis of band alignment. As the mole fraction x of Al increases from 0 to 0.49, the bandgap and conduction band offset values of SiO2/(AlxGa1-x)2O3 increases from 4.9 to 5.6 eV and from 1.5 to 2.1 eV, respectively, while that of valence band offset decreases from 2.2 to 0.9 eV. From the results obtained, the energy band diagram of the studied SiO2/(AlxGa1-x)2O3 (0 ≤ x ≤ 0.49) interfaces is found to be of type I. Energy band lineups of SiO2/(AlxGa1-x)2O3 were thus determined which can be used as for Ga2O3 based power device technology.

  15. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  16. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    NASA Astrophysics Data System (ADS)

    Jong-Woong Kim,; Young-Seok Kim,; Sung-Jei Hong,; Tae-Hwan Hong,; Jeong-In Han,

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  17. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE PAGES

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; ...

    2017-02-16

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  18. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Here, magnesium diboride (MgB 2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB 2. MgB 2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB 2 and excellent thermal conductivity of Cu. We have grown MgB 2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB 2 coating on top of a Mg–Cu alloy layer with occasionalmore » intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm –2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  19. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High Tmore » c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.« less

  20. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.