Sample records for vapor etching cve

  1. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  2. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  3. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    PubMed

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  4. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  5. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  6. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate

  7. CVE and the Corporate Market: A Case Study of the Experience of Warwick Business School.

    ERIC Educational Resources Information Center

    Antonazzi, Leoni

    1998-01-01

    Reviews the promotion and practice of continuing vocational education (CVE) at Warwick Business School (United Kingdom) for executives within the corporate sector. Highlights include relationship management, brand building, an MBA (Masters in Business Education) refresher course, a strategic management refresher course, and future developments.…

  8. 38 CFR 74.11 - How does CVE process applications for VetBiz VIP Verification Program?

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... electronic means. (The Office of Management and Budget has approved the information collection requirements... Veterans Enterprise, is authorized to approve or deny applications for VetBiz VIP Verification. The CVE... complete and suitable for evaluation and, if not, what additional information or clarification is required...

  9. 38 CFR 74.11 - How does CVE process applications for VetBiz VIP Verification Program?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... electronic means. (The Office of Management and Budget has approved the information collection requirements... Veterans Enterprise, is authorized to approve or deny applications for VetBiz VIP Verification. The CVE... complete and suitable for evaluation and, if not, what additional information or clarification is required...

  10. 38 CFR 74.11 - How does CVE process applications for VetBiz VIP Verification Program?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... electronic means. (The Office of Management and Budget has approved the information collection requirements... Veterans Enterprise, is authorized to approve or deny applications for VetBiz VIP Verification. The CVE... complete and suitable for evaluation and, if not, what additional information or clarification is required...

  11. 38 CFR 74.11 - How does CVE process applications for VetBiz VIP Verification Program?

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... electronic means. (The Office of Management and Budget has approved the information collection requirements... Veterans Enterprise, is authorized to approve or deny applications for VetBiz VIP Verification. The CVE... complete and suitable for evaluation and, if not, what additional information or clarification is required...

  12. 38 CFR 74.11 - How does CVE process applications for VetBiz VIP Verification Program?

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... electronic means. (The Office of Management and Budget has approved the information collection requirements... Veterans Enterprise, is authorized to approve or deny applications for VetBiz VIP Verification. The CVE... complete and suitable for evaluation and, if not, what additional information or clarification is required...

  13. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition.

    PubMed

    Utama, M Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-11-07

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.

  14. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  15. 38 CFR 74.13 - Can an applicant ask CVE to reconsider its initial decision to deny an application?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... hand delivery, mail, commercial carrier, or facsimile transmission. Hand delivery and other means of... issued within 60 days after receipt. (b) The Director, CVE, will issue a written decision within 60 days...

  16. Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.

    1989-01-01

    Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.

  17. Developing CPD in Britain and the Wider Europe. Proceedings of the Annual Conference of the UACE CVE (York, England, September 1997).

    ERIC Educational Resources Information Center

    Universities Association for Continuing Education, Cambridge (England).

    This document contains 8 plenary papers and 15 workshop presentations from a conference on development continuing professional development (CPD) and continuing vocational education (CVE) in United Kingdom and Europe. The following papers are included: "How CPD Adds Value to Professional Personnel and Development Activity" (Judy…

  18. Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis

    2011-02-01

    The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.

  19. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation

    NASA Astrophysics Data System (ADS)

    Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul

    2014-11-01

    We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.

  20. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  1. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations.

    PubMed

    Stehle, Yijing Y; Sang, Xiahan; Unocic, Raymond R; Voylov, Dmitry; Jackson, Roderick K; Smirnov, Sergei; Vlassiouk, Ivan

    2017-12-13

    Chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes in hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.

  2. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE PAGES

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.; ...

    2017-11-14

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  3. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  4. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  5. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  6. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains.

    PubMed

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-23

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H 2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  7. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  8. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  9. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  10. PMMA-Etching-Free Transfer of Wafer-scale Chemical Vapor Deposition Two-dimensional Atomic Crystal by a Water Soluble Polyvinyl Alcohol Polymer Method

    PubMed Central

    Van Ngoc, Huynh; Qian, Yongteng; Han, Suk Kil; Kang, Dae Joon

    2016-01-01

    We have explored a facile technique to transfer large area 2-Dimensional (2D) materials grown by chemical vapor deposition method onto various substrates by adding a water-soluble Polyvinyl Alcohol (PVA) layer between the polymethyl-methacrylate (PMMA) and the 2D material film. This technique not only allows the effective transfer to an arbitrary target substrate with a high degree of freedom, but also avoids PMMA etching thereby maintaining the high quality of the transferred 2D materials with minimum contamination. We applied this method to transfer various 2D materials grown on different rigid substrates of general interest, such as graphene on copper foil, h-BN on platinum and MoS2 on SiO2/Si. This facile transfer technique has great potential for future research towards the application of 2D materials in high performance optical, mechanical and electronic devices. PMID:27616038

  11. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    NASA Astrophysics Data System (ADS)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  12. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  13. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  14. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  15. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  16. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  17. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  18. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  19. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  20. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  1. Seeded Physical Vapor Transport of Cadmium-Zinc Telluride Crystals: Growth and Characterization

    NASA Technical Reports Server (NTRS)

    Palosz, W.; George, M. A.; Collins, E. E.; Chen, K.-T.; Zhang, Y.; Burger, A.

    1997-01-01

    Crystals of Cd(1-x)Zn(x)Te with x = 0.2 and 40 g in weight were grown on monocrystalline cadmium-zinc telluride seeds by closed-ampoule physical vapor transport with or without excess (Cd + Zn) in the vapor phase. Two post-growth cool-down rates were used. The crystals were characterized using low temperature photoluminescence, atomic force microscopy, chemical etching, X-ray diffraction and electrical measurements. No formation of a second, ZnTe-rich phase was observed.

  2. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  3. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  4. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  5. Atomic-scale etching of hexagonal boron nitride for device integration based on two-dimensional materials.

    PubMed

    Park, Hamin; Shin, Gwang Hyuk; Lee, Khang June; Choi, Sung-Yool

    2018-05-29

    Hexagonal boron nitride (h-BN) is considered an ideal template for electronics based on two-dimensional (2D) materials, owing to its unique properties as a dielectric film. Most studies involving h-BN and its application to electronics have focused on its synthesis using techniques such as chemical vapor deposition, the electrical analysis of its surface state, and the evaluation of its performance. Meanwhile, processing techniques including etching methods have not been widely studied despite their necessity for device fabrication processes. In this study, we propose the atomic-scale etching of h-BN for integration into devices based on 2D materials, using Ar plasma at room temperature. A controllable etching rate, less than 1 nm min-1, was achieved and the low reactivity of the Ar plasma enabled the atomic-scale etching of h-BN down to a monolayer in this top-down approach. Based on the h-BN etching technique for achieving electrical contact with the underlying molybdenum disulfide (MoS2) layer of an h-BN/MoS2 heterostructure, a top-gate MoS2 field-effect transistor (FET) with h-BN gate dielectric was fabricated and characterized by high electrical performance based on the on/off current ratio and carrier mobility.

  6. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  7. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  8. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  9. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    NASA Astrophysics Data System (ADS)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  10. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  11. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    PubMed

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.

  12. Effect of surface etching on the oxidation behavior of plasma chromizing-treated AISI440B stainless steel

    NASA Astrophysics Data System (ADS)

    Meng, T. X.; Guo, Q.; Xi, W.; Ding, W. Q.; Liu, X. Z.; Lin, N. M.; Yu, S. W.; Liu, X. P.

    2018-03-01

    Double glow plasma surface alloying was applied to prepare chromizing layer in the surface of AISI440B stainless steel. Prior to chromizing, the stainless steel was etched by microwave plasma chemical vapor deposition to change the surface morphology and composition, and then heated for chromizing at 950 °C for 3 h. The cyclical oxidation of steel after chromizing was carried out at 900 °C for 100 h. Scanning electron microscopy, glow discharge optical emission spectrometer and X-ray diffractometer were used to characterize microstructure, composition and phase structure of alloyed and oxidized samples. The results show that the surface was composed of the Cr-rich top layer and Cr23C6, Cr7C3 and {Cr,Fe}7C3 below layer after chromizing. The bonding between the chromizing layer and the substrate after etching treatment was obviously strengthened. AISI440B steel shows a poor oxidation resistance and the weight gain oxidized for 100 h was up to 31.1 mg/cm2. Weight gains for chromizing and etching + chromizing treated samples were 0.67 mg/cm2 and 8 mg/cm2, respectively. Both oxidized surfaces of chromizing and etching + chromizing were composed of Cr2O3, but the oxide scale of etching + chromizing treated samples was more compact than that of samples without etching.

  13. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  14. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  15. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  16. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  17. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  18. Study on the formation of dodecagonal pyramid on nitrogen polar GaN surface etched by hot H3PO4

    NASA Astrophysics Data System (ADS)

    Qi, S. L.; Chen, Z. Z.; Fang, H.; Sun, Y. J.; Sang, L. W.; Yang, X. L.; Zhao, L. B.; Tian, P. F.; Deng, J. J.; Tao, Y. B.; Yu, T. J.; Qin, Z. X.; Zhang, G. Y.

    2009-08-01

    Hot phosphor acid (H3PO4) etching is presented to form a roughened surface with dodecagonal pyramids on laser lift-off N face GaN grown by metalorganic chemical vapor deposition. A detailed analysis of time evolution of surface morphology is described as a function of etching temperature. The activation energy of the H3PO4 etching process is 1.25 eV, indicating the process is reaction-limited scheme. And it is found that the oblique angle between the facets and the base plane increases as the temperature increases. Thermodynamics and kinetics related factors of the formation mechanism of the dodecagonal pyramid are also discussed. The light output power of a vertical injection light-emitting-diode (LED) with proper roughened surface shows about 2.5 fold increase compared with that of LED without roughened surface.

  19. Using Graphene Liquid Cell Transmission Electron Microscopy to Study in Situ Nanocrystal Etching.

    PubMed

    Hauwiller, Matthew R; Ondry, Justin C; Alivisatos, A Paul

    2018-05-17

    Graphene liquid cell electron microscopy provides the ability to observe nanoscale chemical transformations and dynamics as the reactions are occurring in liquid environments. This manuscript describes the process for making graphene liquid cells through the example of graphene liquid cell transmission electron microscopy (TEM) experiments of gold nanocrystal etching. The protocol for making graphene liquid cells involves coating gold, holey-carbon TEM grids with chemical vapor deposition graphene and then using those graphene-coated grids to encapsulate liquid between two graphene surfaces. These pockets of liquid, with the nanomaterial of interest, are imaged in the electron microscope to see the dynamics of the nanoscale process, in this case the oxidative etching of gold nanorods. By controlling the electron beam dose rate, which modulates the etching species in the liquid cell, the underlying mechanisms of how atoms are removed from nanocrystals to form different facets and shapes can be better understood. Graphene liquid cell TEM has the advantages of high spatial resolution, compatibility with traditional TEM holders, and low start-up costs for research groups. Current limitations include delicate sample preparation, lack of flow capability, and reliance on electron beam-generated radiolysis products to induce reactions. With further development and control, graphene liquid cell may become a ubiquitous technique in nanomaterials and biology, and is already being used to study mechanisms governing growth, etching, and self-assembly processes of nanomaterials in liquid on the single particle level.

  20. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  1. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  2. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  3. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  4. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  5. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  6. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  7. Controllable poly-crystalline bilayered and multilayered graphene film growth by reciprocal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae

    2015-06-01

    We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for

  8. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  9. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  10. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  11. Electrical transport and low-frequency noise in chemical vapor deposited single-layer MoS2 devices.

    PubMed

    Sharma, Deepak; Amani, Matin; Motayed, Abhishek; Shah, Pankaj B; Birdwell, A Glen; Najmaei, Sina; Ajayan, Pulickel M; Lou, Jun; Dubey, Madan; Li, Qiliang; Davydov, Albert V

    2014-04-18

    We have studied temperature-dependent (77-300 K) electrical characteristics and low-frequency noise (LFN) in chemical vapor deposited (CVD) single-layer molybdenum disulfide (MoS2) based back-gated field-effect transistors (FETs). Electrical characterization and LFN measurements were conducted on MoS2 FETs with Al2O3 top-surface passivation. We also studied the effect of top-surface passivation etching on the electrical characteristics of the device. Significant decrease in channel current and transconductance was observed in these devices after the Al2O3 passivation etching. For passivated devices, the two-terminal resistance variation with temperature showed a good fit to the activation energy model, whereas for the etched devices the trend indicated a hopping transport mechanism. A significant increase in the normalized drain current noise power spectral density (PSD) was observed after the etching of the top passivation layer. The observed channel current noise was explained using a standard unified model incorporating carrier number fluctuation and correlated surface mobility fluctuation mechanisms. Detailed analysis of the gate-referred noise voltage PSD indicated the presence of different trapping states in passivated devices when compared to the etched devices. Etched devices showed weak temperature dependence of the channel current noise, whereas passivated devices exhibited near-linear temperature dependence.

  12. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  13. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  14. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  15. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  16. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  17. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  18. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  19. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  20. Effects of gas flow rate on the etch characteristics of a low- k sicoh film with an amorphous carbon mask in dual-frequency CF4/C4F8/Ar capacitively-coupled plasmas

    NASA Astrophysics Data System (ADS)

    Kwon, Bong-Soo; Lee, Hea-Lim; Lee, Nae-Eung; Kim, Chang-Young; Choi, Chi Kyu

    2013-01-01

    Highly selective nanoscale etching of a low-dielectric constant (low- k) organosilicate (SiCOH) layer using a mask pattern of chemical-vapor-deposited (CVD) amorphous carbon layer (ACL) was carried out in CF4/C4F8/Ar dual-frequency superimposed capacitively-coupled plasmas. The etching characteristics of the SiCOH layers, such as the etch rate, etch selectivity, critical dimension (CD), and line edge roughness (LER) during the plasma etching, were investigated by varying the C4F8 flow rate. The C4F8 gas flow rate primarily was found to control the degree of polymerization and to cause variations in the selectivity, CD and LER of the patterned SiCOH layer. Process windows for ultra-high etch selectivity of the SiCOH layer to the CVD ACL are formed due to the disproportionate degrees of polymerization on the SiCOH and the ACL surfaces.

  1. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  2. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  3. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  4. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, Jerald A.

    1997-01-01

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for 1) cleaning, developing or etching, 2) rinsing, and 3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material.

  5. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, J.A.

    1997-08-26

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for (1) cleaning, developing or etching, (2) rinsing, and (3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material. 5 figs.

  6. Interaction of gases with lunar materials. [surface properties of lunar fines, especially on exposure to water vapor

    NASA Technical Reports Server (NTRS)

    Holmes, H. F.; Gammage, R. B.

    1975-01-01

    The surface properties of lunar fines were investigated. Results indicate that, for the most part, these properties are independent of the chemical composition and location of the samples on the lunar surface. The leaching of channels and pores by adsorbed water vapor is a distinguishing feature of their surface chemistry. The elements of air, if adsorbed in conjunction with water vapor or liquid water, severely impedes the leaching process. In the absence of air, liquid water is more effective than water vapor in attacking the grains. The characteristics of Apollo 17 orange fines were evaluated and compared with those of other samples. The interconnecting channels produced by water vapor adsorption were found to be wider than usual for other types of fines. Damage tracks caused by heavy cosmic ray nuclei and an unusually high halogen content might provide for stronger etching conditions upon exposure to water vapor.

  7. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  8. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  9. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  10. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  11. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  12. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  13. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  14. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  15. [INVITED] On the mechanisms of single-pulse laser-induced backside wet etching

    NASA Astrophysics Data System (ADS)

    Tsvetkov, M. Yu.; Yusupov, V. I.; Minaev, N. V.; Akovantseva, A. A.; Timashev, P. S.; Golant, K. M.; Chichkov, B. N.; Bagratashvili, V. N.

    2017-02-01

    Laser-induced backside wet etching (LIBWE) of a silicate glass surface at interface with a strongly absorbing aqueous dye solution is studied. The process of crater formation and the generated optoacoustic signals under the action of single 5 ns laser pulses at the wavelength of 527 nm are investigated. The single-pulse mode is used to avoid effects of incubation and saturation of the etched depth. Significant differences in the mechanisms of crater formation in the ;soft; mode of laser action (at laser fluencies smaller than 150-170 J/cm2) and in the ;hard; mode (at higher laser fluencies) are observed. In the ;soft; single-pulse mode, LIBWE produces accurate craters with the depth of several hundred nanometers, good shape reproducibility and smooth walls. Estimates of temperature and pressure of the dye solution heated by a single laser pulse indicate that these parameters can significantly exceed the corresponding critical values for water. We consider that chemical etching of glass surface (or molten glass) by supercritical water, produced by laser heating of the aqueous dye solution, is the dominant mechanism responsible for the formation of crater in the ;soft; mode. In the ;hard; mode, the produced craters have ragged shape and poor pulse-to-pulse reproducibility. Outside the laser exposed area, cracks and splits are formed, which provide evidence for the shock induced glass fracture. By measuring the amplitude and spectrum of the generated optoacoustic signals it is possible to conclude that in the ;hard; mode of laser action, intense hydrodynamic processes induced by the formation and cavitation collapse of vapor-gas bubbles at solid-liquid interface are leading to the mechanical fracture of glass. The LIBWE material processing in the ;soft; mode, based on chemical etching in supercritical fluids (in particular, supercritical water) is very promising for structuring of optical materials.

  16. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  17. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  18. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  19. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  20. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  1. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  2. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  3. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  4. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  5. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  6. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  7. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  8. Development of speckle-free channel-cut crystal optics using plasma chemical vaporization machining for coherent x-ray applications.

    PubMed

    Hirano, Takashi; Osaka, Taito; Sano, Yasuhisa; Inubushi, Yuichi; Matsuyama, Satoshi; Tono, Kensuke; Ishikawa, Tetsuya; Yabashi, Makina; Yamauchi, Kazuto

    2016-06-01

    We have developed a method of fabricating speckle-free channel-cut crystal optics with plasma chemical vaporization machining, an etching method using atmospheric-pressure plasma, for coherent X-ray applications. We investigated the etching characteristics to silicon crystals and achieved a small surface roughness of less than 1 nm rms at a removal depth of >10 μm, which satisfies the requirements for eliminating subsurface damage while suppressing diffuse scattering from rough surfaces. We applied this method for fabricating channel-cut Si(220) crystals for a hard X-ray split-and-delay optical system and confirmed that the crystals provided speckle-free reflection profiles under coherent X-ray illumination.

  9. Effect of Plasma Treatment on Air and Water-Vapor Permeability of Bamboo Knitted Fabric

    NASA Astrophysics Data System (ADS)

    Prakash, C.; Ramakrishnan, G.; Chinnadurai, S.; Vignesh, S.; Senthilkumar, M.

    2013-11-01

    In this paper, the effects of oxygen and atmospheric plasma on air and water-vapor permeability properties of single jersey bamboo fabric have been investigated. The changes in these properties are believed to be related closely to the inter-fiber and inter-yarn friction force induced by the plasma treatments. The outcomes showed that the water-vapor permeability increased, although the air permeability decreased along with the plasma treatments. The SEM images clearly showed that the plasma modified the fiber surface outwardly. The results showed that the atmospheric plasma has an etching effect and increases the functionality of a bamboo surface, which is evident from SEM and FTIR-ATR analysis. These results reveal that atmospheric pressure plasma treatment is an effective method to improve the performance of bamboo fabric. Statistical analysis also indicates that the results are significant for air permeability and water-vapor permeability of the plasma-treated bamboo fabric.

  10. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  11. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  12. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  13. Crystal growth from the vapor phase experiment MA-085

    NASA Technical Reports Server (NTRS)

    Wiedemeir, H.; Sadeek, H.; Klaessig, F. C.; Norek, M.

    1976-01-01

    Three vapor transport experiments on multicomponent systems were performed during the Apollo Soyuz mission to determine the effects of microgravity forces on crystal morphology and mass transport rates. The mixed systems used germanium selenide, tellurium, germanium tetraiodide (transport agent), germanium monosulfide, germanium tetrachloride (transport agent), and argon (inert atmosphere). The materials were enclosed in evacuated sealed ampoules of fused silica and were transported in a temperature gradient of the multipurpose electric furnace onboard the Apollo Soyuz spacecraft. Preliminary evaluation of 2 systems shows improved quality of space grown crystals in terms of growth morphology and bulk perfection. This conclusion is based on a direct comparison of space grown and ground based crystals by means of X-ray diffraction, microscopic, and chemical etching techniques. The observation of greater mass transport rates than predicted for a microgravity environment by existing vapor transport models indicates the existence of nongravity caused transport effects in a reactive solid/gas phase system.

  14. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  15. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  16. Effect of Metal Ion Etching on the Tribological, Mechanical and Microstructural Properties of TiN-COATED d2 Tool Steel Using Cae Pvd Technique

    NASA Astrophysics Data System (ADS)

    Ali, Mubarak; Hamzah, Esah Binti; Hj. Mohd Toff, Mohd Radzi

    A study has been made on TiN coatings deposited on D2 tool steel substrates by using commercially available cathodic arc evaporation, physical vapor deposition technique. The goal of this work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness, coefficient of friction and surface roughness of TiN coating deposited on tool steel, which is vastly use in tool industry for various applications. A pin-on-disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating at various ion etching rates. The tribo-test showed that the minimum value recorded for friction coefficient was 0.386 and 0.472 with standard deviation of 0.056 and 0.036 for the coatings deposited at zero and 16 min ion etching. The differences in friction coefficient and surface roughness was mainly associated with the macrodroplets, which was produced during etching stage. The coating deposited for 16 min metal ion etching showed the maximum hardness, i.e., about five times higher than uncoated one and 1.24 times to the coating deposited at zero ion etching. After friction test, the wear track was observed by using field emission scanning electron microscope. The coating deposited for zero ion etching showed small amounts of macrodroplets as compared to the coating deposited for 16 min ion etching. The elemental composition on the wear scar were investigated by means of energy dispersive X-ray, indicate no further TiN coating on wear track. A considerable improvement in TiN coatings was recorded as a function of various ion etching rates.

  17. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  18. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  19. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  20. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  1. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  2. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  3. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  4. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  5. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  6. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  7. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  8. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  9. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  10. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  11. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  12. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  13. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  14. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  15. FIB Plan View Preparation and Electron Tomography of Ga-Containing Droplets Induced by Melt-Back Etching in Si.

    PubMed

    Gries, Katharina I; Werner, Katharina; Beyer, Andreas; Stolz, Wolfgang; Volz, Kerstin

    2016-02-01

    Melt-back etching is an effect that can occur for gallium (Ga) containing III/V semiconductors grown on Si. Since this effect influences interfaces between the two compounds and therefore the physical characteristics of the material composition, it is desirable to understand its driving forces. Therefore, we investigated Ga grown on Si (001) via metal organic chemical vapor deposition using trimethyl Ga as a precursor. As a result of the melt-back etching, Ga-containing droplets formed on the Si surface which reach into the Si wafer. The shape of these structures was analyzed by plan view investigation and cross sectional tomography in a (scanning) transmission electron microscope. For plan view preparation a focused ion beam was used to avoid damage to the Ga-containing structures, which are sensitive to the chemicals normally used during conventional plan view preparation. Combining the results of both investigation methods confirms that the Ga-containing structure within the Si exhibits a pyramid shape with facets along the Si {111} lattice planes.

  16. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  17. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  18. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  19. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  20. Formation of a pn junction on an anisotropically etched GaAs surface using metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.

    1986-01-01

    A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.

  1. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  2. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  3. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  4. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  5. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  6. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  7. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  8. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  9. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  10. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  11. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  12. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  13. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  14. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  16. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  17. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  18. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  19. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  20. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  1. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  2. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  3. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  4. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  5. The influence of surface properties of plasma-etched polydimethylsiloxane (PDMS) on cell growth and morphology.

    PubMed

    Pennisi, Cristian P; Zachar, Vladimir; Gurevich, Leonid; Patriciu, Andrei; Struijk, Johannes J

    2010-01-01

    Polydimethylsiloxane (PDMS) or silicone rubber is a widely used implant material. Approaches to promote tissue integration to PDMS are desirable to avoid clinical problems associated with sliding and friction between tissue and implant. Plasma-etching is a useful way to control cell behavior on PDMS without additional coatings. In this work, different plasma processing conditions were used to modify the surface properties of PDMS substrates. Surface nanotopography and wettability were measured to study their effect on in vitro growth and morphology of fibroblasts. While fluorinated plasma treatments produced nanorough hydrophobic and superhydrophobic surfaces that had negative or little influences on cellular behavior, water vapor/oxygen plasma produced smooth hydrophillic surfaces that enhanced cell growth.

  6. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  7. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  8. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  9. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Effects of etchants in the transfer of chemical vapor deposited graphene

    NASA Astrophysics Data System (ADS)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  11. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  12. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  13. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  14. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  15. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  16. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  17. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  18. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  19. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  20. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  1. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  2. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  3. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  4. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  5. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  6. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  7. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  8. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  9. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  10. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  11. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  12. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  13. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  14. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  15. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  16. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  17. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  18. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  19. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  20. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  1. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  2. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  3. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  4. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  5. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  6. No-waiting dentine self-etch concept-Merit or hype.

    PubMed

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  7. Etching Rate of Silicon Dioxide Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Kasahara, Yu; Habuka, Hitoshi; Takechi, Naoto; Fukae, Katsuya

    2009-02-01

    The etching rate behavior of silicon dioxide (SiO2, fused silica) using chlorine trifluoride (ClF3) gas is studied at substrate temperatures between 573 and 1273 K at atmospheric pressure in a horizontal cold-wall reactor. The etching rate increases with the ClF3 gas concentration, and the overall reaction is recognized to be of the first order. The change of the etching rate with increasing substrate temperature is nonlinear, and the etching rate tends to approach a constant value at temperatures exceeding 1173 K. The overall rate constant is estimated by numerical calculation, taking into account the transport phenomena in the reactor, including the chemical reaction at the substrate surface. The activation energy obtained in this study is 45.8 kJ mol-1, and the rate constant is consistent with the measured etching rate behavior. A reactor system in which there is minimum etching of the fused silica chamber by ClF3 gas can be achieved using an IR lamp heating unit and a chamber cooling unit to maintain a sufficiently low temperature of the chamber wall.

  8. What's new in dentine bonding? Self-etch adhesives.

    PubMed

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  9. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  10. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  11. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  12. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  13. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  14. Dyract compomer: comparison of total etch vs. no etch technique.

    PubMed

    Kugel, G; Perry, R D; Hoang, E; Hoang, T; Ferrari, M

    1998-01-01

    Different dental materials and methods can influence the integrity of the marginal seal of restorations. To evaluate the microleakage of Dyract AP Light Cured Compomer, a polyacid modified resin (Caulk), using etched and unetched techniques, standardized trapezoidal Class V restorations were placed on facial or lingual surfaces of 20 human molars with the gingival margin in the cementum. Each restoration was scored at the cervical by two independent, double blinded operators, with reference to the DEJ, for dye penetration on a ranking system of: 0 = no evidence of dye penetration; 1 = dye penetration up to one-half the distance to the axial wall; 2 = dye penetration beyond one-half the distance to the axial wall but short of the axial wall; 3 = dye penetration to the axial wall or beyond. Statistical analysis (Fisher Exact Test) indicated that the etched compomer demonstrated significantly less microleakage when compared to the unetched compomer (p < 0.05).

  15. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  16. Defect sensitive etching of hexagonal boron nitride single crystals

    NASA Astrophysics Data System (ADS)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  17. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  18. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  19. Etching and oxidation of InAs in planar inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  20. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  1. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  2. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  3. Microfluidic etching and oxime-based tailoring of biodegradable polyketoesters.

    PubMed

    Barrett, Devin G; Lamb, Brian M; Yousaf, Muhammad N

    2008-09-02

    A straightforward, flexible, and inexpensive method to etch biodegradable poly(1,2,6-hexanetriol alpha-ketoglutarate) films is reported. Microfluidic delivery of the etchant, a solution of NaOH, can create micron-scale channels through local hydrolysis of the polyester film. In addition, the presence of a ketone in the repeat unit allows for prior or post chemoselective modifications, enabling the design of functionalized microchannels. Delivery of oxyamine tethered ligands react with ketone groups on the polyketoester to generate covalent oxime linkages. By thermally sealing an etched film to a second flat surface, poly(1,2,6-hexanetriol alpha-ketoglutarate) can be used to create biodegradable microfluidic devices. In order to determine the versatility of the microfluidic etch technique, poly(epsilon-caprolactone) was etched with acetone. This strategy provides a facile method for the direct patterning of biodegradable materials, both through etching and chemoselective ligand immobilization.

  4. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  5. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  6. Scalloping minimization in deep Si etching on Unaxis DSE tools

    NASA Astrophysics Data System (ADS)

    Lai, Shouliang; Johnson, Dave J.; Westerman, Russ J.; Nolan, John J.; Purser, David; Devre, Mike

    2003-01-01

    Sidewall smoothness is often a critical requirement for many MEMS devices, such as microfludic devices, chemical, biological and optical transducers, while fast silicon etch rate is another. For such applications, the time division multiplex (TDM) etch processes, so-called "Bosch" processes are widely employed. However, in the conventional TDM processes, rough sidewalls result due to scallop formation. To date, the amplitude of the scalloping has been directly linked to the silicon etch rate. At Unaxis USA Inc., we have developed a proprietary fast gas switching technique that is effective for scalloping minimization in deep silicon etching processes. In this technique, process cycle times can be reduced from several seconds to as little as a fraction of second. Scallop amplitudes can be reduced with shorter process cycles. More importantly, as the scallop amplitude is progressively reduced, the silicon etch rate can be maintained relatively constant at high values. An optimized experiment has shown that at etch rate in excess of 7 μm/min, scallops with length of 116 nm and depth of 35 nm were obtained. The fast gas switching approach offers an ideal manufacturing solution for MEMS applications where extremely smooth sidewall and fast etch rate are crucial.

  7. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  8. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  9. Thermal etching of silver: Influence of rolling defects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolvedmore » oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.« less

  10. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  11. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  12. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  13. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  14. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  15. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  16. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  17. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    NASA Astrophysics Data System (ADS)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  18. Improving contact layer patterning using SEM contour based etch model

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka; Hertzsch, Tino; Moll, Hans-Peter

    2016-10-01

    The patterning of the contact layer is modulated by strong etch effects that are highly dependent on the geometry of the contacts. Such litho-etch biases need to be corrected to ensure a good pattern fidelity. But aggressive designs contain complex shapes that can hardly be compensated with etch bias table and are difficult to characterize with standard CD metrology. In this work we propose to implement a model based etch compensation method able to deal with any contact configuration. With the help of SEM contours, it was possible to get reliable 2D measurements particularly helpful to calibrate the etch model. The selections of calibration structures was optimized in combination with model form to achieve an overall errRMS of 3nm allowing the implementation of the model in production.

  19. Fully porous GaN p-n junction diodes fabricated by chemical vapor deposition.

    PubMed

    Bilousov, Oleksandr V; Carvajal, Joan J; Geaney, Hugh; Zubialevich, Vitaly Z; Parbrook, Peter J; Martínez, Oscar; Jiménez, Juan; Díaz, Francesc; Aguiló, Magdalena; O'Dwyer, Colm

    2014-10-22

    Porous GaN based LEDs produced by corrosion etching techniques demonstrated enhanced light extraction efficiency in the past. However, these fabrication techniques require further postgrown processing steps, which increases the price of the final system. Also, the penetration depth of these etching techniques is limited, and affects not only the semiconductor but also the other elements constituting the LED when applied to the final device. In this paper, we present the fabrication of fully porous GaN p-n junctions directly during growth, using a sequential chemical vapor deposition (CVD) process to produce the different layers that form the p-n junction. We characterized their diode behavior from room temperature to 673 K and demonstrated their ability as current rectifiers, thus proving the potential of these fully porous p-n junctions for diode and LEDs applications. The electrical and luminescence characterization confirm that high electronic quality porous structures can be obtained by this method, and we believe this investigation can be extended to other III-N materials for the development of white light LEDs, or to reduce reflection losses and narrowing the output light cone for improved LED external quantum efficiencies.

  20. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  1. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    PubMed

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  2. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  3. Etching of moldavities under natural conditions

    NASA Technical Reports Server (NTRS)

    Knobloch, V.; Knoblochova, Z.; Urbanec, Z.

    1983-01-01

    The hypothesis that a part of the lechatellierites which originated by etching from a basic moldavite mass became broken off after deposition of moldavite in the sedimentation layer is advanced. Those found close to the original moldavite were measured for statistical averaging of length. The average length of lechatelierite fibers per cubic mm of moldavite mass volume was determined by measurement under a microscope in toluene. The data were used to calculate the depth of the moldavite layer that had to be etched to produce the corresponding amount of lechatelierite fragments. The calculations from five "fields" of moldavite surface, where layers of fixed lechatelierite fragments were preserved, produced values of 2.0, 3.1, 3.5, 3.9 and 4.5. Due to inadvertent loss of some fragments the determined values are somewhat lower than those found in references. The difference may be explained by the fact that the depth of the layer is only that caused by etching after moldavite deposition.

  4. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  5. Ion-enhanced chemical etching of ZrO2 in a chlorine discharge

    NASA Astrophysics Data System (ADS)

    Sha, Lin; Cho, Byeong-Ok; Chang, Jane P.

    2002-09-01

    Chlorine plasma is found to chemically etch ZrO2 thin films in an electron cyclotron resonance reactor, and the etch rate scaled linearly with the square root of ion energy at high ion energies with a threshold energy between 12-20 eV. The etching rate decreased monotonically with increasing chamber pressures, which corresponds to reduced electron temperatures. Optical emission spectroscopy and quadrupole mass spectrometry were used to identify the reaction etching products. No Zr, O, or ZrCl were detected as etching products, but highly chlorinated zirconium compounds (ZrCl2, ZrCl3, and ZrCl4) and ClO were found to be the dominant etching products. ZrCl3 was the dominant etching products at low ion energies, while ZrCl4 became dominant at higher ion energies. This is consistent with greater momentum transfer and enhanced surface chlorination, as determined by x-ray photoelectron spectroscopy, at increased ion energies. Several ion-enhanced chemical reactions are proposed to contribute to the ZrO2 etching. copyright 2002 American Vacuum Society.

  6. Understanding and controlling the step bunching instability in aqueous silicon etching

    NASA Astrophysics Data System (ADS)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  7. Preparation of etched tantalum semimicro capacitor stimulation electrodes.

    PubMed

    Robblee, L S; Kelliher, E M; Langmuir, M E; Vartanian, H; McHardy, J

    1983-03-01

    The ideal electrode for stimulation of the nervous system is one that will inject charge by purely capacitive processes. One approach is to exploit the type of metal-oxide combination used in electrolytic capacitors, e.g., Ta/Ta2O5. For this purpose, fine tantalum wire (0.25 mm diam) was etched electrolytically at constant current in a methanol solution of NH4Br containing 1.5 wt % H2O. Electrolytic etching produced a conical tip with a length of ca. 0.5 mm and shaft diameters ranging from 0.10 to 0.16 mm. The etched electrodes were anodized to 10 V (vs. SCE) in 0.1 vol % H3PO4. The capacitance values normalized to geometric area of etched electrodes ranged from 0.13 to 0.33 micro F mm-2. Comparison of these values to the capacitance of "smooth" tantalum anodized to 10 V (0.011 micro F mm-2) indicated that the degree of surface enhancement, or etch ratio, was 12-30. The surface roughness was confirmed by scanning electron microscopy studies which revealed an intricate array of irregularly shaped surface projections about 1-2 micrometers wide. The etched electrodes were capable of delivering 0.06-0.1 micro C of charge with 0.1 ms pulses at a pulse repetition rate of 400 Hz when operated at 50% of the anodization voltage. This quantity of charge corresponded to volumetric charge densities of 20-30 micro C mm-3 and area charge densities of 0.55-0.88 micro C mm-2. Charge storage was proportionately higher at higher fractional values of the formation voltage. Leakage currents at 5 V were ca. 2 nA. Neither long-term passive storage (1500 h) nor extended pulsing time (18 h) had a deleterious effect on electrode performance. The trend in electrical stimulation work is toward smaller electrodes. The procedures developed in this study should be particularly well-suited to the fabrication of even smaller electrodes because of the favorable electrical and geometric characteristics of the etched surface.

  8. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  9. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  10. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    NASA Astrophysics Data System (ADS)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  11. Northern Arabia Etched Terrain

    NASA Image and Video Library

    2002-06-17

    Many places on Mars, such as in this image from NASA Mars Odyssey spacecraft of a crater superposed on the floor of a larger crater, display scabby, eroded landscapes that commonly are referred to as etched terrain.

  12. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    PubMed Central

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  13. New silicon architectures by gold-assisted chemical etching.

    PubMed

    Mikhael, Bechelany; Elise, Berodier; Xavier, Maeder; Sebastian, Schmitt; Johann, Michler; Laetitia, Philippe

    2011-10-01

    Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal assisted chemical etching. The combination of these methods allows the morphology and organization control of Si NWs on a large area. From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, we demonstrate the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness. These investigations will allow us to better understand the mechanism of Si etching to enable a wide range of applications such as molecular sensing, and for thermoelectric and photovoltaic devices. © 2011 American Chemical Society

  14. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  15. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  16. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  17. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application.

    PubMed

    Cummins, Cian; Mokarian-Tabari, Parvaneh; Andreazza, Pascal; Sinturel, Christophe; Morris, Michael A

    2016-03-01

    Solvothermal vapor annealing (STVA) was employed to induce microphase separation in a lamellar forming block copolymer (BCP) thin film containing a readily degradable block. Directed self-assembly of poly(styrene)-block-poly(d,l-lactide) (PS-b-PLA) BCP films using topographically patterned silicon nitride was demonstrated with alignment over macroscopic areas. Interestingly, we observed lamellar patterns aligned parallel as well as perpendicular (perpendicular microdomains to substrate in both cases) to the topography of the graphoepitaxial guiding patterns. PS-b-PLA BCP microphase separated with a high degree of order in an atmosphere of tetrahydrofuran (THF) at an elevated vapor pressure (at approximately 40-60 °C). Grazing incidence small-angle X-ray scattering (GISAXS) measurements of PS-b-PLA films reveal the through-film uniformity of perpendicular microdomains after STVA. Perpendicular lamellar orientation was observed on both hydrophilic and relatively hydrophobic surfaces with a domain spacing (L0) of ∼32.5 nm. The rapid removal of the PLA microdomains is demonstrated using a mild basic solution for the development of a well-defined PS mask template. GISAXS data reveal the through-film uniformity is retained following wet etching. The experimental results in this article demonstrate highly oriented PS-b-PLA microdomains after a short annealing period and facile PLA removal to form porous on-chip etch masks for nanolithography application.

  18. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  19. Model of wet chemical etching of swift heavy ions tracks

    NASA Astrophysics Data System (ADS)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  20. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    PubMed

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  1. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  2. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  3. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  4. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    PubMed

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  5. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  6. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    PubMed

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  7. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaningmore » method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.« less

  8. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  9. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  10. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  11. High-performance etching of multilevel phase-type Fresnel zone plates with large apertures

    NASA Astrophysics Data System (ADS)

    Guo, Chengli; Zhang, Zhiyu; Xue, Donglin; Li, Longxiang; Wang, Ruoqiu; Zhou, Xiaoguang; Zhang, Feng; Zhang, Xuejun

    2018-01-01

    To ensure the etching depth uniformity of large-aperture Fresnel zone plates (FZPs) with controllable depths, a combination of a point source ion beam with a dwell-time algorithm has been proposed. According to the obtained distribution of the removal function, the latter can be used to optimize the etching time matrix by minimizing the root-mean-square error between the simulation results and the design value. Owing to the convolution operation in the utilized algorithm, the etching depth error is insensitive to the etching rate fluctuations of the ion beam, thereby reducing the requirement for the etching stability of the ion system. As a result, a 4-level FZP with a circular aperture of 300 mm was fabricated. The obtained results showed that the etching depth uniformity of the full aperture could be reduced to below 1%, which was sufficiently accurate for meeting the use requirements of FZPs. The proposed etching method may serve as an alternative way of etching high-precision diffractive optical elements with large apertures.

  12. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  13. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  14. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    PubMed

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p < 0.05). Bond strengths to ground enamel of self-etching adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no

  15. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    PubMed

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  16. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage

    PubMed Central

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    Purpose: This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. Materials and Methods: The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. Results: The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). Conclusions: When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond. PMID:29674814

  17. Sculpting Silica Colloids by Etching Particles with Nonuniform Compositions

    PubMed Central

    2017-01-01

    We present the synthesis of new shapes of colloidal silica particles by manipulating their chemical composition and subsequent etching. Segments of silica rods, prepared by the ammonia catalyzed hydrolysis and condensation of tetraethylorthosilicate (TEOS) from polyvinylpyrrolidone loaded water droplets, were grown under different conditions. Upon decreasing temperature, delaying ethanol addition, or increasing monomer concentration, the rate of dissolution of the silica segment subsequently formed decreased. A watery solution of NaOH (∼mM) selectively etched these segments. Further tuning the conditions resulted in rod–cone or cone–cone shapes. Deliberately modulating the composition along the particle’s length by delayed addition of (3-aminopropyl)-triethoxysilane (APTES) also allowed us to change the composition stepwise. The faster etching of this coupling agent in neutral conditions or HF afforded an even larger variety of particle morphologies while in addition changing the chemical functionality. A comparable step in composition was applied to silica spheres. Biamine functional groups used in a similar way as APTES caused a charge inversion during the growth, causing dumbbells and higher order aggregates to form. These particles etched more slowly at the neck, resulting in a biconcave silica ring sandwiched between two silica spheres, which could be separated by specifically etching the functionalized layer using HF. PMID:28413261

  18. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    DOEpatents

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  19. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  20. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  1. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    PubMed

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p < .05. Ten extra specimens were processed for observation under a field-emission scanning electron microscope. Single Bond, the total-etch adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p < .05). All the self-etching adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different

  2. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  3. Characterization of Etch Pit Formation via the Everson-Etching Method on CdZnTe Crystal Surfaces from the Bulk to the Nano-Scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Teague, L.; Duff, M.; Cadieux, J.

    2010-09-24

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  4. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  5. Chemical vapor transport of chalcopyrite semiconductors: CuGaS2 and AgGaS2

    NASA Astrophysics Data System (ADS)

    Lauck, R.; Cardona, M.; Kremer, R. K.; Siegle, G.; Bhosale, J. S.; Ramdas, A. K.; Alawadhi, H.; Miotkowski, I.; Romero, A. H.; Muñoz, A.; Burger, A.

    2014-09-01

    Crystals of CuGaS2 and AgGaS2 with different isotopic compositions have been grown by chemical vapor transport (CVT) using iodine as the transport agent. Before performing the CVT growth, sulfur and copper were purified by sublimation and etching, respectively. 109Ag and the etched 71Ga isotopes were purified from oxides by vacuum annealing. Transparent yellow orange crystals of CuGaS2 and greenish yellow crystals of AgGaS2 were obtained in the shape of platelets, chunks, rods and needles in sizes of up to 8 mm (CuGaS2) and 30 mm (AgGaS2). These crystals were used to study their electronic, vibrational and thermodynamic properties. Higher excitonic states (n=2,3) were observed at low temperatures with wavelength-modulated reflectivity spectroscopy, thus proving an excellent surface and crystal quality. In addition, the experimentally determined non-monotonic temperature dependence of the excitonic energies can be well fitted by using two Bose-Einstein oscillators and their statistical factors, corresponding to characteristic acoustic and optical phonon frequencies. Isotopic shift of excitonic energies has also been successfully observed in these crystals.

  6. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method, comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p- type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  7. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  8. Microdroplet-etched highly birefringent low-loss fiber tapers.

    PubMed

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  9. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  10. Simultaneous in situ Optical Monitoring Techniques during Crystal Growth of ZnSe by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, C.- H.; Feth, S.; Lehoczky, S. L.

    1998-01-01

    ZnSe crystals grown in sealed ampoules by the physical vapor transport method were monitored in situ using three techniques, simultaneously. A Michelson interferometer was set-up to observe the growth rate and surface morphological evolution. An interference pattern (interferogram) is formed by the interaction between the reflection of a HeNe laser (632.8 nm wavelength) off the crystal-vapor interface and a reference beam from the same laser. Preliminary results indicate that the rate of growth/thermal-etching can be calculated using analog data acquisition and simple fringe counting techniques. Gross surface features may also be observed using a digital frame grabber and fringe analysis software. The second in situ technique uses optical absorption to determine the partial pressures of the vapor species. The Se2 and Zn vapor species present in the sealed ampoule absorb light at characteristic wavelengths. The optical absorption is determined by monitoring the light intensity difference between the sample and reference beams. The Se2 Partial pressure profile along the length of the ampoule was estimated from the vibronic absorption peaks at 340.5, 350.8, 361.3 and 379.2 nm using the Beer's law constants established in the calibration runs of pure Se. Finally, because the high temperature crystal growth furnace contains windows, in situ visual observation of the growing crystal is also possible. The use of these techniques not only permits in situ investigation of high temperature vapor growth of semiconductors, but also offers the potential for real time feed back on the growing crystal and allows the possibility of actively controlling the growth process.

  11. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    PubMed

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p < 0.05), where FUE (36.83 ± 4.9 MPa) showed the highest bond strength values and SBUWE (18.40 ± 2.2 MPa) showed the lowest bond strength values. The analysis of adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  12. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  13. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    PubMed

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  14. Rapid recipe formulation for plasma etching of new materials

    NASA Astrophysics Data System (ADS)

    Chopra, Meghali; Zhang, Zizhuo; Ekerdt, John; Bonnecaze, Roger T.

    2016-03-01

    A fast and inexpensive scheme for etch rate prediction using flexible continuum models and Bayesian statistics is demonstrated. Bulk etch rates of MgO are predicted using a steady-state model with volume-averaged plasma parameters and classical Langmuir surface kinetics. Plasma particle and surface kinetics are modeled within a global plasma framework using single component Metropolis Hastings methods and limited data. The accuracy of these predictions is evaluated with synthetic and experimental etch rate data for magnesium oxide in an ICP-RIE system. This approach is compared and superior to factorial models generated from JMP, a software package frequently employed for recipe creation and optimization.

  15. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  16. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Optimization of Gas Composition Used in Plasma Chemical Vaporization Machining for Figuring of Reaction-Sintered Silicon Carbide with Low Surface Roughness.

    PubMed

    Sun, Rongyan; Yang, Xu; Ohkubo, Yuji; Endo, Katsuyoshi; Yamamura, Kazuya

    2018-02-05

    In recent years, reaction-sintered silicon carbide (RS-SiC) has been of interest in many engineering fields because of its excellent properties, such as its light weight, high rigidity, high heat conductance and low coefficient of thermal expansion. However, RS-SiC is difficult to machine owing to its high hardness and chemical inertness and because it contains multiple components. To overcome the problem of the poor machinability of RS-SiC in conventional machining, the application of atmospheric-pressure plasma chemical vaporization machining (AP-PCVM) to RS-SiC was proposed. As a highly efficient and damage-free figuring technique, AP-PCVM has been widely applied for the figuring of single-component materials, such as Si, SiC, quartz crystal wafers, and so forth. However, it has not been applied to RS-SiC since it is composed of multiple components. In this study, we investigated the AP-PCVM etching characteristics for RS-SiC by optimizing the gas composition. It was found that the different etching rates of the different components led to a large surface roughness. A smooth surface was obtained by applying the optimum gas composition, for which the etching rate of the Si component was equal to that of the SiC component.

  18. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    PubMed

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p < 0.05). There were no significant differences in muTBS of each experimental group between etch-and-rinse and self-etching adhesives (p > 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  19. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    NASA Astrophysics Data System (ADS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-04-01

    Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co3O4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co3O4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  20. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.R.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p-type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  1. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  2. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  3. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  4. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    NASA Astrophysics Data System (ADS)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  5. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  6. Micro-pyramidal structure fabrication on polydimethylsiloxane (PDMS) by Si (100) KOH wet etching

    NASA Astrophysics Data System (ADS)

    Hwang, Shinae; Lim, Kyungsuk; Shin, Hyeseon; Lee, Seongjae; Jang, Moongyu

    2017-10-01

    A high degree of accuracy in bulk micromachining is essential to fabricate micro-electro-mechanical systems (MEMS) devices. A series of etching experiments is carried out using 40 wt% KOH solutions at the constant temperature of 70 °C. Before wet etching, SF6 and O2 are used as the dry etching gas to etch the masking layers of a 100 nm thick Si3N4 and SiO2, respectively. The experimental results indicate that (100) silicon wafer form the pyramidal structures with (111) single crystal planes. All the etch profiles are analyzed using Scanning Electron Microscope (SEM) and the wet etch rates depend on the opening sizes. The manufactured pyramidal structures are used as the pattern of silicon mold. After a short hardening of coated polydimethylsiloxane (PDMS) layer, micro pyramidal structures are easily transferred to PDMS layer.

  7. Method of inducing differential etch rates in glow discharge produced amorphous silicon

    DOEpatents

    Staebler, David L.; Zanzucchi, Peter J.

    1980-01-01

    A method of inducing differential etch rates in glow discharge produced amorphous silicon by heating a portion of the glow discharge produced amorphous silicon to a temperature of about 365.degree. C. higher than the deposition temperature prior to etching. The etch rate of the exposed amorphous silicon is less than the unheated amorphous silicon.

  8. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  9. Consideration of correlativity between litho and etching shape

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  10. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  11. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  12. Reactive ion etched substrates and methods of making and using

    DOEpatents

    Rucker, Victor C [San Francisco, CA; Shediac, Rene [Oakland, CA; Simmons, Blake A [San Francisco, CA; Havenstrite, Karen L [New York, NY

    2007-08-07

    Disclosed herein are substrates comprising reactive ion etched surfaces and specific binding agents immobilized thereon. The substrates may be used in methods and devices for assaying or isolating analytes in a sample. Also disclosed are methods of making the reactive ion etched surfaces.

  13. Changes in boron fiber strength due to surface removal by chemical etching

    NASA Technical Reports Server (NTRS)

    Smith, R. J.

    1976-01-01

    The effects of chemical etching on the tensile strength of commercial boron/tungsten fibers were investigated. Fibers with as-received diameters of 203, 143, and 100 micrometers were etched to diameters as small as 43 micrometers. The etching generally resulted in increasing fiber tensile strength with decreasing fiber diameter. And for the 203 micrometer fibers there was an accompanying significant decrease in the coefficient of variation of the tensile strength for diameters down to 89 micrometers. Heat treating these fibers above 1,173 K in a vacuum caused a marked decrease in the average tensile strength of at least 80 percent. But after the fibers were etched, their strengths exceeded the as-received strengths. The tensile strength behavior is explained in terms of etching effects on surface flaws and the residual stress pattern of the as-received fibers.

  14. Bond strength with various etching times on young permanent teeth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, W.N.; Lu, T.C.

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results ofmore » tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.« less

  15. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  16. Laser etching of polymer masked leadframes

    NASA Astrophysics Data System (ADS)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  17. Resistless lithography - selective etching of silicon with gallium doping regions

    NASA Astrophysics Data System (ADS)

    Abdullaev, D.; Milovanov, R.; Zubov, D.

    2016-12-01

    This paper presents the results for used of resistless lithography with a further reactive-ion etching (RIE) in various chemistry after local (Ga+) implantation of silicon with different doping dose and different size doped regions. We describe the different etching regimes for pattern transfer of FIB implanted Ga masks in silicon. The paper studied the influence of the implantation dose on the silicon surface, the masking effect and the mask resistance to erosion at dry etching. Based on these results we conclude about the possibility of using this method to create micro-and nanoscale silicon structures.

  18. Fabrication and etching processes of silicon-based PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhao, Hongjin; Liu, Yanxiang; Liu, Jianshe; Ren, Tian-Ling; Liu, Li-Tian; Li, Zhijian

    2001-09-01

    Lead-zirconate-titanate (PZT) thin films on silicon were prepared by a sol-gel method. Phase characterization and crystal orientation of the films were investigated by x-ray diffraction analysis (XRD). It was shown that the PZT thin films had a perfect perovskite structure after annealed at a low temperature of 600 degrees C. PZT thin films were chemically etched using HCl/HF solution through typical semiconductor lithographic process, and the etching condition was optimized. The scanning electron microscopy results indicated that the PZT thin film etching problem was well solved for the applications of PZT thin film devices.

  19. Self-etching adhesives: review of adhesion to tooth structure part II.

    PubMed

    Strydom, C

    2005-02-01

    Self-etching adhesives are steadily increasing in popularity among dental practitioners with their easy handling technique and their promise of no post-op sensitivity. As with any new bonding material, in vitro and in vivo investigations are required to assess the clinical efficacy of these systems. The current literature was reviewed to provide information on these systems, including the influence of their acidity and permeability on the quality of the bond, the role of water in long-term degradation of the bond in in vivo and in vitro studies, and the clinical efficacy of the self-etching adhesives in clinical research studies. Published abstracts, reviews, laboratory reports and clinical research papers in the dental literature. Very little information is available on self-etching systems pertaining to the long-term in vitro and in vivo durability of their bond and their medium- to long-term clinical outcome. Although post-op sensitivity seems to be something of the past, short-term clinical studies show that some self-etching adhesives do not perform as well as total-etch systems.

  20. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  1. Abrupt GaN/p-GaN:Mg junctions grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Gupta, Chirag; Alhassan, Abdullah; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-11-01

    An improvement in the suppression of surface riding of magnesium from p-GaN:Mg into subsequent layers was achieved via low temperature flow modulation epitaxy. In particular, the slope of the Mg concentration drop was reduced to 5 nm/dec for a growth temperature of 620 °C — the lowest value ever reported for metalorganic chemical vapor deposition. The electrical quality of the top layer was verified by creating a two-dimensional electron gas on top of the buried p-GaN layer, which exhibited a mobility of 1300 cm2 V-1 s-1. In addition, layers grown using flow modulation epitaxy were shown to block the propagation of Mg more efficiently than samples in which an ex situ wet etch was used.

  2. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  3. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Steeves, Arthur F.; Stewart, James C.

    1981-01-01

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  4. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  5. Etch pit investigation of free electron concentration controlled 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  6. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  7. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  8. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    PubMed

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (P<.001) on bond strength. Also, a significant interaction effect was found for the bonding agent and etching protocol on bond strength (P<.001). The etching protocol influenced the bond strength when Scotchbond Universal (P<.008) and All-Bond Universal (P<.004) were used but not when OptiBond XTR was used (P=1.00). A self-etch protocol provided significantly higher bond strength when Scotchbond Universal was used, whereas with All-Bond Universal, an etch-and-rinse protocol, provided higher bond strength. When universal bonding agents were used to secure dual-polymerizing composite resins to dentin, no single etching protocol is better

  9. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  10. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Not Available

    1980-05-28

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking is described. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  11. Effect of enamel etching time on roughness and bond strength.

    PubMed

    Barkmeier, Wayne W; Erickson, Robert L; Kimmes, Nicole S; Latta, Mark A; Wilwerding, Terry M

    2009-01-01

    The current study examined the effect of different enamel conditioning times on surface roughness and bond strength using an etch-and-rinse system and four self-etch adhesives. Surface roughness (Ra) and composite to enamel shear bond strengths (SBS) were determined following the treatment of flat ground human enamel (4000 grit) with five adhesive systems: (1) Adper Single Bond Plus (SBP), (2) Adper Prompt L-Pop (PLP), (3) Clearfil SE Bond (CSE), (4) Clearfil S3 Bond (CS3) and (5) Xeno IV (X4), using recommended treatment times and an extended treatment time of 60 seconds (n = 10/group). Control groups were also included for Ra (4000 grit surface) and SBS (no enamel treatment and Adper Scotchbond Multi-Purpose Adhesive). For surface roughness measurements, the phosphoric acid conditioner of the SBP etch-and-rinse system was rinsed from the surface with an air-water spray, and the other four self-etch adhesive agents were removed with alternating rinses of water and acetone. A Proscan 2000 non-contact profilometer was used to determine Ra values. Composite (Z100) to enamel bond strengths (24 hours) were determined using Ultradent fixtures and they were debonded with a crosshead speed of 1 mm/minute. The data were analyzed with ANOVA and Fisher's LSD post-hoc test. The etch-and- rinse system (SBP) produced the highest Ra (microm) and SBS (MPa) using both the recommended treatment time (0.352 +/- 0.028 microm and 40.5 +/- 6.1 MPa) and the extended treatment time (0.733 +/- 0.122 microm and 44.2 +/- 8.2 MPa). The Ra and SBS of the etch-and-rinse system were significantly greater (p < 0.05) than all the self-etch systems and controls. Increasing the treatment time with phosphoric acid (SBP) and PLP produced greater surface roughness (p < 0.05) but did not result in significantly higher bond strengths (p > 0.05).

  12. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  13. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  14. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.

  15. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  16. Effect of Etching Methods in Metallographic Studies of Duplex Stainless Steel 2205

    NASA Astrophysics Data System (ADS)

    Kisasoz, A.; Karaaslan, A.; Bayrak, Y.

    2017-03-01

    Three different etching methods are used to uncover the ferrite-austenite structure and precipitates of secondary phases in stainless steel 22.5% Cr - 5.4% Ni - 3% Mo - 1.3% Mn. The structure is studied under a light microscope. The chemical etching is conducted in a glycerol solution of HNO3, HCl and HF; the electrochemical etching is conducted in solutions of KOH and NaOH.

  17. Silicon macroporous arrays with high aspect ratio prepared by ICP etching

    NASA Astrophysics Data System (ADS)

    Wang, Guozheng; Yang, Bingchen; Wang, Ji; Yang, Jikai; Duanmu, Qingduo

    2018-02-01

    This paper reports on a macroporous silicon arrays with high aspect ratio, the pores of which are of 162, 205, 252, 276μm depths with 6, 10, 15 and 20 μm diameters respectively, prepared by Multiplex Inductively Coupled Plasma (ICP) etching. It was shown that there are very differences in process of high aspect ratio microstructures between the deep pores, a closed structure, and deep trenches, a open structure. The morphology and the aspect ratio dependent etching were analyzed and discussed. The macroporous silicon etched by ICP process yield an uneven, re-entrant, notched and ripples surface within the pores. The main factors effecting on the RIE lag of HARP etching are the passivation cycle time, the pressure of reactive chamber, and the platen power of ICP system.

  18. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    PubMed Central

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  19. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization whichmore » have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.« less

  20. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  1. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  2. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  3. Investigation of diamond deposition by chemical vapor transport with hydrogen

    NASA Astrophysics Data System (ADS)

    Piekarczyk, Wladyslaw; Messier, Russell F.; Roy, Rustum; Engdahl, Chris

    1990-12-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions under-saturated with respect to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from super-saturated as well as from under-saturated gas solutions. On the basis of thermodynamic considerations a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both super-saturated as well as under-saturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C2H2(g) + 2 H(g) C(diamond graphite) + CH(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite) + 4 H(g) CH4(g). Atomic hydrogen in a super-equilibrium concentration is necessary not only to etch graphite but also to precipitate and graphite. 1.

  4. Dynamic Pattern Formation in Electron-Beam-Induced Etching [Emergent formation of dynamic topographic patterns in electron beam induced etching

    DOE PAGES

    Martin, Aiden A.; Bahm, Alan; Bishop, James; ...

    2015-12-15

    Here, we report highly ordered topographic patterns that form on the surface of diamond, span multiple length scales, and have a symmetry controlled by the precursor gas species used in electron-beam-induced etching (EBIE). The pattern formation dynamics reveals an etch rate anisotropy and an electron energy transfer pathway that is overlooked by existing EBIE models. Therefore, we, modify established theory such that it explains our results and remains universally applicable to EBIE. Furthermore, the patterns can be exploited in controlled wetting, optical structuring, and other emerging applications that require nano- and microscale surface texturing of a wide band-gap material.

  5. Optical properties of micromachined polysilicon reflective surfaces with etching holes

    NASA Astrophysics Data System (ADS)

    Zou, Jun; Byrne, Colin; Liu, Chang; Brady, David J.

    1998-08-01

    MUMPS (Multi-User MEMS Process) is receiving increasingly wide use in micro optics. We have investigated the optical properties of the polysilicon reflective surface in a typical MUMPS chip within the visible light spectrum. The effect of etching holes on the reflected laser beam is studied. The reflectivity and diffraction patterns at five different wavelengths have been measured. The optical properties of the polysilicon reflective surface are greatly affected by the surface roughness, the etching holes, as well as the material. The etching holes contribute to diffraction and reduction of reflectivity. This study provides a basis for optimal design of micromachined free-space optical systems.

  6. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    NASA Astrophysics Data System (ADS)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  7. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  8. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  9. Cryogenic Etching of Silicon: An Alternative Method For Fabrication of Vertical Microcantilever Master Molds

    PubMed Central

    Addae-Mensah, Kweku A.; Retterer, Scott; Opalenik, Susan R.; Thomas, Darrell; Lavrik, Nickolay V.; Wikswo, John P.

    2013-01-01

    This paper examines the use of deep reactive ion etching (DRIE) of silicon with fluorine high-density plasmas at cryogenic temperatures to produce silicon master molds for vertical microcantilever arrays used for controlling substrate stiffness for culturing living cells. The resultant profiles achieved depend on the rate of deposition and etching of a SiOxFy polymer, which serves as a passivation layer on the sidewalls of the etched structures in relation to areas that have not been passivated with the polymer. We look at how optimal tuning of two parameters, the O2 flow rate and the capacitively coupled plasma (CCP) power, determine the etch profile. All other pertinent parameters are kept constant. We examine the etch profiles produced using e-beam resist as the main etch mask, with holes having diameters of 750 nm, 1 µm, and 2 µm. PMID:24223478

  10. Electron-beam induced nano-etching of suspended graphene

    PubMed Central

    Sommer, Benedikt; Sonntag, Jens; Ganczarczyk, Arkadius; Braam, Daniel; Prinz, Günther; Lorke, Axel; Geller, Martin

    2015-01-01

    Besides its interesting physical properties, graphene as a two-dimensional lattice of carbon atoms promises to realize devices with exceptional electronic properties, where freely suspended graphene without contact to any substrate is the ultimate, truly two-dimensional system. The practical realization of nano-devices from suspended graphene, however, relies heavily on finding a structuring method which is minimally invasive. Here, we report on the first electron beam-induced nano-etching of suspended graphene and demonstrate high-resolution etching down to ~7 nm for line-cuts into the monolayer graphene. We investigate the structural quality of the etched graphene layer using two-dimensional (2D) Raman maps and demonstrate its high electronic quality in a nano-device: A 25 nm-wide suspended graphene nanoribbon (GNR) that shows a transport gap with a corresponding energy of ~60 meV. This is an important step towards fast and reliable patterning of suspended graphene for future ballistic transport, nano-electronic and nano-mechanical devices. PMID:25586495

  11. Method of plasma etching Ga-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  12. Method of plasma etching GA-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2013-01-01

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl.sub.4 and Ar gases are flowed into the chamber. RF power is supplied to the platen at a first power level, and RF power is supplied to the source electrode. A plasma is generated. Then, RF power is supplied to the platen at a second power level lower than the first power level and no greater than about 30 W. Regions of a surface of the sample adjacent to one or more masked portions of the surface are etched at a rate of no more than about 25 nm/min to create a substantially smooth etched surface.

  13. Oral administration of hot water extracts of Chlorella vulgaris increases physical stamina in mice.

    PubMed

    An, Hyo-Jin; Choi, Hyun-Myung; Park, Hyeung-Suk; Han, Jae-Gab; Lee, Eun-Hee; Park, Young-Sig; Um, Jae-Young; Hong, Seung-Heon; Kim, Hyung-Min

    2006-01-01

    A unicellular algae, Chlorella vulgaris, was used as a biological response modifier. Although hot water extracts of C. vulgaris (CVE) are thought to augment immune responses, the effect of CVE on fatigue and physical stamina has not been studied. In the present study, we investigated the effect of CVE on forced swimming test and blood biochemical parameters related to fatigue, blood urea nitrogen (BUN), creatine kinase (CK), lactic dehydrogenase (LDH), glucose (Glc), and total protein (TP). CVE (0.05-0.15 g/kg/day) was orally administered to mice. After 7 days, the immobility time was decreased in the 0.1- and 0.15-g/kg CVE-treated groups (179 +/- 8.3 and 175 +/- 2.1 s) in comparison with the control group (223 +/- 5.4 s). In addition, the contents of BUN, CK, and LDH in the blood serum were decreased in the CVE-fed group. However, they had no effect on the elevation of Glc and TP level. The results predict a potential benefit of CVE for enhancing immune function and improving physical stamina. Copyright 2006 S. Karger AG, Basel.

  14. Enthalpy of Vaporization and Vapor Pressures: An Inexpensive Apparatus

    ERIC Educational Resources Information Center

    Battino, Rubin; Dolson, David A.; Hall, Michael A.; Letcher, Trevor M.

    2007-01-01

    A simple and inexpensive method to determine the enthalpy of vaporization of liquids by measuring vapor pressure as a function of temperature is described. The vapor pressures measured with the stopcock cell were higher than the literature values and those measured with the sidearm rubber septum cell were both higher and lower than literature…

  15. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  16. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  17. Controllable Fabrication of Non-Close-Packed Colloidal Nanoparticle Arrays by Ion Beam Etching

    NASA Astrophysics Data System (ADS)

    Yang, Jie; Zhang, Mingling; Lan, Xu; Weng, Xiaokang; Shu, Qijiang; Wang, Rongfei; Qiu, Feng; Wang, Chong; Yang, Yu

    2018-06-01

    Polystyrene (PS) nanoparticle films with non-close-packed arrays were prepared by using ion beam etching technology. The effects of etching time, beam current, and voltage on the size reduction of PS particles were well investigated. A slow etching rate, about 9.2 nm/min, is obtained for the nanospheres with the diameter of 100 nm. The rate does not maintain constant with increasing the etching time. This may result from the thermal energy accumulated gradually in a long-time bombardment of ion beam. The etching rate increases nonlinearly with the increase of beam current, while it increases firstly then reach its saturation with the increase of beam voltage. The diameter of PS nanoparticles can be controlled in the range from 34 to 88 nm. Based on the non-close-packed arrays of PS nanoparticles, the ordered silicon (Si) nanopillars with their average diameter of 54 nm are fabricated by employing metal-assisted chemical etching technique. Our results pave an effective way to fabricate the ordered nanostructures with the size less than 100 nm.

  18. Morphological Study on Porous Silicon Carbide Membrane Fabricated by Double-Step Electrochemical Etching

    NASA Astrophysics Data System (ADS)

    Omiya, Takuma; Tanaka, Akira; Shimomura, Masaru

    2012-07-01

    The structure of porous silicon carbide membranes that peeled off spontaneously during electrochemical etching was studied. They were fabricated from n-type 6H SiC(0001) wafers by a double-step electrochemical etching process in a hydrofluoric electrolyte. Nanoporous membranes were obtained after double-step etching with current densities of 10-20 and 60-100 mA/cm2 in the first and second steps, respectively. Microporous membranes were also fabricated after double-step etching with current densities of 100 and 200 mA/cm2. It was found that the pore diameter is influenced by the etching current in step 1, and that a higher current is required in step 2 when the current in step 1 is increased. During the etching processes in steps 1 and 2, vertical nanopore and lateral crack formations proceed, respectively. The influx pathway of hydrofluoric solution, expansion of generated gases, and transfer limitation of positive holes to the pore surface are the key factors in the peeling-off mechanism of the membrane.

  19. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  20. Selective protection of poly(tetra-fluoroethylene) from effects of chemical etching

    DOEpatents

    Martinez, Robert J.; Rye, Robert R.

    1991-01-01

    A photolithographic method for treating an article formed of polymeric material comprises subjecting portions of a surface of the polymeric article to ionizing radiation; and then subjecting the surface to chemical etching. The ionizing radiation treatment according to the present invention minimizes the effect of the subseuent chemical etching treatment. Thus, selective protection from the effects of chemical etching can be easily provided. The present invention has particular applicability to articles formed of fluorocarbons, such as PTFE. The ionizing radiation employed in the method may comprise Mg(k.alpha.) X-rays or lower-energy electrons.

  1. On the influence of etch pits in the overall dissolution rate of apatite basal sections

    NASA Astrophysics Data System (ADS)

    Alencar, Igor; Guedes, Sandro; Palissari, Rosane; Hadler, Julio C.

    2015-09-01

    Determination of efficiencies for particle detection plays a central role for proper estimation of reaction rates. If chemical etching is employed in the revelation of latent particle tracks in solid-state detectors, dissolution rates and etchable lengths are important factors governing the revelation and observation. In this work, the mask method, where a reference part of the sample is protected during dissolution, was employed to measure step heights in basal sections of apatite etched with a nitric acid, HNO, solution at a concentration of 1.1 M and a temperature of 20 °C. We show a drastic increase in the etching velocity as the number of etch pits in the surface augments, in accordance with the dissolution stepwave model, where the outcrop of each etch pit generates a continuous sequence of stepwaves. The number of etch pits was varied by irradiation with neutrons and perpendicularly incident heavy ions. The size dependence of the etch-pit opening with etching duration for ion (200-300 MeV 152Sm and 238U) tracks was also investigated. There is no distinction for the etch pits between the different ions, and the dissolution seems to be governed by the opening velocity when a high number of etch pits are present in the surface. Measurements of the etchable lengths of these ion tracks show an increase in these lengths when samples are not pre-annealed before irradiation. We discuss the implications of these findings for fission-track modelling.

  2. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  3. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  4. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    NASA Astrophysics Data System (ADS)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  5. Determination of Etch Rate Behavior of 4H-SiC Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Habuka, Hitoshi; Katsumi, Yusuke; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Kato, Tomohisa; Okumura, Hajime; Arai, Kazuo

    2007-12-01

    The etch rate of single-crystalline 4H-SiC is studied using chlorine trifluoride gas at 673-973 K and atmospheric pressure in a cold wall horizontal reactor. The 4H-SiC etch rate can be higher than 10 μm/min at substrate temperatures higher than 723 K. The etch rate increases with the chlorine trifluoride gas flow rate. The etch rate is calculated by taking into account the transport phenomena in the reactor including the chemical reaction at the substrate surface. The flat etch rate at the higher substrate temperatures is caused mainly by the relationship between the transport rate and the surface chemical reaction rate of chlorine trifluoride gas.

  6. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  7. Acid etching of titanium for bonding with veneering composite resins.

    PubMed

    Ban, Seiji; Taniki, Toshio; Sato, Hideo; Kono, Hiroshi; Iwaya, Yukari; Miyamoto, Motoharu

    2006-06-01

    Commercially pure titanium (cpTi) was etched using three concentrated acids: 18% HCl, 43% H3PO4, and 48% H2SO4. The bond strengths between five types of veneering composite resin and eight cpTi treatments (involving combinations of sandblasting, acid etching in 48% H2SO4, and vacuum firing) were determined before and after 10,000 and 20,000 thermal cycles. There were no significant differences in the bond strength of resin to cpTi after etching in 48% H2SO4 at 90 degrees C for 15 minutes, at 60 degrees C for 15, 30, or 60 minutes, and after sandblasting with and without vacuum firing (p > 0.05); moreover, these treatments yielded the highest values. As for vacuum firing, it had no significant effect on resin bond strength to cpTi before or after 10,000 and 20,000 thermal cycles. We therefore concluded that acid etching in concentrated H2SO4 is a simple and effective surface modification method of titanium for bonding to veneering composite resins.

  8. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  9. Evaluation of microtensile bond strength of self-etching adhesives on normal and caries-affected dentin.

    PubMed

    Shibata, Shizuma; Vieira, Luiz Clovis Cardoso; Baratieri, Luiz Narciso; Fu, Jiale; Hoshika, Shuhei; Matsuda, Yasuhiro; Sano, Hidehiko

    2016-01-01

    The purpose of this study was to evaluate the µTBS (microtensile bond strength) of currently available self-etching adhesives with an experimental self-etch adhesive in normal and caries-affected dentin, using a portable hardness measuring device, in order to standardize dentin Knoop hardness. Normal (ND) and caries-affected dentin (CAD) were obtained from twenty human molars with class II natural caries. The following adhesive systems were tested: Mega Bond (MB), a 2-step self-etching adhesive; MTB-200 (MTB), an experimental 1-step self-etching adhesive (1-SEA), and two commercially available one-step self-etching systems, G-Bond Plus (GB) and Adper Easy Bond (EB). MB-ND achieved the highest µTBS (p<0.05). The mean µTBS was statistically lower in CAD than in ND for all adhesives tested (p<0.05), and the 2-step self-etch adhesive achieved better overall performance than the 1-step self-etch adhesives.

  10. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +}more » or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.« less

  11. Petroleum Vapor Intrusion

    EPA Pesticide Factsheets

    One type of vapor intrusion is PVI, in which vapors from petroleum hydrocarbons such as gasoline, diesel, or jet fuel enter a building. Intrusion of contaminant vapors into indoor spaces is of concern.

  12. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  13. High-uniformity centimeter-wide Si etching method for MEMS devices with large opening elements

    NASA Astrophysics Data System (ADS)

    Okamoto, Yuki; Tohyama, Yukiya; Inagaki, Shunsuke; Takiguchi, Mikio; Ono, Tomoki; Lebrasseur, Eric; Mita, Yoshio

    2018-04-01

    We propose a compensated mesh pattern filling method to achieve highly uniform wafer depth etching (over hundreds of microns) with a large-area opening (over centimeter). The mesh opening diameter is gradually changed between the center and the edge of a large etching area. Using such a design, the etching depth distribution depending on sidewall distance (known as the local loading effect) inversely compensates for the over-centimeter-scale etching depth distribution, known as the global or within-die(chip)-scale loading effect. Only a single DRIE with test structure patterns provides a micro-electromechanical systems (MEMS) designer with the etched depth dependence on the mesh opening size as well as on the distance from the chip edge, and the designer only has to set the opening size so as to obtain a uniform etching depth over the entire chip. This method is useful when process optimization cannot be performed, such as in the cases of using standard conditions for a foundry service and of short turn-around-time prototyping. To demonstrate, a large MEMS mirror that needed over 1 cm2 of backside etching was successfully fabricated using as-is-provided DRIE conditions.

  14. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    NASA Astrophysics Data System (ADS)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  15. Diamond deposition by chemical vapor transport with hydrogen in a closed system

    NASA Astrophysics Data System (ADS)

    Piekarczyk, W.; Messier, R.; Roy, R.; Engdahl, C.

    1990-11-01

    The carbon-hydrogen chemical vapor transport system was examined in accordance with a four-stage transport model. A result of this examination is that graphite co-deposition could be avoided when diamond is deposited from gas solutions undersaturated with regard to diamond. Actual deposition experiments showed that this unusual requirement can be fulfilled but only for the condition that the transport distance between the carbon source and the substrate surface is short. In such a case diamond can be deposited equally from supersaturated as well as from undersaturated gas solutions. On the basis of thermodynamic considerations, a possible explanation of this unusual phenomenon is given. It is shown that there is a possibility of deposition of diamond from both supersaturated and undersaturated gas solutions but only on the condition that they are in a non-equilibrium state generally called the activated state. A model of the diamond deposition process consisting of two steps is proposed. In the first step diamond and graphite are deposited simultaneously. The most important carbon deposition reaction is C 2H 2(g)+2H(g) = C(diamond+graphite) +CH 4(g). The amount of co-deposited graphite is not a direct function of the saturation state of the gas phase. In the second step graphite is etched according to the most probable reaction C(graphite)+4H(g) = CH 4(g). Atomic hydrogen in a concentration exceeding equilibrium is necessary not only to etch graphite, but also to precipitate diamond and graphite.

  16. Ion beam enhanced etching of LiNbO 3

    NASA Astrophysics Data System (ADS)

    Schrempel, F.; Gischkat, Th.; Hartung, H.; Kley, E.-B.; Wesch, W.

    2006-09-01

    Single crystals of z- and x-cut LiNbO 3 were irradiated at room temperature and 15 K using He +- and Ar +-ions with energies of 40 and 350 keV and ion fluences between 5 × 10 12 and 5 × 10 16 cm -2. The damage formation investigated with Rutherford backscattering spectrometry (RBS) channeling analysis depends on the irradiation temperature as well as the ion species. For instance, He +-irradiation of z-cut material at 300 K provokes complete amorphization at 2.0 dpa (displacements per target atom). In contrast, 0.4 dpa is sufficient to amorphize the LiNbO 3 in the case of Ar +-irradiation. Irradiation at 15 K reduces the number of displacements per atom necessary for amorphization. To study the etching behavior, 400 nm thick amorphous layers were generated via multiple irradiation with He +- and Ar +-ions of different energies and fluences. Etching was performed in a 3.6% hydrofluoric (HF) solution at 40 °C. Although the etching rate of the perfect crystal is negligible, that of the amorphized regions amounts to 80 nm min -1. The influence of the ion species, the fluence, the irradiation temperature and subsequent thermal treatment on damage and etching of LiNbO 3 are discussed.

  17. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  18. Selective Plasma Etching of Polymeric Substrates for Advanced Applications

    PubMed Central

    Puliyalil, Harinarayanan; Cvelbar, Uroš

    2016-01-01

    In today’s nanoworld, there is a strong need to manipulate and process materials on an atom-by-atom scale with new tools such as reactive plasma, which in some states enables high selectivity of interaction between plasma species and materials. These interactions first involve preferential interactions with precise bonds in materials and later cause etching. This typically occurs based on material stability, which leads to preferential etching of one material over other. This process is especially interesting for polymeric substrates with increasing complexity and a “zoo” of bonds, which are used in numerous applications. In this comprehensive summary, we encompass the complete selective etching of polymers and polymer matrix micro-/nanocomposites with plasma and unravel the mechanisms behind the scenes, which ultimately leads to the enhancement of surface properties and device performance. PMID:28335238

  19. Etching Characteristics of VO2 Thin Films Using Inductively Coupled Cl2/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Ham, Yong-Hyun; Efremov, Alexander; Min, Nam-Ki; Lee, Hyun Woo; Yun, Sun Jin; Kwon, Kwang-Ho

    2009-08-01

    A study on both etching characteristics and mechanism of VO2 thin films in the Cl2/Ar inductively coupled plasma was carried. The variable parameters were gas pressure (4-10 mTorr) and input power (400-700 W) at fixed bias power of 150 W and initial mixture composition of 25% Cl2 + 75% Ar. It was found that an increase in both gas pressure and input power results in increasing VO2 etch rate while the etch selectivity over photoresist keeps a near to constant values. Plasma diagnostics by Langmuir probes and zero-dimensional plasma model provided the data on plasma parameters, steady-state densities and fluxes of active species on the etched surface. The model-based analysis of the etch mechanism showed that, for the given ranges of operating conditions, the VO2 etch kinetics corresponds to the transitional regime of ion-assisted chemical reaction and is influenced by both neutral and ion fluxes with a higher sensitivity to the neutral flux.

  20. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  1. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  2. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  3. Improving Resonance Characteristics of Gas Sensors by Chemical Etching of Quartz Plates

    NASA Astrophysics Data System (ADS)

    Raicheva, Z.; Georgieva, V.; Grechnikov, A.; Gadjanova, V.; Angelov, Ts; Vergov, L.; Lazarov, Y.

    2012-12-01

    The paper presents the results of the influence of the etching process of AT-cut quartz plates on the resonance parameters and the QCM sensors. Quartz wafers (100 μm thick, with a diameter of 8 mm), divided into five groups, have been etched in [NH4]2 F2: H2O = 1:1 solution at temperatures in the range from 70°C to 90°C. The influence of etching temperature on the surface morphology of quartz wafers has been estimated by Atomic Force Microscopy (AFM). A correlation between the etching temperature and the dynamic characteristics is obtained. The optimal etching conditions for removing the surface damages caused by the mechanical treatment of the quartz wafers and for obtaining a clean surface were determined. The typical parameters of fabricated resonators on the quartz plates etched in the temperature range from 70°C to 90°C are as follows: Frequency, Fs 16 MHz ± 100 kHz Motional resistance, Rs less 10 Ω Motional inductance, Lq higher than 3 mH Motional capacitance, Cq less 30 fF Static capacitance, Co around 5 pF Quality factor, Q from 46 000 to 70 000 Sorption properties of QCM - MoO3 are evaluated at NH3 concentrations in the interval from 100 ppm to 500 ppm.

  4. New Deep Reactive Ion Etching Process Developed for the Microfabrication of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2005-01-01

    Silicon carbide (SiC) is a promising material for harsh environment sensors and electronics because it can enable such devices to withstand high temperatures and corrosive environments. Microfabrication techniques have been studied extensively in an effort to obtain the same flexibility of machining SiC that is possible for the fabrication of silicon devices. Bulk micromachining using deep reactive ion etching (DRIE) is attractive because it allows the fabrication of microstructures with high aspect ratios (etch depth divided by lateral feature size) in single-crystal or polycrystalline wafers. Previously, the Sensors and Electronics Branch of the NASA Glenn Research Center developed a DRIE process for SiC using the etchant gases sulfur hexafluoride (SF6) and argon (Ar). This process provides an adequate etch rate of 0.2 m/min and yields a smooth surface at the etch bottom. However, the etch sidewalls are rougher than desired, as shown in the preceding photomicrograph. Furthermore, the resulting structures have sides that slope inwards, rather than being precisely vertical. A new DRIE process for SiC was developed at Glenn that produces smooth, vertical sidewalls, while maintaining an adequately high etch rate.

  5. Reduced Noise UV Enhancement of Etch Rates for Nuclear Tracks in CR-39

    NASA Astrophysics Data System (ADS)

    Sheets, Rebecca; Clarkson, David; Ume, Rubab; Regan, Sean; Sangster, Craig; Padalino, Stephen; McLean, James

    2016-10-01

    The use of CR-39 plastic as a Solid State Nuclear Track Detector is an effective technique for obtaining data in high-energy particle experiments including inertial confinement fusion. To reveal particle tracks after irradiation, CR-39 is chemically etched in NaOH at 80°C for 6 hours, producing micron-scale signal pits at the nuclear track sites. Using CR-39 irradiated with 5.4 MeV alpha particles and 1.0 MeV protons, we show that exposing the CR-39 to high intensity UV light before etching, with wavelengths between 240 nm and 350 nm, speeds the etch process. Elevated temperatures during UV exposure amplifies this effect, with etch rates up to 50% greater than unprocessed conditions. CR-39 pieces exposed to UV light and heat can also exhibit heightened levels of etch-induced noise (surface features not caused by nuclear particles). By illuminating the CR-39 from the side opposite to the tracks, a similar level of etch enhancement was obtained with little to no noise. The effective wavelength range is reduced, due to strong attenuation of shorter wavelengths. Funded in part by a LLE contract through the DOE.

  6. Transparent, superhydrophobic, and wear-resistant surfaces using deep reactive ion etching on PDMS substrates.

    PubMed

    Ebert, Daniel; Bhushan, Bharat

    2016-11-01

    Surfaces that simultaneously exhibit superhydrophobicity, low contact angle hysteresis, and high transmission of visible light are of interest for many applications, such as optical devices, solar panels, and self-cleaning windows. Superhydrophobicity could also find use in medical devices where antifouling characteristics are desirable. These applications also typically require mechanical wear resistance. The fabrication of such surfaces is challenging due to the competing goals of superhydrophobicity and transmittance in terms of the required degree of surface roughness. In this study, deep reactive ion etching (DRIE) was used to create rough surfaces on PDMS substrates using a O2/CF4 plasma. Surfaces then underwent an additional treatment with either octafluorocyclobutane (C4F8) plasma or vapor deposition of perfluorooctyltrichlorosilane (PFOTCS) following surface activation with O2 plasma. The effects of surface roughness and the additional surface modifications were examined with respect to the contact angle, contact angle hysteresis, and optical transmittance. To examine wear resistance, a sliding wear experiment was performed using an atomic force microscope (AFM). Copyright © 2016 Elsevier Inc. All rights reserved.

  7. Vapor phase pyrolysis

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    The vapor phase pyrolysis process is designed exclusively for the lunar production of oxygen. In this concept, granulated raw material (soil) that consists almost entirely of metal oxides is vaporized and the vapor is raised to a temperature where it dissociates into suboxides and free oxygen. Rapid cooling of the dissociated vapor to a discrete temperature causes condensation of the suboxides, while the oxygen remains essentially intact and can be collected downstream. The gas flow path and flow rate are maintained at an optimum level by control of the pressure differential between the vaporization region and the oxygen collection system with the aid of the environmental vacuum.

  8. Si substrates texturing and vapor-solid-solid Si nanowhiskers growth using pure hydrogen as source gas

    NASA Astrophysics Data System (ADS)

    Nordmark, H.; Nagayoshi, H.; Matsumoto, N.; Nishimura, S.; Terashima, K.; Marioara, C. D.; Walmsley, J. C.; Holmestad, R.; Ulyashin, A.

    2009-02-01

    Scanning and transmission electron microscopies have been used to study silicon substrate texturing and whisker growth on Si substrates using pure hydrogen source gas in a tungsten hot filament reactor. Substrate texturing, in the nanometer to micrometer range of mono- and as-cut multicrystalline silicon, was observed after deposition of WSi2 particles that acted as a mask for subsequent hydrogen radical etching. Simultaneous Si whisker growth was observed for long residence time of the source gas and low H2 flow rate with high pressure. The whiskers formed via vapor-solid-solid growth, in which the deposited WSi2 particles acted as catalysts for a subsequent metal-induced layer exchange process well below the eutectic temperature. In this process, SiHx species, formed by substrate etching by the H radicals, diffuse through the metal particles. This leads to growth of crystalline Si whiskers via metal-induced solid-phase crystallization. Transmission electron microscopy, electron diffraction, and x-ray energy dispersive spectroscopy were used to study the WSi2 particles and the structure of the Si substrates in detail. It has been established that the whiskers are partly crystalline and partly amorphous, consisting of pure Si with WSi2 particles on their tips as well as sometimes being incorporated into their structure.

  9. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    PubMed

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  10. Diode laser sensor to monitor HCL in a plasma etch reactor

    NASA Astrophysics Data System (ADS)

    Kim, Suhong; Klimecky, Pete; Chou, Shang-I.; Jeffries, Jay B.; Terry, Fred L., Jr.; Hanson, Ronald K.

    2002-09-01

    Absorption measurements of HCl during plasma etching of poly-silicon are made using the P(4) transition in the first vibrational overtone band near 1.79 μm. Single path absorption provides a real-time HCl monitor during etching of six-inch wafers in a commercial Lam Research 9400SE reactor at the University of Michigan. Wavelength modulation at 10.7 MHz is used to distinguish the absorption signal from the strong plasma emission. The laser center frequency is ramp-tuned at 500 Hz providing an HCl measurement every 2ms. Direct absorption measurements without the plasma are used to calibrate the wavelength modulation signal. The minimum detectable absorbance was 5x(10)-6 with 50 ms averaging, leading to an HCl detection limit of ~(10)12cm-3. For a given ratio of the feedstock HBr/Cl2, the measured HCl concentration tracks the average etch rate. These measurements demonstrate the feasibility of a real-time diode laser-based etch rate sensor.

  11. The Crossveinless Polygenes in an Iowa Population

    PubMed Central

    Boyer, Bradford J.; Parris, Deborah L.; Milkman, Roger

    1973-01-01

    A natural population of Drosophila melanogaster was tested for the presence of crossveinless (cve) alleles over the course of a summer. Approximately 8% of the wild-caught females tested carried enough cve alleles to produce true-breeding crossveinless lines. In some cases, different isofemale lines produced identical cve strains. Also, differences were sometimes observed among independently selected cve sublines of a given isofemale line.—The cv-2 allele was found to occur with a frequency of about 1% to 2%. PMID:4202772

  12. Effects of etching time on alpha tracks in solid state nuclear track detectors.

    PubMed

    Gillmore, Gavin; Wertheim, David; Crust, Simon

    2017-01-01

    Solid State Nuclear Track Detectors (SSNTDs) are used extensively for monitoring alpha particle radiation, neutron flux and cosmic ray radiation. Radon gas inhalation is regarded as being a significant contributory factor to lung cancer deaths in the UK each year. Gas concentrations are often monitored using CR39 based SSNTDs as the natural decay of radon results in alpha particles which form tracks in these detectors. Such tracks are normally etched for about 4h to enable microscopic analysis. This study examined the effect of etching time on the appearance of alpha tracks in SSNTDs by collecting 2D and 3D image datasets using laser confocal microscope imaging techniques. Etching times of 2 to 4h were compared and marked differences were noted in resultant track area. The median equivalent diameters of tracks were 20.2, 30.2 and 38.9μm for etching at 2, 3 and 4h respectively. Our results indicate that modern microscope imaging can detect and image the smaller size tracks seen for example at 3h etching time. Shorter etching times may give rise to fewer coalescing tracks although there is a balance to consider as smaller track sizes may be more difficult to image. Thus etching for periods of less than 4h clearly merits further investigation as this approach has the potential to improve accuracy in assessing the number of tracks. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Etched Polymer Fibre Bragg Gratings and Their Biomedical Sensing Applications

    PubMed Central

    Rajan, Ginu; Bhowmik, Kishore; Xi, Jiangtao; Peng, Gang-Ding

    2017-01-01

    Bragg gratings in etched polymer fibres and their unique properties and characteristics are discussed in this paper. Due to the change in material and mechanical properties of the polymer fibre through etching, Bragg gratings inscribed in such fibres show high reflectivity and enhanced intrinsic sensitivity towards strain, temperature, and pressure. The short-term and long-term stability of the gratings and the effect of hysteresis on the dynamic characteristics are also discussed. The unique properties and enhanced intrinsic sensitivity of etched polymer fibre Bragg grating are ideal for the development of high-sensitivity sensors for biomedical applications. To demonstrate their biomedical sensing capabilities, a high-sensitivity pressure transducer that operates in the blood pressure range, and a breathing rate monitoring device are developed and presented. PMID:29027945

  14. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    PubMed

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  15. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    PubMed Central

    Nazarov, Denis V.; Zemtsova, Elena G.; Solokhin, Alexandr Yu.; Valiev, Ruslan Z.; Smirnov, Vladimir M.

    2017-01-01

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed. PMID:28336849

  16. Inductively coupled BCl 3/Cl 2 /Ar plasma etching of Al-rich AlGaN

    DOE PAGES

    Douglas, Erica A.; Sanchez, Carlos A.; Kaplar, Robert J.; ...

    2016-12-01

    Varying atomic ratios in compound semiconductors is well known to have large effects on the etching properties of the material. The use of thin device barrier layers, down to 25 nm, adds to the fabrication complexity by requiring precise control over etch rates and surface morphology. The effects of bias power and gas ratio of BCl 3 to Cl 2 for inductively coupled plasma etching of high Al content AlGaN were contrasted with AlN in this study for etch rate, selectivity, and surface morphology. Etch rates were greatly affected by both bias power and gas chemistry. Here we detail themore » effects of small variations in Al composition for AlGaN and show substantial changes in etch rate with regards to bias power as compared to AlN.« less

  17. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    NASA Astrophysics Data System (ADS)

    Roozeboom, F.; Kniknie, B.; Lankhorst, A. M.; Winands, G.; Knaapen, R.; Smets, M.; Poodt, P.; Dingemans, G.; Keuning, W.; Kessels, W. M. M.

    2012-12-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ~20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  18. Femtosecond laser etching of dental enamel for bracket bonding.

    PubMed

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  19. [Effect of hydrofluoric acid etching time on the resin bond durability of glass ceramic].

    PubMed

    Meng, Xiang-feng; Zhou, Xiao-lu; Luo, Xiao-ping

    2010-05-01

    To analyze the effect of hydrofluoric acid (HFA) etching time on the resin bond durability of glass ceramic. Three groups of samples of machinable glass ceramic (ProCAD) were etched by 4.8% HFA for 0, 30 and 60 s respectively. The roughness parameters (Ra, Sm, S) and surface area of the samples, were measured with a 3D-laser scanning microscope. Then the ceramic surfaces were bonded with four resin cements (silane coupler/resin cement), which were Monobond S/Variolink II, Clearfil Ceramic Primer/Clearfil Esthetic Cement, GC Ceramic Primer/Linkmax HV, and Porcelain Liner M/SuperBond. The micro-bond strengths between the ceramic and the resin were tested at baseline and after the samples had been treated in 30000 thermal cycles. The Ra [(3.89+/-1.94), (12.53+/-0.80), (13.58+/-1.10) microm] and surface area [(7.81+/-2.96), (30.18+/-2.05), (34.16+/-1.97) mm2] of ceramic increased with the increase of HFA etching time. The thermal cycling test reduced the bond strength of all test groups. The bond strength of Monobond S/Variolink II group [(3.59+/-3.51), (16.18+/-2.62), (20.33+/-2.45) MPa] and Clearfil Ceramic Primer/Clearfil Esthetic Cement group [(4.74+/-2.08), (7.77+/-1.55), (13.45+/-3.75) MPa] increased with the increase of HFA etching time; 30 s HFA etching group of Porcelain Liner M/SuperBond had higher bond strength [(22.00+/-1.64) MPa] than its 0 s HFA etching group [(12.96+/-4.17) MPa], and no significant difference was found between the 30 s and 60 s HFA etching groups of Porcelain Liner M/SuperBond [(20.42+/-3.01) MPa]. HFA etching time had no effect on the bond strength of GC Ceramic Primer/Linkmax HV. HFA etching can improve the resin bond durability of glass ceramic, and the etching time is not only related to the change of ceramic surface roughness and area, but also to the characteristics of resins.

  20. ZERODUR: bending strength data for etched surfaces

    NASA Astrophysics Data System (ADS)

    Hartmann, Peter; Leys, Antoine; Carré, Antoine; Kerz, Franca; Westerhoff, Thomas

    2014-07-01

    In a continuous effort since 2007 a considerable amount of new data and information has been gathered on the bending strength of the extremely low thermal expansion glass ceramic ZERODUR®. By fitting a three parameter Weibull distribution to the data it could be shown that for homogenously ground surfaces minimum breakage stresses exist lying much higher than the previously applied design limits. In order to achieve even higher allowable stress values diamond grain ground surfaces have been acid etched, a procedure widely accepted as strength increasing measure. If surfaces are etched taking off layers with thickness which are comparable to the maximum micro crack depth of the preceding grinding process they also show statistical distributions compatible with a three parameter Weibull distribution. SCHOTT has performed additional measurement series with etch solutions with variable composition testing the applicability of this distribution and the possibility to achieve further increase of the minimum breakage stress. For long term loading applications strength change with time and environmental media are important. The parameter needed for prediction calculations which is combining these influences is the stress corrosion constant. Results from the past differ significantly from each other. On the basis of new investigations better information will be provided for choosing the best value for the given application conditions.

  1. Vapor Bubbles

    NASA Astrophysics Data System (ADS)

    Prosperetti, Andrea

    2017-01-01

    This article reviews the fundamental physics of vapor bubbles in liquids. Work on bubble growth and condensation for stationary and translating bubbles is summarized and the differences with bubbles containing a permanent gas stressed. In particular, it is shown that the natural frequency of a vapor bubble is proportional not to the inverse radius, as for a gas bubble, but to the inverse radius raised to the power 2/3. Permanent gas dissolved in the liquid diffuses into the bubble with strong effects on its dynamics. The effects of the diffusion of heat and mass on the propagation of pressure waves in a vaporous bubbly liquid are discussed. Other topics briefly touched on include thermocapillary flow, plasmonic nanobubbles, and vapor bubbles in an immiscible liquid.

  2. Effects of wet etch processing on laser-induced damage of fused silica surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battersby, C.L.; Kozlowski, M.R.; Sheehan, L.M.

    1998-12-22

    Laser-induced damage of transparent fused silica optical components by 355 nm illumination occurs primarily at surface defects produced during the grinding and polishing processes. These defects can either be surface defects or sub-surface damage.Wet etch processing in a buffered hydrogen fluoride (HF) solution has been examined as a tool for characterizing such defects. A study was conducted to understand the effects of etch depth on the damage threshold of fused silica substrates. The study used a 355 nm, 7.5 ns, 10 Hz Nd:YAG laser to damage test fused silica optics through various wet etch processing steps. Inspection of the surfacemore » quality was performed with Nomarski microscopy and Total Internal Reflection Microscopy. The damage test data and inspection results were correlated with polishing process specifics. The results show that a wet etch exposes subsurface damage while maintaining or improving the laser damage performance. The benefits of a wet etch must be evaluated for each polishing process.« less

  3. Adaptive Coping Reduces the Impact of Community Violence Exposure on Violent Behavior among African American and Latino Male Adolescents

    PubMed Central

    Brady, Sonya S.; Gorman-Smith, Deborah; Henry, David B.; Tolan, Patrick H.

    2011-01-01

    This study examined whether coping moderated the impact of community violence exposure (CVE) on violent behavior among 285 urban African American and Latino adolescent males assessed annually across five years. Composites indicating overall CVE (having knowledge of others’ victimization, witnessing violence, direct victimization) and approach to coping with CVE were created by averaging across years 1–3 (Time 1; mean ages 14–16). Adolescents classified as coping effectively tended to respond to CVE in beneficial ways (e.g., developing long-term solutions, engaging in positive reappraisal). Violent behavior was examined across years 1–3 (Time 1) and years 4–5 (Time 2; mean ages 18–19). CVE was longitudinally associated with greater violent behavior, adjusting for Time 1 levels of violent behavior. This association was significant only among adolescents with less effective coping strategies. Interventions targeting the enhancement of coping skills may be an effective method of reducing the impact of CVE on adolescent violent behavior. PMID:17687640

  4. Differentiation of grain orientation with corrosive and colour etching on a granular bainitic steel.

    PubMed

    Reisinger, S; Ressel, G; Eck, S; Marsoner, S

    2017-08-01

    This study presents a detailed verification of the etching methods with Nital and Klemm on a granular bainitic steel. It is shown that both methods allow the identification of the crystal orientation, whereas Klemm etching enables also a quantification of the apparent phases, as also retained austenite can be distinguished from the other bainitic microstructures. A combination of atom probe tomography with electron-back-scattered-diffraction showed that both etching methods emphasize the bainitic {100} crystal orientation. However, a cross-section produced by focused ion beam evidenced that Klemm etching leads to the formation of a topography of the different oriented bainitic crystals that directly affects the thickness and therefore the apparent colour of the deposited layer formed during etching. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Edge-Controlled Growth and Etching of Two-Dimensional GaSe Monolayers

    DOE PAGES

    Li, Xufan; Dong, Jichen; Idrobo, Juan C.; ...

    2016-12-07

    Understanding the atomistic mechanisms governing the growth of two-dimensional (2D) materials is of great importance in guiding the synthesis of wafer-sized, single-crystalline, high-quality 2D crystals and heterostructures. Etching, in many cases regarded as the reverse process of material growth, has been used to study the growth kinetics of graphene. In this paper, we explore a growth–etching–regrowth process of monolayer GaSe crystals, including single-crystalline triangles and irregularly shaped domains formed by merged triangles. We show that the etching begins at a slow rate, creating triangular, truncated triangular, or hexagonally shaped holes that eventually evolve to exclusively triangles that are rotated 60°more » with respect to the crystalline orientation of the monolayer triangular crystals. The regrowth occurs much faster than etching, reversibly filling the etched holes and then enlarging the size of the monolayer crystals. A theoretical model developed based on kinetic Wulff construction (KWC) theory and density functional theory (DFT) calculations accurately describe the observed morphology evolution of the monolayer GaSe crystals and etched holes during the growth and etching processes, showing that they are governed by the probability of atom attachment/detachment to/from different types of edges with different formation energies of nucleus/dents mediated by chemical potential difference Δμ between Ga and Se. Finally, our growth–etching–regrowth study provides not only guidance to understand the growth mechanisms of 2D binary crystals but also a potential method for the synthesis of large, shape-controllable, high-quality single-crystalline 2D crystals and their lateral heterostructures.« less

  6. Fabrication of ultrathin and highly uniform silicon on insulator by numerically controlled plasma chemical vaporization machining.

    PubMed

    Sano, Yasuhisa; Yamamura, Kazuya; Mimura, Hidekazu; Yamauchi, Kazuto; Mori, Yuzo

    2007-08-01

    Metal-oxide semiconductor field-effect transistors fabricated on a silicon-on-insulator (SOI) wafer operate faster and at a lower power than those fabricated on a bulk silicon wafer. Scaling down, which improves their performances, demands thinner SOI wafers. In this article, improvement on the thinning of SOI wafers by numerically controlled plasma chemical vaporization machining (PCVM) is described. PCVM is a gas-phase chemical etching method in which reactive species generated in atmospheric-pressure plasma are used. Some factors affecting uniformity are investigated and methods for improvements are presented. As a result of thinning a commercial 8 in. SOI wafer, the initial SOI layer thickness of 97.5+/-4.7 nm was successfully thinned and made uniform at 7.5+/-1.5 nm.

  7. BOND STRENGTH AND MORPHOLOGY OF ENAMEL USING SELF-ETCHING ADHESIVE SYSTEMS WITH DIFFERENT ACIDITIES

    PubMed Central

    Moura, Sandra Kiss; Reis, Alessandra; Pelizzaro, Arlete; Dal-Bianco, Karen; Loguercio, Alessandro Dourado; Arana-Chavez, Victor Elias; Grande, Rosa Helena Miranda

    2009-01-01

    Objectives: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. Material and methods: Composite resin (Filtek Z250) buildups were bonded to untreated (prophylaxis) and treated (burcut or SiC-paper) enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition): Clearfil SE Bond (CSE); OptiBond Solo Plus Self-Etch (OP); AdheSe (AD); Tyrian Self Priming Etching (TY), Adper Scotchbond Multi-Purpose Plus (SBMP) and Adper Single Bond (SB). After storage in water (24 h/37°C), the bonded specimens were sectioned into sticks with 0.8 mm2 cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa) were subjected to two-way ANOVA and Tukey's test (α=0.05). The etching patterns of the adhesive systems were also observed with a scanning electron microscope. Results: The main factor adhesive system was statistically significant (p<0.05). The mean bond strength values (MPa) and standard deviations were: CSE (20.5±3.5), OP (11.3±2.3), AD (11.2±2.8), TY (11.1±3.0), SBMP (21.9±4.0) and SB (24.9±3.0). Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. Conclusion: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed. PMID:19668991

  8. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  9. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    NASA Astrophysics Data System (ADS)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  10. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  11. Xe- and U-tracks in apatite and muscovite near the etching threshold

    NASA Astrophysics Data System (ADS)

    Wauschkuhn, Bastian; Jonckheere, Raymond; Ratschbacher, Lothar

    2015-01-01

    Ion irradiation of a wedge-shaped Durango apatite backed by a mica detector allows investigating ion track ranges and etching properties at different points along the tracks. Transmission profiles obtained by irradiation with 2 × 106 cm-2 11.1 MeV/amu 132Xe and 2 × 106 cm-2 11.1 MeV/amu 238U parallel to the apatite c-axis correspond to ranges calculated with SRIM (Xe: 76.3 μm; U: 81.1 μm). However, the measured profiles show much greater etchable track-length variations than the calculated longitudinal straggles. The probable cause is that the length deficit exhibits significant variation from track to track. The measured length deficit in muscovite is in agreement with most existing data. In contrast, the length deficit in apatite appears to be close to zero, which is in conflict with all earlier estimates. This probably results from the etching properties of the apatite basal face, which permit surface-assisted sub-threshold etching of track sections in the nuclear stopping regime. These sections are not accessible from the opposite direction, i.e. by etching towards the endpoint of the tracks or in the direction of the ion beam. This conclusion is supported by the fact that linear dislocations are revealed in apatite basal faces and by the observation of imperfect etch pits that are separated from the etched ion track channel by a section that appears unetched under the microscope.

  12. Conductive multi-walled boron nitride nanotubes by catalytic etching using cobalt oxide.

    PubMed

    Kim, Do-Hyun; Jang, Ho-Kyun; Kim, Min-Seok; Kim, Sung-Dae; Lee, Dong-Jin; Kim, Gyu Tae

    2017-01-04

    Boron nitride nanotubes (BNNTs) are ceramic compounds which are hardly oxidized below 1000 °C due to their superior thermal stability. Also, they are electrically almost insulators with a large band gap of 5 eV. Thus, it is a challenging task to etch BNNTs at low temperature and to convert their electrical properties to a conductive behavior. In this study, we demonstrate that BNNTs can be easily etched at low temperature by catalytic oxidation, resulting in an electrically conductive behavior. For this, multi-walled BNNTs (MWBNNTs) impregnated with Co precursor (Co(NO 3 ) 2 ·6H 2 O) were simply heated at 350 °C under air atmosphere. As a result, diverse shapes of etched structures such as pits and thinned walls were created on the surface of MWBNNTs without losing the tubular structure. The original crystallinity was still kept in the etched MWBNNTs in spite of oxidation. In the electrical measurement, MWBNNTs with a large band gap were converted to electrical conductors after etching by catalytic oxidation. Theoretical calculations indicated that a new energy state in the gap and a Fermi level shift contributed to MWBNNTs being conductive.

  13. Maskless and low-destructive nanofabrication on quartz by friction-induced selective etching

    PubMed Central

    2013-01-01

    A low-destructive friction-induced nanofabrication method is proposed to produce three-dimensional nanostructures on a quartz surface. Without any template, nanofabrication can be achieved by low-destructive scanning on a target area and post-etching in a KOH solution. Various nanostructures, such as slopes, hierarchical stages and chessboard-like patterns, can be fabricated on the quartz surface. Although the rise of etching temperature can improve fabrication efficiency, fabrication depth is dependent only upon contact pressure and scanning cycles. With the increase of contact pressure during scanning, selective etching thickness of the scanned area increases from 0 to 2.9 nm before the yield of the quartz surface and then tends to stabilise after the appearance of a wear. Refabrication on existing nanostructures can be realised to produce deeper structures on the quartz surface. Based on Arrhenius fitting of the etching rate and transmission electron microscopy characterization of the nanostructure, fabrication mechanism could be attributed to the selective etching of the friction-induced amorphous layer on the quartz surface. As a maskless and low-destructive technique, the proposed friction-induced method will open up new possibilities for further nanofabrication. PMID:23531381

  14. Formation and metrology of dual scale nano-morphology on SF(6) plasma etched silicon surfaces.

    PubMed

    Boulousis, G; Constantoudis, V; Kokkoris, G; Gogolides, E

    2008-06-25

    Surface roughness and nano-morphology in SF(6) plasma etched silicon substrates are investigated in a helicon type plasma reactor as a function of etching time and process parameters. The plasma etched surfaces are analyzed by atomic force microscopy. It is found that dual scale nano-roughness is formatted on the silicon surface comprising an underlying nano-roughness and superimposed nano-mounds. Detailed metrological quantification is proposed for the characterization of dual scale surface morphology. As etching proceeds, the mounds become higher, fewer and wider, and the underlying nano-roughness also increases. Increase in wafer temperature leads to smoother surfaces with lower, fewer and wider nano-mounds. A mechanism based on the deposition of etch inhibiting particles during the etching process is proposed for the explanation of the experimental behavior. In addition, appropriately designed experiments are conducted, and they confirm the presence of this mechanism.

  15. Shear Bond Strength of Self-etching Adhesives to Cavities Prepared by Diamond Bur or Er,Cr:YSGG Laser and Effect of Prior Acid Etching.

    PubMed

    Jhingan, Pulkit; Sachdev, Vinod; Sandhu, Meera; Sharma, Karan

    2015-12-01

    To compare and evaluate shear bond strength of self-etching adhesives bonded to cavities prepared by diamond bur or Er,Cr:YSGG laser and the effect of prior acid etching on shear bond strength. Ninety-six caries-free human premolars were selected and divided into 2 groups depending on mode of cavity preparation (48 teeth each). Cavities were prepared with Er,Cr:YSGG laser in group 1 and diamond burs in an air-turbine handpiece in group 2. Groups 1 and 2 were further subdivided into three subgroups of 8 teeth each, which were bonded with sixth- or seventh-generation adhesives with or without prior acid etching, followed by restoration of all samples with APX Flow. These samples were subjected to shear bond strength testing. In addition, the surface morphology of 24 samples each from groups 1 and 2 was evaluated using SEM. Data were analyzed using the Shapiro-Wilk test, one- and two-way ANOVA, the t-test, and the least significant difference test, which showed that the data were normally distributed (p > 0.05). The shear bond strength of adhesives in cavities prepared by Er,Cr:YSGG laser was significantly higher than in diamond bur-prepared cavities (p < 0.05). SEM analysis showed a smear-layer-free anfractuous surface on laser-ablated teeth, in contrast to conventional bur-prepared teeth. The Er,Cr:YSGG laser-ablated surface proved to be more receptive for adhesion than those prepared by diamond bur irrespective of the bonding agent used. Seventh-generation adhesives yielded higher shear bond strength than did sixth-generation adhesives. Prior acid etching decreased the shear bond strength of self-etching adhesives.

  16. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    NASA Astrophysics Data System (ADS)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  17. Note: Dissolved hydrogen detection in power transformer oil based on chemically etched fiber Bragg grating.

    PubMed

    Jiang, Jun; Ma, Guo-ming; Song, Hong-tu; Zhou, Hong-yang; Li, Cheng-rong; Luo, Ying-ting; Wang, Hong-bin

    2015-10-01

    A fiber Bragg grating (FBG) sensor based on chemically etched cladding to detect dissolved hydrogen is proposed and studied in this paper. Low hydrogen concentration tests have been carried out in mixed gases and transformer oil to investigate the repeatability and sensitivity. Moreover, to estimate the influence of etched cladding thickness, a physical model of FBG-based hydrogen sensor is analyzed. Experimental results prove that thin cladding chemically etched by HF acid solution improves the response to hydrogen detection in oil effectively. At last, the sensitivity of FBG sensor chemically etched 16 μm could be as high as 0.060 pm/(μl/l), increased by more than 30% in comparison to un-etched FBG.

  18. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  19. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  20. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    NASA Astrophysics Data System (ADS)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  1. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    NASA Astrophysics Data System (ADS)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  2. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    NASA Astrophysics Data System (ADS)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  3. Plasma etching of superconducting Niobium tips for scanning tunneling microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roychowdhury, A.; Center for Nanophysics and Advanced Materials, Department of Physics, University of Maryland, College Park, Maryland 20742; Dana, R.

    We have developed a reproducible technique for the fabrication of sharp superconducting Nb tips for scanning tunneling microscopy (STM) and scanning tunneling spectroscopy. Sections of Nb wire with 250 μm diameter are dry etched in an SF₆ plasma in a Reactive Ion Etcher. The gas pressure, etching time, and applied power are chosen to control the ratio of isotropic to anisotropic etch rates and produce the desired tip shape. The resulting tips are atomically sharp, with radii of less than 100 nm, mechanically stable, and superconducting. They generate good STM images and spectroscopy on single crystal samples of Au(111), Au(100),more » and Nb(100), as well as a doped topological insulator Bi₂Se₃ at temperatures ranging from 30 mK to 9 K.« less

  4. Preparation of Chemically Etched Tips for Ambient Instructional Scanning Tunneling Microscopy

    ERIC Educational Resources Information Center

    Zaccardi, Margot J.; Winkelmann, Kurt; Olson, Joel A.

    2010-01-01

    A first-year laboratory experiment that utilizes concepts of electrochemical tip etching for scanning tunneling microscopy (STM) is described. This experiment can be used in conjunction with any STM experiment. Students electrochemically etch gold STM tips using a time-efficient method, which can then be used in an instructional grade STM that…

  5. Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon

    DTIC Science & Technology

    2015-11-01

    hydrogen peroxide (H2O2), and ethanol etch solution. The H2O2 reacts with hydrogen ions from the HF at the catalytic metal surface to become water...order to measure the combustion rates of the PSi, bridge wires were photolithographically deposited onto the wafers, prior to PSi etching, using a...

  6. Probe for measurement of velocity and density of vapor in vapor plume

    DOEpatents

    Berzins, Leon V.; Bratton, Bradford A.; Fuhrman, Paul W.

    1997-01-01

    A probe which directs a light beam through a vapor plume in a first direction at a first angle ranging from greater than 0.degree. to less than 90.degree., reflecting the light beam back through the vapor plume at a 90.degree. angle, and then reflecting the light beam through the vapor plume a third time at a second angle equal to the first angle, using a series of mirrors to deflect the light beam while protecting the mirrors from the vapor plume with shields. The velocity, density, temperature and flow direction of the vapor plume may be determined by a comparison of the energy from a reference portion of the beam with the energy of the beam after it has passed through the vapor plume.

  7. Metal assisted photochemical etching of 4H silicon carbide

    NASA Astrophysics Data System (ADS)

    Leitgeb, Markus; Zellner, Christopher; Schneider, Michael; Schwab, Stefan; Hutter, Herbert; Schmid, Ulrich

    2017-11-01

    Metal assisted photochemical etching (MAPCE) of 4H-silicon carbide (SiC) in Na2S2O8/HF and H2O2/HF aqueous solutions is investigated with platinum as metallic cathode. The formation process of the resulting porous layer is studied with respect to etching time, concentration and type of oxidizing agent. From the experiments it is concluded that the porous layer formation is due to electron hole pairs generated in the semiconductor, which stem from UV light irradiation. The generated holes are consumed during the oxidation of 4H-SiC and the formed oxide is dissolved by HF. To maintain charge balance, the oxidizing agent has to take up electrons at the Pt/etching solution interface. Total dissolution of the porous layers is achieved when the oxidizing agent concentration decreases during MAPCE. In combination with standard photolithography, the definition of porous regions is possible. Furthermore chemical micromachining of 4 H-SiC at room temperature is possible.

  8. High rate dry etching of (BiSb)2Te3 film by CH4/H2-based plasma

    NASA Astrophysics Data System (ADS)

    Song, Junqiang; Shi, Xun; Chen, Lidong

    2014-10-01

    Etching characteristics of p-type (BiSb)2Te3 films were studied with CH4/H2/Ar gas mixture using an inductively coupled plasma (ICP)-reactive ion etching (RIE) system. The effects of gas mixing ratio, working pressure and gas flow rate on the etch rate and the surface morphology were investigated. The vertical etched profile with the etch rate of 600 nm/min was achieved at the optimized processing parameters. X-ray photoelectron spectroscopy (XPS) analysis revealed the non-uniform etching of (BiSb)2Te3 films due to disparate volatility of the etching products. Micro-masking effects caused by polymer deposition and Bi-rich residues resulted in roughly etched surfaces. Smooth surfaces can be obtained by optimizing the CH4/H2/Ar mixing ratio.

  9. Dynamic secondary ion mass spectroscopy of Au nanoparticles on Si wafer using Bi3+ as primary ion coupled with surface etching by Ar cluster ion beam: The effect of etching conditions on surface structure

    NASA Astrophysics Data System (ADS)

    Park, Eun Ji; Choi, Chang Min; Kim, Il Hee; Kim, Jung-Hwan; Lee, Gaehang; Jin, Jong Sung; Ganteför, Gerd; Kim, Young Dok; Choi, Myoung Choul

    2018-01-01

    Wet-chemically synthesized Au nanoparticles were deposited on Si wafer surfaces, and the secondary ions mass spectra (SIMS) from these samples were collected using Bi3+ with an energy of 30 keV as the primary ions. In the SIMS, Au cluster cations with a well-known, even-odd alteration pattern in the signal intensity were observed. We also performed depth profile SIMS analyses, i.e., etching the surface using an Ar gas cluster ion beam (GCIB), and a subsequent Bi3+ SIMS analysis was repetitively performed. Here, two different etching conditions (Ar1600 clusters of 10 keV energy or Ar1000 of 2.5 keV denoted as "harsh" or "soft" etching conditions, respectively) were used. Etching under harsh conditions induced emission of the Au-Si binary cluster cations in the SIMS spectra of the Bi3+ primary ions. The formation of binary cluster cations can be induced by either fragmentation of Au nanoparticles or alloying of Au and Si, increasing Au-Si coordination on the sample surface during harsh GCIB etching. Alternatively, use of the soft GCIB etching conditions resulted in exclusive emission of pure Au cluster cations with nearly no Au-Si cluster cation formation. Depth profile analyses of the Bi3+ SIMS combined with soft GCIB etching can be useful for studying the chemical environments of atoms at the surface without altering the original interface structure during etching.

  10. Vapor Intrusion

    EPA Pesticide Factsheets

    Vapor intrusion occurs when there is a migration of volatile chemicals from contaminated groundwater or soil into an overlying building. Volatile chemicals can emit vapors that may migrate through subsurface soils and into indoor air spaces.

  11. Wafer scale oblique angle plasma etching

    DOEpatents

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  12. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  13. Preparation of scanning tunneling microscopy tips using pulsed alternating current etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valencia, Victor A.; Thaker, Avesh A.; Derouin, Jonathan

    An electrochemical method using pulsed alternating current etching (PACE) to produce atomically sharp scanning tunneling microscopy (STM) tips is presented. An Arduino Uno microcontroller was used to control the number and duration of the alternating current (AC) pulses, allowing for ready optimization of the procedures for both Pt:Ir and W tips using a single apparatus. W tips prepared using constant and pulsed AC power were compared. Tips fashioned using PACE were sharper than those etched with continuous AC power alone. Pt:Ir tips were prepared with an initial coarse etching stage using continuous AC power followed by fine etching using PACE.more » The number and potential of the finishing AC pulses was varied and scanning electron microscope imaging was used to compare the results. Finally, tip quality using the optimized procedures was verified by UHV-STM imaging. With PACE, at least 70% of the W tips and 80% of the Pt:Ir tips were of sufficiently high quality to obtain atomically resolved images of HOPG or Ni(111)« less

  14. Method for protecting chip corners in wet chemical etching of wafers

    DOEpatents

    Hui, Wing C.

    1994-01-01

    The present invention is a corner protection mask design that protects chip corners from undercutting during anisotropic etching of wafers. The corner protection masks abut the chip corner point and extend laterally from segments along one or both corner sides of the corner point, forming lateral extensions. The protection mask then extends from the lateral extensions, parallel to the direction of the corner side of the chip and parallel to scribe lines, thus conserving wafer space. Unmasked bomb regions strategically formed in the protection mask facilitate the break-up of the protection mask during etching. Corner protection masks are useful for chip patterns with deep grooves and either large or small chip mask areas. Auxiliary protection masks form nested concentric frames that etch from the center outward are useful for small chip mask patterns. The protection masks also form self-aligning chip mask areas. The present invention is advantageous for etching wafers with thin film windows, microfine and micromechanical structures, and for forming chip structures more elaborate than presently possible.

  15. Method for protecting chip corners in wet chemical etching of wafers

    DOEpatents

    Hui, W.C.

    1994-02-15

    The present invention is a corner protection mask design that protects chip corners from undercutting during anisotropic etching of wafers. The corner protection masks abut the chip corner point and extend laterally from segments along one or both corner sides of the corner point, forming lateral extensions. The protection mask then extends from the lateral extensions, parallel to the direction of the corner side of the chip and parallel to scribe lines, thus conserving wafer space. Unmasked bomb regions strategically formed in the protection mask facilitate the break-up of the protection mask during etching. Corner protection masks are useful for chip patterns with deep grooves and either large or small chip mask areas. Auxiliary protection masks form nested concentric frames that etch from the center outward are useful for small chip mask patterns. The protection masks also form self-aligning chip mask areas. The present invention is advantageous for etching wafers with thin film windows, microfine and micromechanical structures, and for forming chip structures more elaborate than presently possible. 63 figures.

  16. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  17. Plasma-Etching of Spray-Coated Single-Walled Carbon Nanotube Films for Biointerfaces

    NASA Astrophysics Data System (ADS)

    Kim, Joon Hyub; Lee, Jun-Yong; Min, Nam Ki

    2012-08-01

    We present an effective method for the batch fabrication of miniaturized single-walled carbon nanotube (SWCNT) film electrodes using oxygen plasma etching. We adopted the approach of spray-coating for good adhesion of the SWCNT film onto a pre-patterned Pt support and used O2 plasma patterning of the coated films to realize efficient biointerfaces between SWCNT surfaces and biomolecules. By these approaches, the SWCNT film can be easily integrated into miniaturized electrode systems. To demonstrate the effectiveness of plasma-etched SWCNT film electrodes as biointerfaces, Legionella antibody was selected as analysis model owing to its considerable importance to electrochemical biosensors and was detected using plasma-etched SWCNT film electrodes and a 3,3',5,5'-tetramethyl-benzidine dihydrochloride/horseradish peroxidase (TMB/HRP) catalytic system. The response currents increased with increasing concentration of Legionella antibody. This result indicates that antibodies were effectively immobilized on plasma-etched and activated SWCNT surfaces.

  18. Probe for measurement of velocity and density of vapor in vapor plume

    DOEpatents

    Berzins, L.V.; Bratton, B.A.; Fuhrman, P.W.

    1997-03-11

    A probe is disclosed which directs a light beam through a vapor plume in a first direction at a first angle ranging from greater than 0{degree} to less than 90{degree}, reflecting the light beam back through the vapor plume at a 90{degree} angle, and then reflecting the light beam through the vapor plume a third time at a second angle equal to the first angle, using a series of mirrors to deflect the light beam while protecting the mirrors from the vapor plume with shields. The velocity, density, temperature and flow direction of the vapor plume may be determined by a comparison of the energy from a reference portion of the beam with the energy of the beam after it has passed through the vapor plume. 10 figs.

  19. Effects of a power and photon energy of incident light on near-field etching properties

    NASA Astrophysics Data System (ADS)

    Yatsui, T.; Saito, H.; Nishioka, K.; Leuschel, B.; Soppera, O.; Nobusada, K.

    2017-12-01

    We developed a near-field etching technique for realizing an ultra-flat surfaces of various materials and structures. To elucidate the near-field etching properties, we have investigated the effects of power and the photon energy of the incident light. First, we established theoretically that an optical near-field with photon energy lower than the absorption edge of the molecules can induce molecular vibrations. We used nanodiamonds to study the power dependence of the near-field etching properties. From the topological changes of the nanodiamonds, we confirmed the linear-dependence of the etching volume with the incident power. Furthermore, we studied the photon energy dependence using TiO2 nanostriped structures, which revealed that a lower photon energy results in a lower etching rate.

  20. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    PubMed

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p < 0.05). The highest SBS was found after additional phosphoric acid treatment in dentin groups (p < 0.05). There were no statistically significant differences between the laser-etched and non-etched groups in enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  1. Etching of semiconductors and metals by the photonic jet with shaped optical fiber tips

    NASA Astrophysics Data System (ADS)

    Pierron, Robin; Lecler, Sylvain; Zelgowski, Julien; Pfeiffer, Pierre; Mermet, Frédéric; Fontaine, Joël

    2017-10-01

    The etching of semiconductors and metals by a photonic jet (PJ) generated with a shaped optical fiber tip is studied. Etched marks with a diameter of 1 μm have been realized on silicon, stainless steel and titanium with a 35 kHz pulsed laser, emitting 100 ns pulses at 1064 nm. The selection criteria of the fiber and its tip are discussed. We show that a 100/140 silica fiber is a good compromise which takes into account the injection, the working distance and the energy coupled in the higher-order modes. The energy balance is performed on the basis of the known ablation threshold of the material. Finally, the dependence between the etching depth and the number of pulses is studied. Saturation is observed probably due to a redeposition of the etched material, showing that a higher pulse energy is required for deeper etchings.

  2. The chemistry screening for ultra low-k dielectrics plasma etching

    NASA Astrophysics Data System (ADS)

    Zotovich, A.; Krishtab, M.; Lazzarino, F.; Baklanov, M. R.

    2014-12-01

    Nowadays, some of the important problems in microelectronics technological node scaling down are related to interconnect delay, dynamic power consumption and crosstalk. This compels introduction and integration of new materials with low dielectric permittivity (low-k materials) as insulator in interconnects. One of such materials under consideration for sub 10 nm technology node is a spin-coated organosilicate glass layer with ordered porosity (37-40%) and a k-value of 2.2 (OSG 2.2). High porosity leads to significant challenges during the integration and one of them is a material degradation during the plasma etching. The low-k samples have been etched in a CCP double frequency plasma chamber from TEL. Standard recipes developed for microporous materials with k<2.5 and based on mixture of C4F8 and CF4 with N2, O2 and Ar were found significantly damaging for high-porous ULK materials. The standard etch recipe was compared with oxygen free etch chemistries based on mixture CF4 with CH2F2 and Ar assuming that the presence of oxygen in the first recipe will have significant negative impact in high porous ULK materials. The film damage has been analyzed using FTIR spectroscopy and the k-value has been extracted by capacitance CV-measurements. There was indirectly shown that vacuum ultraviolet photons cause the main damage of low-k, whereas radicals and ions are not so harmful. Trench structures have been etched in low-k film and cross-SEM analysis with and without HF dipping has been performed to reveal patterning capability and visualize the sidewall damage and. The bottom roughness was analyzed by AFM.

  3. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  4. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  5. Plasma & reactive ion etching to prepare ohmic contacts

    DOEpatents

    Gessert, Timothy A.

    2002-01-01

    A method of making a low-resistance electrical contact between a metal and a layer of p-type CdTe surface by plasma etching and reactive ion etching comprising: a) placing a CdS/CdTe layer into a chamber and evacuating said chamber; b) backfilling the chamber with Argon or a reactive gas to a pressure sufficient for plasma ignition; and c) generating plasma ignition by energizing a cathode which is connected to a power supply to enable the plasma to interact argon ions alone or in the presence of a radio-frequency DC self-bias voltage with the p-CdTe surface.

  6. A plasmaless, photochemical etch process for porous organosilicate glass films

    NASA Astrophysics Data System (ADS)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  7. Personnel neutron dosimetry using electrochemically etched CR-39 foils

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hankins, D.E.; Homann, S.; Westermark, J.

    1986-09-17

    A personnel neutron dosimetry system has been developed based on the electrochemical etching of CR-39 plastic at elevated temperatures. The doses obtained using this dosimeter system are more accurate than those obtained using other dosimetry systems, especially when varied neutron spectra are encountered. This Cr-39 dosimetry system does not have the severe energy dependence that exists with albedo neutron dosimeters or the fading and reading problems encountered with NTA film. The dosimetry system employs an electrochemical etch procedure that be used to process large numbers of Cr-39 dosimeters. The etch procedure is suitable for operations where the number of personnelmore » requires that many CR-39 dosimeters be processed. Experience shows that one full-time technician can etch and evaluate 2000 foils per month. The energy response to neutrons is fairly flat from about 80 keV to 3.5 MeV, but drops by about a factor of three in the 13 to 16 MeV range. The sensitivity of the dosimetry system is about 7 tracks/cm/sup 2//mrem, with a background equivalent to about 8 mrem for new CR-39 foils. The limit of sensitivity is approximately 10 mrem. The dosimeter has a significant variation in directional dependence, dropping to about 20% at 90/sup 0/. This dosimeter has been used for personnel neutron dosimetry at the Lawrence Livermore National Laboratory for more tha 18 months. 6 refs., 23 figs., 2 tabs.« less

  8. Thermal history-based etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simpson, John T.

    A method for adjusting an etchability of a first borosilicate glass by heating the first borosilicate glass; combining the first borosilicate glass with a second borosilicate glass to form a composite; and etching the composite with an etchant. A material having a protrusive phase and a recessive phase, where the protrusive phase protrudes from the recessive phase to form a plurality of nanoscale surface features, and where the protrusive phase and the recessive phase have the same composition.

  9. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    PubMed

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  10. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    PubMed

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; p<0.05). Regardless of the adhesive, the treatment with potassium oxalate reduced the adhesives' KHN (p<0.05), with the OS system exhibiting the lowest KHN compared with the MP and SB systems.

  11. Laser-etch patterning of metal oxide coated carbon nanotube 3D architectures.

    PubMed

    Aksu, Cemile; Ingram, Wade; Bradford, Philip D; Jur, Jesse S

    2018-08-17

    This paper describes a way to fabricate novel hybrid low density nanostructures containing both carbon nanotubes (CNTs) and ceramic nanotubes. Using atomic layer deposition, a thin film of aluminum oxide was conformally deposited on aligned multiwall CNT foams in which the CNTs make porous, three-dimensional interconnected networks. A CO 2 laser was used to etch pure alumina nanotube structures by burning out the underlying CNT substrate in discrete locations via the printed laser pattern. Structural and morphological transitions during the calcination process of aluminum oxide coated CNTs were investigated through in situ transmission electron microscopy and high-resolution scanning electron microscopy. Laser parameters were optimized to etch the CNT away (i.e. etching speed, power and focal length) while minimizing damage to the alumina nanotubes due to overheating. This study opens a new route for fabricating very low density three dimensionally patterned materials with areas of dissimilar materials and properties. To demonstrate the attributes of these structures, the etched areas were used toward anisotropic microfluidic liquid flow. The demonstration used the full thickness of the material to make complex pathways for the liquid flow in the structure. Through tuning of processing conditions, the alumina nanotube (etched) regions became hydrophilic while the bulk material remained hydrophobic and electrically conductive.

  12. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    NASA Astrophysics Data System (ADS)

    Luna, Lunet E.; Tadjer, Marko J.; Anderson, Travis J.; Imhoff, Eugene A.; Hobart, Karl D.; Kub, Fritz J.

    2017-10-01

    Cycles of inductively coupled SF6/O2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µm-deep trenches with 5.5 µm-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µm at an etch rate of ~0.26 µm min-1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated.

  13. Emotionally numb: Desensitization to community violence exposure among urban youth.

    PubMed

    Kennedy, Traci M; Ceballo, Rosario

    2016-05-01

    Community violence exposure (CVE) is associated with numerous psychosocial outcomes among youth. Although linear, cumulative effects models have typically been used to describe these relations, emerging evidence suggests the presence of curvilinear associations that may represent a pattern of emotional desensitization among youth exposed to chronic community violence. This study uses longitudinal data to investigate relations between CVE and both internalizing and externalizing symptoms among 3,480 youth ages 3 to 12 at baseline and 9 to 18 at outcome. Results support desensitization models, as evidenced by longitudinal quadratic associations between Wave 2 CVE and Wave 3 anxiety/depressive symptoms, alongside cross-sectional linear associations between Wave 3 CVE and Wave 3 aggression. Neither age nor gender moderated the associations between CVE and well-being. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  14. New type of dummy layout pattern to control ILD etch rate

    NASA Astrophysics Data System (ADS)

    Pohland, Oliver; Spieker, Julie; Huang, Chih-Ta; Govindaswamy, Srikanth; Balasinski, Artur

    2007-12-01

    Adding dummy features (waffles) to drawn geometries of the circuit layout is a common practice to improve its manufacturability. As an example, local dummy pattern improves MOSFET line and space CD control by adjusting short range optical proximity and reducing the aggressiveness of its correction features (OPC) to widen the lithography process window. Another application of dummy pattern (waffles) is to globally equalize layout pattern density, to reduce long-range inter-layer dielectric (ILD) thickness variations after the CMP process and improve contact resistance uniformity over the die area. In this work, we discuss a novel type of dummy pattern with a mid-range interaction distance, to control the ILD composition driven by its deposition and etch process. This composition is reflected on sidewall spacers and depends on the topography of the underlying poly pattern. During contact etch, it impacts the etch rate of the ILD. As a result, the deposited W filling the damascene etched self-aligned trench contacts in the ILD may electrically short to the underlying gates in the areas of isolated poly. To mitigate the dependence of the ILD composition on poly pattern distribution, we proposed a special dummy feature generation with the interaction range defined by the ILD deposition and etch process. This helped equalize mid-range poly pattern density without disabling the routing capability with damascene trench contacts in the periphery which would have increased the layout footprint.

  15. Surface morphology evolution during plasma etching of silicon: roughening, smoothing and ripple formation

    NASA Astrophysics Data System (ADS)

    Ono, Kouichi; Nakazaki, Nobuya; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji

    2017-10-01

    Atomic- or nanometer-scale roughness on feature surfaces has become an important issue to be resolved in the fabrication of nanoscale devices in industry. Moreover, in some cases, smoothing of initially rough surfaces is required for planarization of film surfaces, and controlled surface roughening is required for maskless fabrication of organized nanostructures on surfaces. An understanding, under what conditions plasma etching results in surface roughening and/or smoothing and what are the mechanisms concerned, is of great technological as well as fundamental interest. In this article, we review recent developments in the experimental and numerical study of the formation and evolution of surface roughness (or surface morphology evolution such as roughening, smoothing, and ripple formation) during plasma etching of Si, with emphasis being placed on a deeper understanding of the mechanisms or plasma-surface interactions that are responsible for. Starting with an overview of the experimental and theoretical/numerical aspects concerned, selected relevant mechanisms are illustrated and discussed primarily on the basis of systematic/mechanistic studies of Si etching in Cl-based plasmas, including noise (or stochastic roughening), geometrical shadowing, surface reemission of etchants, micromasking by etch inhibitors, and ion scattering/chanelling. A comparison of experiments (etching and plasma diagnostics) and numerical simulations (Monte Carlo and classical molecular dynamics) indicates a crucial role of the ion scattering or reflection from microscopically roughened feature surfaces on incidence in the evolution of surface roughness (and ripples) during plasma etching; in effect, the smoothing/non-roughening condition is characterized by reduced effects of the ion reflection, and the roughening-smoothing transition results from reduced ion reflections caused by a change in the predominant ion flux due to that in plasma conditions. Smoothing of initially rough

  16. Nanoparticle-coated micro-optofluidic ring resonator as a detector for microscale gas chromatographic vapor analysis

    NASA Astrophysics Data System (ADS)

    Scholten, K.; Collin, W. R.; Fan, X.; Zellers, E. T.

    2015-05-01

    A vapor sensor comprising a nanoparticle-coated microfabricated optofluidic ring resonator (μOFRR) is introduced. A multilayer film of polyether functionalized, thiolate-monolayer-protected gold nanoparticles (MPN) was solvent cast on the inner wall of the hollow cylindrical SiOx μOFRR resonator structure, and whispering gallery mode (WGM) resonances were generated with a 1550 nm tunable laser via an optical fiber taper. Reversible shifts in the WGM resonant wavelength upon vapor exposure were detected with a photodetector. The μOFRR chip was connected to a pair of upstream etched-Si chips containing PDMS-coated separation μcolumns and calibration curves were generated from the peak-area responses to five volatile organic compounds (VOCs). Calibration curves were linear, and the sensitivities reflected the influence of analyte volatility and analyte-MPN functional group affinity. Sorption-induced changes in film thickness apparently dominate over changes in the refractive index of the film as the determinant of responses for all VOCs. Peaks from the MPN-coated μOFRR were just 20-50% wider than those from a flame ionization detector for similar μcolumn separation conditions, reflecting the rapid response of the sensor for VOCs. The five VOCs were baseline separated in <1.67 min, with detection limits as low as 38 ng.

  17. Nanoparticle-coated micro-optofluidic ring resonator as a detector for microscale gas chromatographic vapor analysis.

    PubMed

    Scholten, K; Collin, W R; Fan, X; Zellers, E T

    2015-05-28

    A vapor sensor comprising a nanoparticle-coated microfabricated optofluidic ring resonator (μOFRR) is introduced. A multilayer film of polyether functionalized, thiolate-monolayer-protected gold nanoparticles (MPN) was solvent cast on the inner wall of the hollow cylindrical SiOxμOFRR resonator structure, and whispering gallery mode (WGM) resonances were generated with a 1550 nm tunable laser via an optical fiber taper. Reversible shifts in the WGM resonant wavelength upon vapor exposure were detected with a photodetector. The μOFRR chip was connected to a pair of upstream etched-Si chips containing PDMS-coated separation μcolumns and calibration curves were generated from the peak-area responses to five volatile organic compounds (VOCs). Calibration curves were linear, and the sensitivities reflected the influence of analyte volatility and analyte-MPN functional group affinity. Sorption-induced changes in film thickness apparently dominate over changes in the refractive index of the film as the determinant of responses for all VOCs. Peaks from the MPN-coated μOFRR were just 20-50% wider than those from a flame ionization detector for similar μcolumn separation conditions, reflecting the rapid response of the sensor for VOCs. The five VOCs were baseline separated in <1.67 min, with detection limits as low as 38 ng.

  18. Method to fabricate multi-level silicon-based microstructures via use of an etching delay layer

    DOEpatents

    Manginell, Ronald P.; Schubert, W. Kent; Shul, Randy J.

    2005-08-16

    New methods for fabrication of silicon microstructures have been developed. In these methods, an etching delay layer is deposited and patterned so as to provide differential control on the depth of features being etched into a substrate material. Structures having features with different depth can be formed thereby in a single etching step.

  19. Laser micro-etching of metal prostheses for personal identification.

    PubMed

    Ganapathy, Dhanraj; Sivaswamy, Vinay; Sekhar, Prathap

    2017-01-01

    Denture marking techniques play a vital role in establishing personal identification in suitable clinical and forensic situations. The denture marking techniques are categorized broadly into additive and ablative methods. Additive methods involve embedding or impregnation of markers for establishing personal identity. Ablative methods involve partial removal of the denture surface thereby providing a marking for identification. Engraving and etching methods are the commonly used ablative methods. Ablative methods can be of contact and noncontact subtypes. Laser micro-etching is a precise noncontact ablative denture marking technique that could be used for prostheses-guided personal identification.

  20. BTSC VAPOR INSTRUSION PRIMER "VAPOR INTRUSION CONSIDERATION FOR REDEVELOPMENT"

    EPA Science Inventory

    This primer is designed for brownfields stakeholders concerned about vapor intrusion, including property owners, real estate developers, and contractors performing environmental site investigations. It provides an overview of the vapor intrusion issue and how it can impact the ap...

  1. Wet etching technique for fabrication of a high-quality plastic optical fiber sensor.

    PubMed

    Zhao, Mingfu; Dai, Lang; Zhong, Nianbing; Wang, Zhengkun; Chen, Ming; Li, Bingxin; Luo, Binbin; Tang, Bin; Shi, Shenghui; Song, Tao; Zou, Xue

    2017-11-01

    In this study, a simple wet etching technique is developed by employing aqueous solutions of acetic acid and ultrasonic irradiation for the fabrication of a high-quality plastic optical fiber (POF) sensor. The effects of acetic acid concentration and temperature and ultrasonic power on the etching rate and surface morphology of the etched POFs are investigated. The transmission spectrum and sensitivity of the etched POF sensors are evaluated using glucose solutions. We discovered that the POF sensors, which are fabricated using an aqueous solution of acetic acid with a concentration of 80 vol. % under an ultrasonic power of 130 W and temperature of 25°C, exhibit good light transmission and a high sensitivity of 9.10  [(RIU)(g/L)] -1 in the glucose solutions.

  2. Comparative analysis of barium titanate thin films dry etching using inductively coupled plasmas by different fluorine-based mixture gas

    PubMed Central

    2014-01-01

    In this work, the inductively coupled plasma etching technique was applied to etch the barium titanate thin film. A comparative study of etch characteristics of the barium titanate thin film has been investigated in fluorine-based (CF4/O2, C4F8/O2 and SF6/O2) plasmas. The etch rates were measured using focused ion beam in order to ensure the accuracy of measurement. The surface morphology of etched barium titanate thin film was characterized by atomic force microscope. The chemical state of the etched surfaces was investigated by X-ray photoelectron spectroscopy. According to the experimental result, we monitored that a higher barium titanate thin film etch rate was achieved with SF6/O2 due to minimum amount of necessary ion energy and its higher volatility of etching byproducts as compared with CF4/O2 and C4F8/O2. Low-volatile C-F compound etching byproducts from C4F8/O2 were observed on the etched surface and resulted in the reduction of etch rate. As a result, the barium titanate films can be effectively etched by the plasma with the composition of SF6/O2, which has an etch rate of over than 46.7 nm/min at RF power/inductively coupled plasma (ICP) power of 150/1,000 W under gas pressure of 7.5 mTorr with a better surface morphology. PMID:25278821

  3. Coriolus versicolor suppresses inflammatory bowel disease by Inhibiting the expression of STAT1 and STAT6 associated with IFN-γ and IL-4 expression.

    PubMed

    Lim, Beong Ou

    2011-08-01

    To investigate the effects of Coriolus versicolor extract (CVE) on infl ammatory bowel disease (IBD), ulcerative colitis was induced in male BALb/c mice by administering drinking water containing dextran-sulfate sodium (DSS). The mice were divided into the following four experimental groups: control, DSS-induced colitis, CVE treatment and CVE treatment + DSS-induced colitis. Mice receiving DSS treatment developed clinical and macroscopic signs of ulcerative colitis. However, treatment with CVE relieved the symptoms of IBD, including the decrease in body and organ weight. The levels of serum, spleen and mesenteric lymph node IgE in the CVE-treated groups was lower compared with the untreated groups. The antiinfl ammatory response upon CVE treatment correlated with the reduced expression of TNF-α, IL-1β and IL-6. Also, there was a significant reduction in the expression of STAT1 and STAT6 molecules, thereby leading to lower IFN-γ and IL-4 expression. Therefore, the antiinfl ammatory effects of Coriolus versicolor can be explained by its ability to inhibit certain proinflammatory cytokines. Copyright © 2011 John Wiley & Sons, Ltd.

  4. Single Etch-Pit Shape on Off-Angled 4H-SiC(0001) Si-Face Formed by Chlorine Trifluoride

    NASA Astrophysics Data System (ADS)

    Hatayama, Tomoaki; Tamura, Tetsuya; Yano, Hiroshi; Fuyuki, Takashi

    2012-07-01

    The etch pit shape of an off-angled 4H-SiC Si-face formed by chlorine trifluoride (ClF3) in nitrogen (N2) ambient has been studied. One type of etch pit with a crooked hexagonal shape was formed at an etching temperature below 500 °C. The angle of the etch pit measured from a cross-sectional atomic force microscopy image was about 10° from the [11bar 20] view. The dislocation type of the etch pit was discussed in relation to the etch pit shape and an electron-beam-induced current image.

  5. SiO2 Hole Etching Using Perfluorocarbon Alternative Gas with Small Global Greenhouse Effect

    NASA Astrophysics Data System (ADS)

    Ooka, Masahiro; Yokoyama, Shin

    2004-06-01

    The etching of contact holes of 0.1 μm size in SiO2 is achieved using, for the first time, cyclic (c-)C5F8 with a small greenhouse effect in the pulse-modulated inductively coupled plasma. The shape of the cross section of the contact hole is as good as that etched using conventional c-C4F8. It is confirmed that Kr mixing instead of Ar in the plasma does not change the etching characteristics, although lowering of the electron temperature is expected which reduces the plasma-induced damage. Pulse modulation of the plasma is found to improve the etching selectivity of SiO2 with respect to Si. Langmuir probe measurement of the plasma suggests that the improvement of the etching selectivity is due to the deposition of fluorocarbon film triggered by lowering of the electron temperature when the off time of the radio frequency (rf) power is extended.

  6. Effects of acid etching and adhesive treatments on host-derived cysteine cathepsin activity in dentin.

    PubMed

    Zhang, Wenhao; Yang, Weixiang; Wu, Shuyi; Zheng, Kaibin; Liao, Weili; Chen, Boli; Yao, Ke; Liang, Guobin; Li, Yan

    2014-10-01

    To analyze the effects of different processes during bonding on endogenous cysteine cathepsin activity in dentin. Dentin powder, prepared from extracted human third molars, was divided into 10 groups. Two lots of dentin powder were used to detect the effects of the procedure of protein extraction on endogenous cathepsin activity. The others were used to study effects of different acid-etching or adhesive treatments on enzyme activity. Concentrations of 37% phosphoric acid or 10% phosphoric acid, two etch-and-rinse adhesive systems, and two self-etching adhesive systems were used as dentin powder treatments. The untreated mineralized dentin powder was set as the control. After treatment, the proteins of each group were extracted. The total cathepsin activity in the extracts of each group was monitored with a fluorescence reader. In the control group, there were no significant differences in cathepsin activity between the protein extract before EDTA treatment and the protein extract after EDTA treatment (p > 0.05). The cathepsin activities of the three different extracts in the 37% phosphoric acid-treated group were different from each other (p < 0.05). The two acid-etching groups and two etch-and-rinse groups showed significant enzyme activity reduction vs the control group (p < 0.05). There were no significant differences between those four groups (p > 0.05). Treating the dentin powder with any of the two self-etching adhesives resulted in an increase in cathepsin activity (p < 0.05). The activity of cysteine cathepsins can be detected in dentin powder. Treatment with EDTA during protein extraction exerted an influence on cathepsin activity. Acid etching or etch-and-rinse adhesive systems may reduce the activity of endogenous cathepsins in dentin. Self-etching adhesive systems may increase the enzyme activity.

  7. Luminescence of mesoporous silicon powders treated by high-pressure water vapor annealing

    NASA Astrophysics Data System (ADS)

    Gelloz, Bernard; Loni, Armando; Canham, Leigh; Koshida, Nobuyoshi

    2012-07-01

    We have studied the photoluminescence of nanocrystalline silicon microparticle powders fabricated by fragmentation of PSi membranes. Several porosities were studied. Some powders have been subjected to further chemical etching in HF in order to reduce the size of the silicon skeleton and reach quantum sizes. High-pressure water vapor annealing was then used to enhance both the luminescence efficiency and stability. Two visible emission bands were observed. A red band characteristic of the emission of Si nanocrystals and a blue band related to localized centers in oxidized powders. The blue band included a long-lived component, with a lifetime exceeding 1 sec. Both emission bands depended strongly on the PSi initial porosity. The colors of the processed powders were tunable from brown to off-white, depending on the level of oxidation. The surface area and pore volume of some powders were also measured and discussed. The targeted applications are in cosmetics and medicine.

  8. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    NASA Astrophysics Data System (ADS)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  9. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  10. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  11. Mechanism for Plasma Etching of Shallow Trench Isolation Features in an Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Rauf, Shahid; He, Jim; Choi, Jinhan; Collins, Ken

    2011-10-01

    Plasma etching for microelectronics fabrication is facing extreme challenges as processes are developed for advanced technological nodes. As device sizes shrink, control of shallow trench isolation (STI) features become more important in both logic and memory devices. Halogen-based inductively coupled plasmas in a pressure range of 20-60 mTorr are typically used to etch STI features. The need for improved performance and shorter development cycles are placing greater emphasis on understanding the underlying mechanisms to meet process specifications. In this work, a surface mechanism for STI etch process will be discussed that couples a fundamental plasma model to experimental etch process measurements. This model utilizes ion/neutral fluxes and energy distributions calculated using the Hybrid Plasma Equipment Model. Experiments are for blanket Si wafers in a Cl2/HBr/O2/N2 plasma over a range of pressures, bias powers, and flow rates of feedstock gases. We found that kinetic treatment of electron transport was critical to achieve good agreement with experiments. The calibrated plasma model is then coupled to a string-based feature scale model to quantify the effect of varying process parameters on the etch profile. We found that the operating parameters strongly influence critical dimensions but have only a subtle impact on the etch depths.

  12. AFM and SEM study of the effects of etching on IPS-Empress 2 TM dental ceramic

    NASA Astrophysics Data System (ADS)

    Luo, X.-P.; Silikas, N.; Allaf, M.; Wilson, N. H. F.; Watts, D. C.

    2001-10-01

    The aim of this study was to investigate the effects of increasing etching time on the surface of the new dental material, IPS-Empress 2 TM glass ceramic. Twenty one IPS-Empress 2 TM glass ceramic samples were made from IPS-Empress 2 TM ingots through lost-wax, hot-pressed ceramic fabrication technology. All samples were highly polished and cleaned ultrasonically for 5 min in acetone before and after etching with 9.6% hydrofluoric acid gel. The etching times were 0, 10, 20, 30, 60, 90 and 120 s respectively. Microstructure was analysed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) was used to evaluate the surface roughness and topography. Observations with SEM showed that etching with hydrofluoric acid resulted in preferential dissolution of glass matrix, and that partially supported crystals within the glass matrix were lost with increasing etching time. AFM measurements indicated that etching increased the surface roughness of the glass-ceramic. A simple least-squares linear regression was used to establish a relationship between surface roughness parameters ( Ra, RMS), and etching time, for which r2>0.94. This study demonstrates the benefits of combining two microscopic methods for a better understanding of the surface. SEM showed the mode of action of hydrofluoric acid on the ceramic and AFM provided valuable data regarding the extent of surface degradation relative to etching time.

  13. RIE-based Pattern Transfer Using Nanoparticle Arrays as Etch Masks

    NASA Astrophysics Data System (ADS)

    Hogg, Chip; Majetich, Sara A.; Bain, James A.

    2009-03-01

    Nanomasking is used to transfer the pattern of a self-assembled array of nanoparticles into an underlying thin film, for potential use as bit-patterned media. We have used this process to investigate the limits of pattern transfer, as a function of gap size in the pattern. Reactive Ion Etching (RIE) is our chosen process, since the gaseous reaction products and high chemical selectivity are ideal features for etching very small gaps. Interstitial surfactant is removed with an O2 plasma, allowing the etchants to penetrate between the particles. Their pattern is transferred into an intermediate SiO2 mask using a CH4-based RIE. This patterned SiO2 layer is finally used as a mask for the MeOH-based RIE which patterns the magnetic film. We present cross-sectional TEM characterization of the etch profiles, as well as magnetic characterization of the film before and after patterning.

  14. Method and apparatus for spatially uniform electropolishing and electrolytic etching

    DOEpatents

    Mayer, Steven T.; Contolini, Robert J.; Bernhardt, Anthony F.

    1992-01-01

    In an electropolishing or electrolytic etching apparatus the anode is separated from the cathode to prevent bubble transport to the anode and to produce a uniform current distribution at the anode by means of a solid nonconducting anode-cathode barrier. The anode extends into the top of the barrier and the cathode is outside the barrier. A virtual cathode hole formed in the bottom of the barrier below the level of the cathode permits current flow while preventing bubble transport. The anode is rotatable and oriented horizontally facing down. An extended anode is formed by mounting the workpiece in a holder which extends the electropolishing or etching area beyond the edge of the workpiece to reduce edge effects at the workpiece. A reference electrode controls cell voltage. Endpoint detection and current shut-off stop polishing. Spatially uniform polishing or etching can be rapidly performed.

  15. Method and apparatus for spatially uniform electropolishing and electrolytic etching

    DOEpatents

    Mayer, S.T.; Contolini, R.J.; Bernhardt, A.F.

    1992-03-17

    In an electropolishing or electrolytic etching apparatus the anode is separated from the cathode to prevent bubble transport to the anode and to produce a uniform current distribution at the anode by means of a solid nonconducting anode-cathode barrier. The anode extends into the top of the barrier and the cathode is outside the barrier. A virtual cathode hole formed in the bottom of the barrier below the level of the cathode permits current flow while preventing bubble transport. The anode is rotatable and oriented horizontally facing down. An extended anode is formed by mounting the workpiece in a holder which extends the electropolishing or etching area beyond the edge of the workpiece to reduce edge effects at the workpiece. A reference electrode controls cell voltage. Endpoint detection and current shut-off stop polishing. Spatially uniform polishing or etching can be rapidly performed. 6 figs.

  16. Etching in Chlorine Discharges Using an Integrated Feature Evolution-Plasma Model

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Bose, Deepak; Govindan, T. R.; Meyyappan, M.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Etching of semiconductor materials is reliant on plasma properties. Quantities such as ion and neutral fluxes, both in magnitude and in direction, are often determined by reactor geometry (height, radius, position of the coils, etc.) In order to obtain accurate etching profiles, one must also model the plasma as a whole to obtain local fluxes and distributions. We have developed a set of three models that simulates C12 plasmas for etching of silicon, ion and neutral trajectories in the plasma, and feature profile evolution. We have found that the location of the peak in the ion densities in the reactor plays a major role in determining etching uniformity across the wafer. For a stove top coil inductively coupled plasma (ICP), the ion density is peaked at the top of the reactor. This leads to nearly uniform neutral and ion fluxes across the wafer. A side coil configuration causes the ion density to peak near the sidewalls. Ion fluxes are thus greater toward the wall's and decrease toward the center. In addition, the ions bombard the wafer at a slight angle. This angle is sufficient to cause slanted profiles, which is highly undesirable.

  17. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    PubMed

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  18. Etching of Silicon in HBr Plasmas for High Aspect Ratio Features

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, M.; Mathad, G. S.; Ranade, R.

    2002-01-01

    Etching in semiconductor processing typically involves using halides because of the relatively fast rates. Bromine containing plasmas can generate high aspect ratio trenches, desirable for DRAM and MEMS applications, with relatively straight sidewalk We present scanning electron microscope images for silicon-etched trenches in a HBr plasma. Using a feature profile simulation, we show that the removal yield parameter, or number of neutrals removed per incident ion due to all processes (sputtering, spontaneous desorption, etc.), dictates the profile shape. We find that the profile becomes pinched off when the removal yield is a constant, with a maximum aspect ratio (AR) of about 5 to 1 (depth to height). When the removal yield decreases with increasing ion angle, the etch rate increases at the comers and the trench bottom broadens. The profiles have ARs of over 9:1 for yields that vary with ion angle. To match the experimentally observed etched time of 250 s for an AR of 9:1 with a trench width of 0.135 microns, we find that the neutral flux must be 3.336 x 10(exp 17)sq cm/s.

  19. Fabrication mechanism of friction-induced selective etching on Si(100) surface

    PubMed Central

    2012-01-01

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems. PMID:22356699

  20. Dry etching of copper phthalocyanine thin films: effects on morphology and surface stoichiometry.

    PubMed

    Van Dijken, Jaron G; Brett, Michael J

    2012-08-24

    We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  1. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    PubMed

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  2. Effect of sulfuric acid etching of polyetheretherketone on the shear bond strength to resin cements.

    PubMed

    Sproesser, Oliver; Schmidlin, Patrick R; Uhrenbacher, Julia; Roos, Malgorzata; Gernet, Wolfgang; Stawarczyk, Bogna

    2014-10-01

    To examine the influence of etching duration on the bond strength of PEEK substrate in combination with different resin composite cements. In total, 448 PEEK specimens were fabricated, etched with sulfuric acid for 5, 15, 30, 60, 90, 120, and 300 s and then luted with two conventional resin cements (RelyX ARC and Variolink II) and one self-adhesive resin cement (Clearfil SA Cement) (n = 18/subgroup). Non-etched specimens served as the control group. Specimens were stored in distilled water for 28 days at 37°C and shear bond strengths were measured. Data were analyzed nonparametrically using Kruskal-Wallis-H (p < 0.05). Non-etched PEEK demonstrated no bond strength to resin composite cements. The optimal etching duration varied with the type of resin composite: 60 s for RelyX ARC (15.3 ± 7.2 MPa), 90 s for Variolink II (15.2 ± 7.2 MPa), and 120 s for Clearfil SA Cement (6.4 ± 5.9 MPa). Regardless of etching duration, however, the self-etching resin composite cement showed significantly lower shear bond strength values when compared to groups luted with the conventional resin composites. Although sulfuric acid seems to be suitable and effective for PEEK surface pre-treatment, further investigations are required to examine the effect of other adhesive systems and cements.

  3. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    PubMed Central

    Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang

    2018-01-01

    Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235

  4. Influence of Hot-Etching Surface Treatment on Zirconia/Resin Shear Bond Strength

    PubMed Central

    Lv, Pin; Yang, Xin; Jiang, Ting

    2015-01-01

    This study was designed to evaluate the effect of hot-etching surface treatment on the shear bond strength between zirconia ceramics and two commercial resin cements. Ceramic cylinders (120 units; length: 2.5 mm; diameter: 4.7 mm) were randomly divided into 12 groups (n = 10) according to different surface treatments (blank control; airborne-particle-abrasion; hot-etching) and different resin cements (Panavia F2.0; Superbond C and B) and whether or not a thermal cycling fatigue test (5°–55° for 5000 cycles) was performed. Flat enamel surfaces, mounted in acrylic resin, were bonded to the zirconia discs (diameter: 4.7 mm). All specimens were subjected to shear bond strength testing using a universal testing machine with a crosshead speed of 1 mm/min. All data were statistically analyzed using one-way analysis of variance and multiple-comparison least significant difference tests (α = 0.05). Hot-etching treatment produced higher bond strengths than the other treatment with both resin cements. The shear bond strength of all groups significantly decreased after the thermal cycling test; except for the hot-etching group that was cemented with Panavia F2.0 (p < 0.05). Surface treatment of zirconia with hot-etching solution enhanced the surface roughness and bond strength between the zirconia and the resin cement. PMID:28793699

  5. Experimental study of flash boiling spray vaporization through quantitative vapor concentration and liquid temperature measurements

    NASA Astrophysics Data System (ADS)

    Zhang, Gaoming; Hung, David L. S.; Xu, Min

    2014-08-01

    Flash boiling sprays of liquid injection under superheated conditions provide the novel solutions of fast vaporization and better air-fuel mixture formation for internal combustion engines. However, the physical mechanisms of flash boiling spray vaporization are more complicated than the droplet surface vaporization due to the unique bubble generation and boiling process inside a superheated bulk liquid, which are not well understood. In this study, the vaporization of flash boiling sprays was investigated experimentally through the quantitative measurements of vapor concentration and liquid temperature. Specifically, the laser-induced exciplex fluorescence technique was applied to distinguish the liquid and vapor distributions. Quantitative vapor concentration was obtained by correlating the intensity of vapor-phase fluorescence with vapor concentration through systematic corrections and calibrations. The intensities of two wavelengths were captured simultaneously from the liquid-phase fluorescence spectra, and their intensity ratios were correlated with liquid temperature. The results show that both liquid and vapor phase of multi-hole sprays collapse toward the centerline of the spray with different mass distributions under the flash boiling conditions. Large amount of vapor aggregates along the centerline of the spray to form a "gas jet" structure, whereas the liquid distributes more uniformly with large vortexes formed in the vicinity of the spray tip. The vaporization process under the flash boiling condition is greatly enhanced due to the intense bubble generation and burst. The liquid temperature measurements show strong temperature variations inside the flash boiling sprays with hot zones present in the "gas jet" structure and vortex region. In addition, high vapor concentration and closed vortex motion seem to have inhibited the heat and mass transfer in these regions. In summary, the vapor concentration and liquid temperature provide detailed information

  6. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  7. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  8. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    PubMed

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  9. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study

    PubMed Central

    Mirzakouchaki, Behnam; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-01-01

    Background Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. Material and Methods 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. Results The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. Conclusions The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Key words:Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch. PMID:26855704

  10. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  11. Stratospheric water vapor feedback.

    PubMed

    Dessler, A E; Schoeberl, M R; Wang, T; Davis, S M; Rosenlof, K H

    2013-11-05

    We show here that stratospheric water vapor variations play an important role in the evolution of our climate. This comes from analysis of observations showing that stratospheric water vapor increases with tropospheric temperature, implying the existence of a stratospheric water vapor feedback. We estimate the strength of this feedback in a chemistry-climate model to be +0.3 W/(m(2)⋅K), which would be a significant contributor to the overall climate sensitivity. One-third of this feedback comes from increases in water vapor entering the stratosphere through the tropical tropopause layer, with the rest coming from increases in water vapor entering through the extratropical tropopause.

  12. Stratospheric water vapor feedback

    PubMed Central

    Dessler, A. E.; Schoeberl, M. R.; Wang, T.; Davis, S. M.; Rosenlof, K. H.

    2013-01-01

    We show here that stratospheric water vapor variations play an important role in the evolution of our climate. This comes from analysis of observations showing that stratospheric water vapor increases with tropospheric temperature, implying the existence of a stratospheric water vapor feedback. We estimate the strength of this feedback in a chemistry–climate model to be +0.3 W/(m2⋅K), which would be a significant contributor to the overall climate sensitivity. One-third of this feedback comes from increases in water vapor entering the stratosphere through the tropical tropopause layer, with the rest coming from increases in water vapor entering through the extratropical tropopause. PMID:24082126

  13. Effect of Reduced Phosphoric Acid Pre-etching Times 
on Enamel Surface Characteristics and Shear Fatigue Strength Using Universal Adhesives.

    PubMed

    Tsujimoto, Akimasa; Fischer, Nicholas; Barkmeier, Wayne; Baruth, Andrew; Takamizawa, Toshiki; Latta, Mark; Miyazaki, Masashi

    2017-01-01

    To examine the effect of reduced phosphoric acid pre-etching times on enamel fatigue bond strength of universal adhesives and surface characteristics by using atomic force microscopy (AFM). Three universal adhesives were used in this study (Clearfil Universal Bond [C], G-Premio Bond [GP], Scotchbond Universal Adhesive [SU]). Four pre-etching groups were employed: enamel pre-etched with phosphoric acid and immediately rinsed with an air-water spray, and enamel pre-etched with phosphoric acid for 5, 10, or 15 s. Ground enamel was used as the control group. For the initial bond strength test, 15 specimens per etching group for each adhesive were used. For the shear fatigue test, 20 specimens per etching group for each adhesive were loaded using a sine wave at a frequency of 20 Hz for 50,000 cycles or until failure occurred. Initial shear bond strengths and fatigue shear strengths of composite adhesively bonded to ground and pre-etched enamel were determined. AFM observations of ground and pre-etched enamel were also conducted, and surface roughness as well as surface area were evaluated. The initial shear bond strengths and fatigue shear strengths of the universal adhesives in the pre-etched groups were significantly higher than those of the control group, and were not influenced by the pre-etching time. Significantly higher surface roughness and surface area of enamel surfaces in pre-etched groups were observed compared with those in the control group. While the surface area was not significantly influenced by etching time, surface roughness of the enamel surfaces in the pre-etched groups significantly increased with pre-etching time. The results of this in vitro study suggest that reduced phosphoric acid pre-etching times do not impair the fatigue bond strength of universal adhesives. Although fatigue bond strength and surface area were not influenced by phosphoric-acid etching times, surface roughness increased with increasing etching time.

  14. Laser micro-etching of metal prostheses for personal identification

    PubMed Central

    Ganapathy, Dhanraj; Sivaswamy, Vinay; Sekhar, Prathap

    2017-01-01

    Denture marking techniques play a vital role in establishing personal identification in suitable clinical and forensic situations. The denture marking techniques are categorized broadly into additive and ablative methods. Additive methods involve embedding or impregnation of markers for establishing personal identity. Ablative methods involve partial removal of the denture surface thereby providing a marking for identification. Engraving and etching methods are the commonly used ablative methods. Ablative methods can be of contact and noncontact subtypes. Laser micro-etching is a precise noncontact ablative denture marking technique that could be used for prostheses-guided personal identification. PMID:28584473

  15. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  16. Method and apparatus for vapor detection

    NASA Technical Reports Server (NTRS)

    Lerner, Melvin (Inventor); Hood, Lyal V. (Inventor); Rommel, Marjorie A. (Inventor); Pettitt, Bruce C. (Inventor); Erikson, Charles M. (Inventor)

    1980-01-01

    The method disclosed herein may be practiced by passing the vapors to be sampled along a path with halogen vapor, preferably chlorine vapor, heating the mixed vapors to halogenate those of the sampled vapors subject to halogenation, removing unreacted halogen vapor, and then sensing the vapors for organic halogenated compounds. The apparatus disclosed herein comprises means for flowing the vapors, both sample and halogen vapors, into a common path, means for heating the mixed vapors to effect the halogenation reaction, means for removing unreacted halogen vapor, and a sensing device for sensing halogenated compounds. By such a method and means, the vapors of low molecular weight hydrocarbons, ketones and alcohols, when present, such as methane, ethane, acetone, ethanol, and the like are converted, at least in part, to halogenated compounds, then the excess halogen removed or trapped, and the resultant vapors of the halogenated compounds sensed or detected. The system is highly sensitive. For example, acetone in a concentration of 30 parts per billion (volume) is readily detected.

  17. Improvement of enamel bond strengths for conventional and resin-modified glass ionomers: acid-etching vs. conditioning*

    PubMed Central

    Zhang, Ling; Tang, Tian; Zhang, Zhen-liang; Liang, Bing; Wang, Xiao-miao; Fu, Bai-ping

    2013-01-01

    Objective: This study deals with the effect of phosphoric acid etching and conditioning on enamel micro-tensile bond strengths (μTBSs) of conventional and resin-modified glass ionomer cements (GICs/RMGICs). Methods: Forty-eight bovine incisors were prepared into rectangular blocks. Highly-polished labial enamel surfaces were either acid-etched, conditioned with liquids of cements, or not further treated (control). Subsequently, two matching pre-treated enamel surfaces were cemented together with one of four cements [two GICs: Fuji I (GC), Ketac Cem Easymix (3M ESPE); two RMGICs: Fuji Plus (GC), RelyX Luting (3M ESPE)] in preparation for μTBS tests. Pre-treated enamel surfaces and cement-enamel interfaces were analyzed by scanning electron microscopy (SEM). Results: Phosphoric acid etching significantly increased the enamel μTBS of GICs/RMGICs. Conditioning with the liquids of the cements produced significantly weaker or equivalent enamel μTBS compared to the control. Regardless of etching, RMGICs yielded stronger enamel μTBS than GICs. A visible hybrid layer was found at certain enamel-cement interfaces of the etched enamels. Conclusions: Phosphoric acid etching significantly increased the enamel μTBSs of GICs/RMGICs. Phosphoric acid etching should be recommended to etch the enamel margins before the cementation of the prostheses such as inlays and onlays, using GICs/RMGICs to improve the bond strengths. RMGICs provided stronger enamel bond strength than GICs and conditioning did not increase enamel bond strength. PMID:24190447

  18. Etched beam splitters in InP/InGaAsP.

    PubMed

    Norberg, Erik J; Parker, John S; Nicholes, Steven C; Kim, Byungchae; Krishnamachari, Uppiliappan; Coldren, Larry A

    2011-01-17

    An etched beam splitter (EBS) photonic coupler based on frustrated total internal reflection (FTIR) is designed, fabricated and characterized in the InP/InGaAsP material system. The EBS offers an ultra compact footprint (8x11 μm) and a complete range of bar/cross coupling ratio designs. A novel pre-etching process is developed to achieve sufficient depth of the etched coupling gaps. Fabricated EBS couplers demonstrate insertion loss between 1 and 2.6 dB with transmission (cross-coupling) ≤ 10%. The results show excellent agreement with 3D finite-difference time-domain (FDTD) modeling. The coupling of EBS has weak wavelength dependence in the C-band, making it suitable for wavelength division multiplexing (WDM) or other wide bandwidth applications. Finally, the EBS is integrated with active semiconductor optical amplifier (SOA) and phase-modulator components; using a flattened ring resonator structure, a channelizing filter tunable in both amplitude and center frequency is demonstrated, as well as an EBS coupled ring laser.

  19. Experiences of marijuana-vaporizer users.

    PubMed

    Malouff, John M; Rooke, Sally E; Copeland, Jan

    2014-01-01

    Using a marijuana vaporizer may have potential harm-reduction advantages on smoking marijuana, in that the user does not inhale smoke. Little research has been published on use of vaporizers. In the first study of individuals using a vaporizer on their own initiative, 96 adults anonymously answered questions about their experiences with a vaporizer and their use of marijuana with tobacco. Users identified 4 advantages to using a vaporizer over smoking marijuana: perceived health benefits, better taste, no smoke smell, and more effect from the same amount of marijuana. Users identified 2 disadvantages: inconvenience of setup and cleaning and the time it takes to get the device operating for each use. Only 2 individuals combined tobacco in the vaporizer mix, whereas 15 combined tobacco with marijuana when they smoked marijuana. Almost all participants intended to continue using a vaporizer. Vaporizers seem to have appeal to marijuana users, who perceive them as having harm-reduction and other benefits. Vaporizers are worthy of experimental research evaluating health-related effects of using them.

  20. Prediction of silicon oxynitride plasma etching using a generalized regression neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Lee, Byung Teak

    2005-08-01

    A prediction model of silicon oxynitride (SiON) etching was constructed using a neural network. Model prediction performance was improved by means of genetic algorithm. The etching was conducted in a C2F6 inductively coupled plasma. A 24 full factorial experiment was employed to systematically characterize parameter effects on SiON etching. The process parameters include radio frequency source power, bias power, pressure, and C2F6 flow rate. To test the appropriateness of the trained model, additional 16 experiments were conducted. For comparison, four types of statistical regression models were built. Compared to the best regression model, the optimized neural network model demonstrated an improvement of about 52%. The optimized model was used to infer etch mechanisms as a function of parameters. The pressure effect was noticeably large only as relatively large ion bombardment was maintained in the process chamber. Ion-bombardment-activated polymer deposition played the most significant role in interpreting the complex effect of bias power or C2F6 flow rate. Moreover, [CF2] was expected to be the predominant precursor to polymer deposition.