Sample records for vapor plasma process

  1. Preparation Of Sources For Plasma Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Waters, William J.; Sliney, Hal; Kowalski, D.

    1993-01-01

    Multicomponent metal targets serving as sources of vapor for plasma vapor deposition made in modified pressureless-sintering process. By use of targets made in modified process, one coats components with materials previously plasma-sprayed or sintered but not plasma-vapor-deposited.

  2. Plasma Spray-PVD: A New Thermal Spray Process to Deposit Out of the Vapor Phase

    NASA Astrophysics Data System (ADS)

    von Niessen, Konstantin; Gindrat, Malko

    2011-06-01

    Plasma spray-physical vapor deposition (PS-PVD) is a low pressure plasma spray technology recently developed by Sulzer Metco AG (Switzerland). Even though it is a thermal spray process, it can deposit coatings out of the vapor phase. The basis of PS-PVD is the low pressure plasma spraying (LPPS) technology that has been well established in industry for several years. In comparison to conventional vacuum plasma spraying (VPS) or low pressure plasma spraying (LPPS), the new proposed process uses a high energy plasma gun operated at a reduced work pressure of 0.1 kPa (1 mbar). Owing to the high energy plasma and further reduced work pressure, PS-PVD is able to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional physical vapor deposition (PVD) technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and electron beam-physical vapor deposition (EB-PVD) coatings. In contrast to EB-PVD, PS-PVD incorporates the vaporized coating material into a supersonic plasma plume. Owing to the forced gas stream of the plasma jet, complex shaped parts such as multi-airfoil turbine vanes can be coated with columnar thermal barrier coatings using PS-PVD. Even shadowed areas and areas which are not in the line of sight of the coating source can be coated homogeneously. This article reports on the progress made by Sulzer Metco in developing a thermal spray process to produce coatings out of the vapor phase. Columnar thermal barrier coatings made of Yttria-stabilized Zircona (YSZ) are optimized to serve in a turbine engine. This process includes not only preferable coating properties such as strain tolerance and erosion

  3. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  4. Plasma-Powder Feedstock Interaction During Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Hongbo; Zhang, Baopeng

    2017-02-01

    Plasma spray-physical vapor deposition is a new process developed to produce coatings from the vapor phase. To achieve deposition from the vapor phase, the plasma-feedstock interaction inside the plasma torch, i.e., from the powder injection point to the nozzle exit, is critical. In this work, the plasma characteristics and the momentum and heat transfer between the plasma and powder feedstock at different torch input power levels were investigated theoretically to optimize the net plasma torch power, among other important factors such as the plasma gas composition, powder feed rate, and carrier gas. The plasma characteristics were calculated using the CEA2 code, and the plasma-feedstock interaction was studied inside the torch nozzle at low-pressure (20-25 kPa) conditions. A particle dynamics model was introduced to compute the particle velocity, coupled with Xi Chen's drag model for nonevaporating particles. The results show that the energy transferred to the particles and the coating morphology are greatly influenced by the plasma gas characteristics and the particle dynamics inside the nozzle. The heat transfer between the plasma gas and feedstock material increased with the net torch power up to an optimum at 64 kW, at which a maximum of 3.4% of the available plasma energy was absorbed by the feedstock powder. Experimental results using agglomerated 7-8 wt.% yttria-stabilized zirconia (YSZ) powder as feedstock material confirmed the theoretical predictions.

  5. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  6. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    NASA Technical Reports Server (NTRS)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (< 10 microns) single layers to be deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  7. Effects of additional vapors on sterilization of microorganism spores with plasma-excited neutral gas

    NASA Astrophysics Data System (ADS)

    Matsui, Kei; Ikenaga, Noriaki; Sakudo, Noriyuki

    2015-01-01

    Some fundamental experiments are carried out in order to develop a plasma process that will uniformly sterilize both the space and inner wall of the reactor chamber at atmospheric pressure. Air, oxygen, argon, and nitrogen are each used as the plasma source gas to which mixed vapors of water and ethanol at different ratios are added. The reactor chamber is remotely located from the plasma area and a metal mesh for eliminating charged particles is installed between them. Thus, only reactive neutral particles such as plasma-excited gas molecules and radicals are utilized. As a result, adding vapors to the source gas markedly enhances the sterilization effect. In particular, air with water and/or ethanol vapor and oxygen with ethanol vapor show more than 6-log reduction for Geobacillus stearothermophilus spores.

  8. CHMWTR: A Plasma Chemistry Code for Water Vapor

    DTIC Science & Technology

    2012-02-01

    Naval Research Laboratory Washington, DC 20375-5320 NRL/MR/6790--12-9383 CHMWTR: A Plasma Chemistry Code for Water Vapor Daniel F. GorDon Michael...NUMBER OF PAGES 17. LIMITATION OF ABSTRACT CHMWTR: A Plasma Chemistry Code for Water Vapor Daniel F. Gordon, Michael H. Helle, Theodore G. Jones, and K...October 2011 NRL *Directed Energy Scholar, Directed Energy Professional Society Plasma chemistry Breakdown field Conductivity 67-4270-02 CHMWTR: a Plasma

  9. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    NASA Astrophysics Data System (ADS)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  10. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  11. Development of plasma chemical vaporization machining

    NASA Astrophysics Data System (ADS)

    Mori, Yuzo; Yamauchi, Kazuto; Yamamura, Kazuya; Sano, Yasuhisa

    2000-12-01

    Conventional machining processes, such as turning, grinding, or lapping are still applied for many materials including functional ones. But those processes are accompanied with the formation of a deformed layer, so that machined surfaces cannot perform their original functions. In order to avoid such points, plasma chemical vaporization machining (CVM) has been developed. Plasma CVM is a chemical machining method using neutral radicals, which are generated by the atmospheric pressure plasma. By using a rotary electrode for generation of plasma, a high density of neutral radicals was formed, and we succeeded in obtaining high removal rate of several microns to several hundred microns per minute for various functional materials such as fused silica, single crystal silicon, molybdenum, tungsten, silicon carbide, and diamond. Especially, a high removal rate equal to lapping in the mechanical machining of fused silica and silicon was realized. 1.4 nm (p-v) was obtained as a surface roughness in the case of machining a silicon wafer. The defect density of a silicon wafer surface polished by various machining method was evaluated by the surface photo voltage spectroscopy. As a result, the defect density of the surface machined by plasma CVM was under 1/100 in comparison with the surface machined by mechanical polishing and argon ion sputtering, and very low defect density which was equivalent to the chemical etched surface was realized. A numerically controlled CVM machine for x-ray mirror fabrication is detailed in the accompanying article in this issue.

  12. Thomson scattering diagnostics of steady state and pulsed welding processes without and with metal vapor

    NASA Astrophysics Data System (ADS)

    Kühn-Kauffeldt, M.; Marqués, J.-L.; Schein, J.

    2015-01-01

    Thomson scattering is applied to measure temperature and density of electrons in the arc plasma of the direct current gas tungsten arc welding (GTAW) process and pulsed gas metal arc welding (GMAW) process. This diagnostic technique allows to determine these plasma parameters independent from the gas composition and heavy particles temperature. The experimental setup is adapted to perform measurements on stationary as well as transient processes. Spatial and temporal electron temperature and density profiles of a pure argon arc in the case of the GTAW process and argon arc with the presence of aluminum metal vapor in the case of the GMAW process were obtained. Additionally the data is used to estimate the concentration of the metal vapor in the GMAW plasma.

  13. Cold plasma processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.; Bullard, D.; Ortega, R.

    1990-01-01

    The utilization of a cold plasma in chlorination processing is described. Essential equipment and instruments were received, the experimental apparatus assembled and tested, and preliminary experiments conducted. The results of the latter lend support to the original hypothesis: a cold plasma can both significantly enhance and bias chemical reactions. In two separate experiments, a cold plasma was used to reduce TiCl4 vapor and chlorinate ilmenite. The latter, reacted in an argon-chlorine plasma, yielded oxygen. The former experiment reveals that chlorine can be recovered as HCl vapor from metal chlorides in a hydrogen plasma. Furthermore, the success of the hydrogen experiments has lead to an analysis of the feasibility of direct hydrogen reduction of metal oxides in a cold plasma. That process would produce water vapor and numerous metal by-products.

  14. Recirculating wedges for metal-vapor plasma tubes

    DOEpatents

    Hall, Jerome P.; Sawvel, Robert M.; Draggoo, Vaughn G.

    1994-01-01

    A metal vapor laser is disclosed that recycles condensed metal located at the terminal ends of a plasma tube back toward the center of the tube. A pair of arcuate wedges are incorporated on the bottom of the plasma tube near the terminal ends. The wedges slope downward toward the center so that condensed metal may be transported under the force of gravity away from the terminal ends. The wedges are curved to fit the plasma tube to thereby avoid forming any gaps within the tube interior.

  15. Recirculating wedges for metal-vapor plasma tubes

    DOEpatents

    Hall, J.P.; Sawvel, R.M.; Draggoo, V.G.

    1994-06-28

    A metal vapor laser is disclosed that recycles condensed metal located at the terminal ends of a plasma tube back toward the center of the tube. A pair of arcuate wedges are incorporated on the bottom of the plasma tube near the terminal ends. The wedges slope downward toward the center so that condensed metal may be transported under the force of gravity away from the terminal ends. The wedges are curved to fit the plasma tube to thereby avoid forming any gaps within the tube interior. 8 figures.

  16. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    NASA Astrophysics Data System (ADS)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  17. Plasma reforming and partial oxidation of hydrocarbon fuel vapor to produce synthesis gas and/or hydrogen gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2003-08-19

    Methods and systems for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  18. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  19. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers.

    PubMed

    Matthews, Kristopher; Cruden, Brett A; Chen, Bin; Meyyappan, M; Delzeit, Lance

    2002-10-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  20. Plasma-enhanced chemical vapor deposition of multiwalled carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Matthews, Kristopher; Cruden, Brett A.; Chen, Bin; Meyyappan, M.; Delzeit, Lance

    2002-01-01

    Plasma-enhanced chemical vapor deposition is used to grow vertically aligned multiwalled carbon nanofibers (MWNFs). The graphite basal planes in these nanofibers are not parallel as in nanotubes; instead they exhibit a small angle resembling a stacked cone arrangement. A parametric study with varying process parameters such as growth temperature, feedstock composition, and substrate power has been conducted, and these parameters are found to influence the growth rate, diameter, and morphology. The well-aligned MWNFs are suitable for fabricating electrode systems in sensor and device development.

  1. Plasma Reforming And Partial Oxidation Of Hydrocarbon Fuel Vapor To Produce Synthesis Gas And/Or Hydrogen Gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2004-10-19

    Methods and systems are disclosed for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  2. A Self Consistent RF Discharge, Plasma Chemistry and Surface Model for Plasma Enhanced Chemical Vapor Deposition

    DTIC Science & Technology

    1988-06-30

    consists of three submodels for the electron kinetics, plasma chemistry , and surface deposition kinetics for a-Si:H deposited from radio frequency...properties. Plasma enhanced, Chemical vapor deposition, amorphous silicon, Modeling, Electron kinetics, Plasma chemistry , Deposition kinetics, Rf discharge, Silane, Film properties, Silicon.

  3. Upstream Density for Plasma Detachment with Conventional and Lithium Vapor-Box Divertors

    NASA Astrophysics Data System (ADS)

    Goldston, Rj; Schwartz, Ja

    2016-10-01

    Fusion power plants are likely to require detachment of the divertor plasma from material targets. The lithium vapor box divertor is designed to achieve this, while limiting the flux of lithium vapor to the main plasma. We develop a simple model of near-detachment to evaluate the required upstream plasma density, for both conventional and lithium vapor-box divertors, based on particle and dynamic pressure balance between up- and down-stream, at near-detachment conditions. A remarkable general result is found, not just for lithium-induced detachment, that the upstream density divided by the Greenwald-limit density scales as (P 5 / 8 /B 3 / 8) Tdet1 / 2 / (ɛcool + γTdet) , with no explicit size scaling. Tdet is the temperature just before strong pressure loss, 1/2 of the ionization potential of the dominant recycling species, ɛcool is the average plasma energy lost per injected hydrogenic and impurity atom, and γ is the sheath heat transmission factor. A recent 1-D calculation agrees well with this scaling. The implication is that the plasma exhaust problem cannot be solved by increasing R. Instead significant innovation, such as the lithium vapor box divertor, will be required. This work supported by DOE Contract No. DE-AC02-09CH11466.

  4. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  5. Effect of Plasma Treatment on Air and Water-Vapor Permeability of Bamboo Knitted Fabric

    NASA Astrophysics Data System (ADS)

    Prakash, C.; Ramakrishnan, G.; Chinnadurai, S.; Vignesh, S.; Senthilkumar, M.

    2013-11-01

    In this paper, the effects of oxygen and atmospheric plasma on air and water-vapor permeability properties of single jersey bamboo fabric have been investigated. The changes in these properties are believed to be related closely to the inter-fiber and inter-yarn friction force induced by the plasma treatments. The outcomes showed that the water-vapor permeability increased, although the air permeability decreased along with the plasma treatments. The SEM images clearly showed that the plasma modified the fiber surface outwardly. The results showed that the atmospheric plasma has an etching effect and increases the functionality of a bamboo surface, which is evident from SEM and FTIR-ATR analysis. These results reveal that atmospheric pressure plasma treatment is an effective method to improve the performance of bamboo fabric. Statistical analysis also indicates that the results are significant for air permeability and water-vapor permeability of the plasma-treated bamboo fabric.

  6. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  7. Vapors and Droplets Mixture Deposition of Metallic Coatings by Very Low Pressure Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Vautherin, B.; Planche, M.-P.; Bolot, R.; Quet, A.; Bianchi, L.; Montavon, G.

    2014-04-01

    In recent years, the very low pressure plasma-spraying (VLPPS) process has been intensely developed and implemented to manufacture thin, dense and finely structured ceramic coatings for various applications, such as Y2O3 for diffusion barriers, among other examples. This paper aims at presenting developments carried out on metallic coatings. Aluminum was chosen as a demonstrative material due to its "moderate" vaporization enthalpy (i.e., 38.23 KJ cm-3) compared to the one of copper (i.e., 55.33 KJ cm-3), cobalt (i.e., 75.03 KJ cm-3), or even tantalum (i.e., 87.18 KJ cm-3). The objective of this work is primarily to better understand the behavior of a solid precursor injected into the plasma jet leading to the formation of vapors and to better control the factors affecting the coating structure. Nearly dense aluminum coatings were successfully deposited by VLPPS at 100 Pa with an intermediate power plasma torch (i.e., Sulzer Metco F4 type gun with maximum power of 45 kW). Optical emission spectroscopy (OES) was implemented to study and analyze the vapor behavior into the plasma jet. Simplified CFD modeling allowed better understanding of some of the thermo-physical mechanisms. The effect of powder-size distribution, substrate temperature and spray distance were studied. The phase composition and microstructural features of the coatings were characterized by XRD and SEM. Moreover, Vickers microhardness measurements were implemented.

  8. Method of processing materials using an inductively coupled plasma

    DOEpatents

    Hull, D.E.; Bieniewski, T.M.

    1987-04-13

    A method of processing materials. The invention enables ultrafine, ultrapure powders to be formed from solid ingots in a gas free environment. A plasma is formed directly from an ingot which insures purity. The vaporized material is expanded through a nozzle and the resultant powder settles on a cold surface. An inductively coupled plasma may also be used to process waste chemicals. Noxious chemicals are directed through a series of plasma tubes, breaking molecular bonds and resulting in relatively harmless atomic constituents. 3 figs.

  9. Recent developments in plasma spray processes for applications in energy technology

    NASA Astrophysics Data System (ADS)

    Mauer, G.; Jarligo, M. O.; Marcano, D.; Rezanka, S.; Zhou, D.; Vaßen, R.

    2017-03-01

    This work focuses on recent developments of plasma spray processes with respect to specific demands in energy technology. High Velocity Atmospheric Plasma Spraying (HV-APS) is a novel variant of plasma spraying devoted to materials which are prone to oxidation or decomposition. It is shown how this process can be used for metallic bondcoats in thermal barrier coating systems. Furthermore, Suspension Plasma Spraying (SPS) is a new method to process submicron-sized feedstock powders which are not sufficiently flowable to feed them in dry state. SPS is presently promoted by the development of novel torch concepts with axial feedstock injection. An example for a columnar structured double layer thermal barrier coating is given. Finally, Plasma Spray-Physical Vapor Deposition (PS-PVD) is a novel technology operating in controlled atmosphere at low pressure and high plasma power. At such condition, vaporization even of high-melting oxide ceramics is possible enabling the formation of columnar structured, strain tolerant coatings with low thermal conductivity. Applying different conditions, the deposition is still dominated by liquid splats. Such process is termed Low Pressure Plasma Spraying-Thin Film (LPPS-TF). Two examples of applications are gas-tight and highly ionic and electronic conductive electrolyte and membrane layers which were deposited on porous metallic substrates.

  10. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  11. Optical emission diagnostics of plasmas in chemical vapor deposition of single-crystal diamond

    DOE PAGES

    Hemawan, Kadek W.; Hemley, Russell J.

    2015-08-03

    Here, a key aspect of single crystal diamond growth via microwave plasma chemical vapor deposition is in-process control of the local plasma-substrate environment, that is, plasma gas phase concentrations of activated species at the plasma boundary layer near the substrate surface. Emission spectra of the plasma relative to the diamond substrate inside the microwave plasma reactor chamber have been analyzed via optical emission spectroscopy. The spectra of radical species such as CH, C 2, and H (Balmer series) important for diamond growth were found to be more depndent on operating pressure than on microwave power. Plasma gas temperatures were calculatedmore » from measurements of the C 2 Swan band (d 3Π → a 3Π transition) system. The plasma gas temperature ranges from 2800 to 3400 K depending on the spatial location of the plasma ball, microwave power and operating pressure. Addition of Ar into CH 4 + H 2 plasma input gas mixture has little influence on the Hα, Hβ, and Hγ intensities and single-crystal diamond growth rates.« less

  12. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    NASA Astrophysics Data System (ADS)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  13. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    NASA Astrophysics Data System (ADS)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  14. The lithium vapor box divertor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goldston, R. J.; Myers, R.; Schwartz, J.

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Our recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m -2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et almore » as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. Furthermore, at the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required in order to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.« less

  15. The lithium vapor box divertor

    NASA Astrophysics Data System (ADS)

    Goldston, R. J.; Myers, R.; Schwartz, J.

    2016-02-01

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m-2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et al as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. At the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.

  16. The lithium vapor box divertor

    DOE PAGES

    Goldston, R. J.; Myers, R.; Schwartz, J.

    2016-01-13

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Our recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m -2, implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et almore » as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. Furthermore, at the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required in order to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma.« less

  17. Growth of vertically aligned carbon nanofibers by low-pressure inductively coupled plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Caughman, J. B. O.; Baylor, L. R.; Guillorn, M. A.; Merkulov, V. I.; Lowndes, D. H.; Allard, L. F.

    2003-08-01

    Vertically aligned carbon nanofibers (VACNFs) have been grown using a low-pressure, plasma-enhanced, chemical vapor deposition process. The nanofibers are grown from a nickel catalyst that can be patterned to form arrays of individual, isolated VACNFs. The fibers are grown at pressures below 100 mTorr, using an inductively coupled plasma source with a radio-frequency bias on the sample substrate to allow for independent control of the ion energies. Plasma conditions are related to growth results by comparing optical emission from the plasma to the physical structure of the nanofibers. We find that the ratio of etching species in the plasma to depositing species is critical to the final shape of the carbon structures that are formed.

  18. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  19. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    NASA Technical Reports Server (NTRS)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  20. Plasma Spraying of Ceramics with Particular Difficulties in Processing

    NASA Astrophysics Data System (ADS)

    Mauer, G.; Schlegel, N.; Guignard, A.; Jarligo, M. O.; Rezanka, S.; Hospach, A.; Vaßen, R.

    2015-01-01

    Emerging new applications and growing demands of plasma-sprayed coatings initiate the development of new materials. Regarding ceramics, often complex compositions are employed to achieve advanced material properties, e.g., high thermal stability, low thermal conductivity, high electronic and ionic conductivity as well as specific thermo-mechanical properties and microstructures. Such materials however, often involve particular difficulties in processing by plasma spraying. The inhomogeneous dissociation and evaporation behavior of individual constituents can lead to changes of the chemical composition and the formation of secondary phases in the deposited coatings. Hence, undesired effects on the coating characteristics are encountered. In this work, examples of such challenging materials are investigated, namely pyrochlores applied for thermal barrier coatings as well as perovskites for gas separation membranes. In particular, new plasma spray processes like suspension plasma spraying and plasma spray-physical vapor deposition are considered. In some cases, plasma diagnostics are applied to analyze the processing conditions.

  1. Spectral diagnostics of a vapor-plasma plume produced during welding titanium with a high-power ytterbium fiber laser

    NASA Astrophysics Data System (ADS)

    Uspenskiy, S. A.; Petrovskiy, V. N.; Bykovskiy, D. P.; Mironov, V. D.; Prokopova, N. M.; Tret'yakov, E. V.

    2015-03-01

    This work is devoted to the research of welding plume during high power ytterbium fiber laser welding of a titanium alloy in the Ar shielding gas environment. High speed video observation of a vapor-plasma plume for visualization of processes occurring at laser welding was carried out. The coefficient of the inverse Bremsstrahlung absorption of laser radiation is calculated for a plasma welding plume by results of spectrometer researches. The conclusion deals with the impact of plasma on a high-power fiber laser radiation.

  2. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  3. Mechanism analysis on finishing of reaction-sintered silicon carbide by combination of water vapor plasma oxidation and ceria slurry polishing

    NASA Astrophysics Data System (ADS)

    Shen, Xinmin; Tu, Qunzhang; Deng, Hui; Jiang, Guoliang; Yamamura, Kazuya

    2015-05-01

    Reaction-sintered silicon carbide (RS-SiC), which is considered as a promising mirror material for space telescope systems, requires a high surface property. An ultrasmooth surface with a Ra surface roughness of 0.480 nm was obtained after water vapor plasma oxidation for 90 min followed by ceria slurry polishing for 40 min. The oxidation process of RS-SiC by water vapor plasma was analyzed based on the Deal-Grove model, and the theoretical calculation results are consistent with the measured data obtained by scanning white light interferometer (SWLI), scanning electron microscopy/energy-dispersive x-ray, and atomic force microscope. The polishing process of oxidized RS-SiC by ceria slurry was investigated according to the Preston equation, which would theoretically forecast the evolutions of RS-SiC surfaces along with the increasing of polishing time, and it was experimentally verified by comparing the surface roughnesses obtained by SWLI and the surface morphologies obtained by SEM. The mechanism analysis on the finishing of RS-SiC would be effective for the optimization of water vapor plasma oxidation parameters and ceria slurry polishing parameters, which will promote the application of RS-SiC substrates by improving the surface property obtained by the oxidation-assisted polishing method.

  4. Atomic vapor laser isotope separation process

    DOEpatents

    Wyeth, R.W.; Paisner, J.A.; Story, T.

    1990-08-21

    A laser spectroscopy system is utilized in an atomic vapor laser isotope separation process. The system determines spectral components of an atomic vapor utilizing a laser heterodyne technique. 23 figs.

  5. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    PubMed

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  6. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  7. Ceramic Top Coats of Plasma-Sprayed Thermal Barrier Coatings: Materials, Processes, and Properties

    NASA Astrophysics Data System (ADS)

    Bakan, Emine; Vaßen, Robert

    2017-08-01

    The ceramic top coat has a major influence on the performance of the thermal barrier coating systems (TBCs). Yttria-partially-stabilized zirconia (YSZ) is the top coat material frequently used, and the major deposition processes of the YSZ top coat are atmospheric plasma spraying and electron beam physical vapor deposition. Recently, also new thermal spray processes such as suspension plasma spraying or plasma spray-physical vapor deposition have been intensively investigated for TBC top coat deposition. These new processes and particularly the different coating microstructures that can be deposited with them will be reviewed in this article. Furthermore, the properties and the intrinsic-extrinsic degradation mechanisms of the YSZ will be discussed. Following the TBC deposition processes and standard YSZ material, alternative ceramic materials such as perovskites and hexaaluminates will be summarized, while properties of pyrochlores with regard to their crystal structure will be discussed more in detail. The merits of the pyrochlores such as good CMAS resistance as well as their weaknesses, e.g., low fracture toughness, processability issues, will be outlined.

  8. Energy recovery from waste glycerol by utilizing thermal water vapor plasma.

    PubMed

    Tamošiūnas, Andrius; Valatkevičius, Pranas; Gimžauskaitė, Dovilė; Jeguirim, Mejdi; Mėčius, Vladas; Aikas, Mindaugas

    2017-04-01

    Glycerol, considered as a waste feedstock resulting from biodiesel production, has received much attention in recent years due to its properties, which offer to recover energy. The aim of this study was to investigate the use of a thermal water vapor plasma for waste (crude) glycerol conversion to synthesis gas, or syngas (H 2  + CO). In parallel of crude glycerol, a pure glycerol (99.5%) was used as a reference material in order to compare the concentrations of the formed product gas. A direct current (DC) arc plasma torch stabilized by a mixture of argon/water vapor was utilized for the effective glycerol conversion to hydrogen-rich synthesis gas. It was found that after waste glycerol treatment, the main reaction products were gases with corresponding concentrations of H 2 50.7%, CO 23.53%, CO 2 11.45%, and CH 4 3.82%, and traces of C 2 H 2 and C 2 H 6 , which concentrations were below 0.5%. The comparable concentrations of the formed gas products were obtained after pure glycerol conversion-H 2 46.4%, CO 26.25%, CO 2 11.3%, and CH 4 4.7%. The use of thermal water vapor plasma producing synthesis gas is an effective method to recover energy from both crude and pure glycerol. The performance of the glycerol conversion system was defined in terms of the produced gas yield, the carbon conversion efficiency, the cold gas efficiency, and the specific energy requirements.

  9. Kinetics of wet sodium vapor complex plasma

    NASA Astrophysics Data System (ADS)

    Mishra, S. K.; Sodha, M. S.

    2014-04-01

    In this paper, we have investigated the kinetics of wet (partially condensed) Sodium vapor, which comprises of electrons, ions, neutral atoms, and Sodium droplets (i) in thermal equilibrium and (ii) when irradiated by light. The formulation includes the balance of charge over the droplets, number balance of the plasma constituents, and energy balance of the electrons. In order to evaluate the droplet charge, a phenomenon for de-charging of the droplets, viz., evaporation of positive Sodium ions from the surface has been considered in addition to electron emission and electron/ion accretion. The analysis has been utilized to evaluate the steady state parameters of such complex plasmas (i) in thermal equilibrium and (ii) when irradiated; the results have been graphically illustrated. As a significant outcome irradiated, Sodium droplets are seen to acquire large positive potential, with consequent enhancement in the electron density.

  10. Fabrication of small complex-shaped optics by plasma chemical vaporization machining with a microelectrode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takino, Hideo; Shibata, Norio; Itoh, Hiroshi

    2006-08-10

    We have developed plasma chemical vaporization machining by using a microelectrode for the fabrication of small complex-shaped optical surfaces. In this method, a0.5 mm diameter pipe microelectrode, from which processing gas is drawn in, generates a small localized plasma that is scanned over a work piece under numerical computer control to shape a desired surface. A12 mmx12 mm nonaxisymmetric mirror with a maximum depth of approximately 3 {mu}m was successfully fabricated with a peak-to-valley shape accuracy of 0.04 {mu}m in an area excluding the edges of the mirror. The average surface roughness was 0.58 nm, which is smooth enough formore » optical use.« less

  11. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  12. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    NASA Astrophysics Data System (ADS)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  13. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  14. Room temperature deposition of silicon nanodot clusters by plasma-enhanced chemical vapor deposition.

    PubMed

    Kim, Jae-Kwan; Kim, Jun Young; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-10-01

    The formation of nanometer-scale (ns)-Si dots and clusters on p-GaN layers has been studied by controlling the early stage of growth during plasma-enhanced chemical vapor deposition (PECVD) at room temperature. We found that ns-Si dots and clusters formed on the p-GaN surface, indicating that growth was the Volmer-Weber mode. The deposition parameters such as radio frequency (RF) power and processing time mainly influenced the size of the ns-Si dots (40 nm-160 nm) and the density of the ns-Si dot clusters.

  15. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  16. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    NASA Astrophysics Data System (ADS)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  17. Thermodynamic Properties and Transport Coefficients of Nitrogen, Hydrogen and Helium Plasma Mixed with Silver Vapor

    NASA Astrophysics Data System (ADS)

    Zhou, Xue; Cui, Xinglei; Chen, Mo; Zhai, Guofu

    2016-05-01

    Species composites of Ag-N2, Ag-H2 and Ag-He plasmas in the temperature range of 3,000-20,000 K and at 1 atmospheric pressure were calculated by using the minimization of Gibbs free energy. Thermodynamic properties and transport coefficients of nitrogen, hydrogen and helium plasmas mixed with a variety of silver vapor were then calculated based on the equilibrium composites and collision integral data. The calculation procedure was verified by comparing the results obtained in this paper with the published transport coefficients on the case of pure nitrogen plasma. The influences of the silver vapor concentration on composites, thermodynamic properties and transport coefficients were finally analyzed and summarized for all the three types of plasmas. Those physical properties were important for theoretical study and numerical calculation on arc plasma generated by silver-based electrodes in those gases in sealed electromagnetic relays and contacts. supported by National Natural Science Foundation of China (Nos. 51277038 and 51307030)

  18. Process for recovering organic vapors from air

    DOEpatents

    Baker, Richard W.

    1985-01-01

    A process for recovering and concentrating organic vapor from a feed stream of air having an organic vapor content of no more than 20,000 ppm by volume. A thin semipermeable membrane is provided which has a feed side and a permeate side, a selectivity for organic vapor over air of at least 50, as measured by the ratio of organic vapor permeability to nitrogen permeability, and a permeability of organic vapor of at least 3.times.10.sup.-7 cm.sup.3 (STP) cm/cm.sup.2 sec.cm Hg. The feed stream is passed across the feed side of the thin semipermeable membrane while providing a pressure on the permeate side which is lower than the feed side by creating a partial vacuum on the permeate side so that organic vapor passes preferentially through the membrane to form an organic vapor depleted air stream on the feed side and an organic vapor enriched stream on the permeate side. The organic vapor which has passed through the membrane is compressed and condensed to recover the vapor as a liquid.

  19. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  20. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  1. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  2. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, D.S.; Schubert, W.K.; Gee, J.M.

    1999-02-16

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas. 5 figs.

  3. Multistage plasma initiation process by pulsed CO2 laser irradiation of a Ti sample in an ambient gas (He, Ar, or N2)

    NASA Astrophysics Data System (ADS)

    Hermann, J.; Boulmer-Leborgne, C.; Mihailescu, I. N.; Dubreuil, B.

    1993-02-01

    New experimental results are reported on plasma initiation in front of a titanium sample irradiated by ir (λ=10.6 μm) laser pulses in an ambient gas (He, Ar, and N2) at pressures ranging from several Torr up to the atmosphere. The plasma is studied by space- and time-resolved emission spectroscopy, while sample vaporization is probed by laser-induced fluorescence spectroscopy. Threshold laser intensities leading to the formation of a plasma in the vapor and in the ambient gases are determined. Experimental results support the model of a vaporization mechanism for the plasma initiation (vaporization-initiated plasma breakdown). The plasma initiation is described by simple numerical criteria based on a two-stage process. Theoretical predictions are found to be in a reasonable agreement with the experiment. This study provides also a clear explanation of the influence of the ambient gas on the laser beam-metal surface energy transfer. Laser irradiation always causes an important vaporization when performed in He, while in the case of Ar or N2, the interaction is reduced in heating and vaporization of some surface defects and impurities.

  4. Process for vaporizing a liquid hydrocarbon fuel

    DOEpatents

    Szydlowski, Donald F.; Kuzminskas, Vaidotas; Bittner, Joseph E.

    1981-01-01

    The object of the invention is to provide a process for vaporizing liquid hydrocarbon fuels efficiently and without the formation of carbon residue on the apparatus used. The process includes simultaneously passing the liquid fuel and an inert hot gas downwardly through a plurality of vertically spaed apart regions of high surface area packing material. The liquid thinly coats the packing surface, and the sensible heat of the hot gas vaporizes this coating of liquid. Unvaporized liquid passing through one region of packing is uniformly redistributed over the top surface of the next region until all fuel has been vaporized using only the sensible heat of the hot gas stream.

  5. Estimated vapor pressure for WTP process streams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pike, J.; Poirier, M.

    Design assumptions during the vacuum refill phase of the Pulsed Jet Mixers (PJMs) in the Hanford Waste Treatment and Immobilization Plant (WTP) equate the vapor pressure of all process streams to that of water when calculating the temperature at which the vacuum refill is reduced or eliminated. WTP design authority asked the authors to assess this assumption by performing calculations on proposed feed slurries to calculate the vapor pressure as a function of temperature. The vapor pressure was estimated for each WTP waste group. The vapor pressure suppression caused by dissolved solids is much greater than the increase caused bymore » organic components such that the vapor pressure for all of the waste group compositions is less than that of pure water. The vapor pressure for each group at 145°F ranges from 81% to 98% of the vapor pressure of water. If desired, the PJM could be operated at higher temperatures for waste groups with high dissolved solids that suppress vapor pressure. The SO4 group with the highest vapor pressure suppression could be operated up to 153°F before reaching the same vapor pressure of water at 145°F. However, most groups would reach equivalent vapor pressure at 147 to 148°F. If any of these waste streams are diluted, the vapor pressure can exceed the vapor pressure of water at mass dilution ratios greater than 10, but the overall effect is less than 0.5%.« less

  6. Behavior and structure of metal vapor arc plasma between molten electrodes

    NASA Astrophysics Data System (ADS)

    Zanner, F. J.; Williamson, R. L.; Hareland, W. A.; Bertram, L. A.

    A metal vapor arc is utilized in the industrially important vacuum arc remelting (VAR) process to produce materials by melting and resolidification which have improved structure and chemical homogeneity. Homogeneity is dependent on achieving quasi-steady conditions in the plasma because of its thermal and MHD coupling with the molten pool atop the ingot. Optimal operating conditions of low pressure (approx. = 0.01 torr) and short electrode gap (less than 15 mm) produce a diffuse arc and cathode spot behavior similar to that observed for the vacuum breaker arc. Under these conditions the arc provides a quasi-steady heat source that is considered to be the bench mark arc of the VAR process. Previous work has shown that deviation from the bench mark arc behavior can occur under production conditions, and is caused by electrode irregularities and liberation of gases such as CO from the molten pool. This study is an effort to characterize these behavioral deviations and discover operational conditions which stabilize the bench mark arc.

  7. Optical in situ monitoring of plasma-enhanced atomic layer deposition process

    NASA Astrophysics Data System (ADS)

    Zeeshan Arshad, Muhammad; Jo, Kyung Jae; Kim, Hyun Gi; Jeen Hong, Sang

    2018-06-01

    An optical in situ process monitoring method for the early detection of anomalies in plasma process equipment is presented. Cyclic process steps of precursor treatment and plasma reaction for the deposition of an angstrom-scale film increase their complexity to ensure the process quality. However, a small deviation in process parameters, for instance, gas flow rate, process temperature, or RF power, may jeopardize the deposited film quality. As a test vehicle for the process monitoring, we have investigated the aluminum-oxide (Al2O3) encapsulation process in plasma-enhanced atomic layer deposition (PEALD) to form a moisture and oxygen diffusion barrier in organic-light emitting diodes (OLEDs). By optical in situ monitoring, we successfully identified the reduction in oxygen flow rates in the reaction steps, which resulted in a 2.67 times increase in the water vapor transmission ratio (WVTR) of the deposited Al2O3 films. Therefore, we are convinced that the suggested in situ monitoring method is useful for the detection of process shifts or drifts that adversely affect PEALD film quality.

  8. Copper vapor laser precision processing

    NASA Astrophysics Data System (ADS)

    Nikonchuk, Michail O.

    1991-05-01

    Copper vapor laser (CVL) was designed on the basis master oscillator (MO) - spatial filter - amplifier (AMP) system which is placed in thermostable volume. Processing material is moved by means of CNC system GPM-AP-400 with +/- 5 micrometers accuracy. Several cutting parameters are considered which define the quality and productivity of vaporization cutting: efficiency, cutwidth, height of upper and lower burr, roughness, laser and heat affected zones. Estimates are made for some metals with thickness 0.02 - 0.3 mm and cutwidth 0.01 - 0.03 mm. The examples of workpieces produced by CVL are presented.

  9. High Power Helicon Plasma Source for Plasma Processing

    NASA Astrophysics Data System (ADS)

    Prager, James; Ziemba, Timothy; Miller, Kenneth E.

    2015-09-01

    Eagle Harbor Technologies (EHT), Inc. is developing a high power helicon plasma source. The high power nature and pulsed neutral gas make this source unique compared to traditional helicon source. These properties produce a plasma flow along the magnetic field lines, and therefore allow the source to be decoupled from the reaction chamber. Neutral gas can be injected downstream, which allows for precision control of the ion-neutral ratio at the surface of the sample. Although operated at high power, the source has demonstrated very low impurity production. This source has applications to nanoparticle productions, surface modification, and ionized physical vapor deposition.

  10. Direct Simulation Monte Carlo Simulations of Low Pressure Semiconductor Plasma Processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gochberg, L. A.; Ozawa, T.; Deng, H.

    2008-12-31

    The two widely used plasma deposition tools for semiconductor processing are Ionized Metal Physical Vapor Deposition (IMPVD) of metals using either planar or hollow cathode magnetrons (HCM), and inductively-coupled plasma (ICP) deposition of dielectrics in High Density Plasma Chemical Vapor Deposition (HDP-CVD) reactors. In these systems, the injected neutral gas flows are generally in the transonic to supersonic flow regime. The Hybrid Plasma Equipment Model (HPEM) has been developed and is strategically and beneficially applied to the design of these tools and their processes. For the most part, the model uses continuum-based techniques, and thus, as pressures decrease below 10more » mTorr, the continuum approaches in the model become questionable. Modifications have been previously made to the HPEM to significantly improve its accuracy in this pressure regime. In particular, the Ion Monte Carlo Simulation (IMCS) was added, wherein a Monte Carlo simulation is used to obtain ion and neutral velocity distributions in much the same way as in direct simulation Monte Carlo (DSMC). As a further refinement, this work presents the first steps towards the adaptation of full DSMC calculations to replace part of the flow module within the HPEM. Six species (Ar, Cu, Ar*, Cu*, Ar{sup +}, and Cu{sup +}) are modeled in DSMC. To couple SMILE as a module to the HPEM, source functions for species, momentum and energy from plasma sources will be provided by the HPEM. The DSMC module will then compute a quasi-converged flow field that will provide neutral and ion species densities, momenta and temperatures. In this work, the HPEM results for a hollow cathode magnetron (HCM) IMPVD process using the Boltzmann distribution are compared with DSMC results using portions of those HPEM computations as an initial condition.« less

  11. Sterilization of Long Tube Inner Surface Using Oxygen and Water Vapor Plasmas Produced by AC HV Discharge

    NASA Astrophysics Data System (ADS)

    Kitazaki, Satoshi; Hayashi, Nobuya

    2009-10-01

    Oxygen and water vapor plasmas inside a narrow long tube were produced using an AC HV glow discharge at low pressure in order to sterilize the inner surface of a tube. In order to produce plasma inside a narrow tube, an AC high voltage was adopted. The material of the tube used in this experiment was silicon rubber. The length and diameter of the tubes ranged from 300 to 1,000 mm and from 1 to 4 mm, respectively. The tube was placed in a stainless steel vacuum chamber and was evacuated to 10 Pa using a rotary pump. The material gas for plasma and radical productions was pure oxygen or water vapor, which was introduced to the chamber from a gas cylinder or water reservoir. Light emission spectral lines of oxygen and OH radicals were observed at 777 nm and 306 nm, respectively. The chemical indicator was inserted into the tube and turned to a yellowish color (from the original red) after a treatment, which indicates the generation of sufficient oxygen on OH radicals for sterilization. A tube with the length of 500 mm and diameter of 4 mm is sterilized using oxygen plasma by 10 minutes treatment. Also a tube with the length of 300 mm and diameter of 2 mm is sterilized using water vapor plasma by 5 minutes treatment.

  12. Speciation of inorganic and organometallic compounds in solid biological samples by thermal vaporization and plasma emission spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanamura, S.; Smith, B.W.; Winefordner, J.D.

    1983-11-01

    By means of thermal vaporization, inorganic, organic, and metallorganic species are separated and elemental emission in a microwave plasma is detected as a function of vaporization temperature. Solid samples of 250 mg or more are used to avoid problems with sample heterogeneity. The precision of characteristic appearance temperatures is +/-2/sup 0/C. The single electrode atmosphere pressure microwave plasma system is extremely tolerant to the introduction of water, organic solvents, and air. The measurement system contained a repetition wavelength scan device to allow background correction. The plasma temperature was 5500 K. The system was used to measure C, H, N, O,more » and Hg in orchard leaves and in tuna fish. 9 figures, 5 tables.« less

  13. Membrane-assisted vapor stripping: energy efficient hybrid distillation-vapor permeation process for alcohol-water separation

    EPA Science Inventory

    BACKGROUND: Energy efficient alternatives to distillation for alcohol recovery from dilute solution are needed to improve biofuel sustainability. A process integrating steam stripping with a vapor compression step and a vapor permeation membrane separation step is proposed. The...

  14. Influence of atmospheric plasma on physicochemical properties of vapor-grown graphite nanofibers.

    PubMed

    Seo, Min-Kang; Park, Soo-Jin; Lee, Sang-Kwan

    2005-05-01

    Vapor-grown graphite nanofibers (GNFs) were modified by plasma treatments using low-pressure plasmas with different gases (Ar gas only and/or Ar/O2 gases), flow rates, pressures, and powers. Surface characterizations and morphologies of the GNFs after plasma treatment were investigated by X-ray photoelectron spectroscopy (XPS), contact angle, titration, and transmission electron microscopy (TEM) measurements. Also, the investigation of thermomechanical behavior and impact strengths of the GNFs/epoxy composites was performed by dynamic-mechanical thermal analysis (DMTA) and Izod impact testing, respectively. The plasma treatment of the fibers changed the surface morphologies by forming a layer with a thickness on the order of 1 nm, mainly consisting of oxygen functional groups such as hydroxyl, carbonyl, and carboxyl groups. After functionalization of the complete surfaces, further plasma treatment did not enhance the superficial oxygen content but slightly changed the portions of the functional groups. Also, the composites with plasma-treated GNFs showed an increase in T(g) and impact strength compared to the composites containing the same amount of plasma-untreated GNFs.

  15. Growth of diamond by RF plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Meyer, Duane E.; Ianno, Natale J.; Woollam, John A.; Swartzlander, A. B.; Nelson, A. J.

    1988-01-01

    A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, RF input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4.5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.

  16. Cold plasma processing of local planetary ores for oxygen and metallurgically important metals

    NASA Technical Reports Server (NTRS)

    Lynch, D. C.; Bullard, D.; Ortega, R.

    1991-01-01

    The utilization of a cold or nonequilibrium plasma in chlorination processing is discussed. Titanium dioxide (TiO2) was successfully chlorinated at temperatures between 700 and 900 C without the aid of carbon. In addition to these initial experiments, a technique was developed for determining the temperature of a specimen in a plasma. Development of that technique has required evaluating the emissivity of TiO2, ZrO2, and FeOTiO2 and analyzing the specimen temperature in a plasma as a function of both power absorbed by the plasma and the pressure of the plasma. The mass spectrometer was also calibrated with TiCl4 and CCl4 vapor.

  17. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-02-01

    Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W2CoB2 with average hardness from 23 to 27 GPa and average elastic modulus of 600-730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  18. Temporal characterization of plasma cw high-power CO2 laser-matter interaction: contribution to the welding process control

    NASA Astrophysics Data System (ADS)

    Engel, Thierry; Kane, M.; Fontaine, Joel

    1997-08-01

    During high-power laser welding, gas ionization occurs above the sample. The resulting plasma ignition threshold is related to ionization potential of metallic vapors from the sample, and shielding gases used in the process. In this work, we have characterized the temporal behavior of the radiation emitted by the plasma during laser welding in order to relate the observed signals to the process parameters.

  19. 27 CFR 19.821 - Production of vinegar by the vaporizing process.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 27 Alcohol, Tobacco Products and Firearms 1 2010-04-01 2010-04-01 false Production of vinegar by the vaporizing process. 19.821 Section 19.821 Alcohol, Tobacco Products and Firearms ALCOHOL AND... Vinegar by the Vaporizing Process Scope of Subpart § 19.821 Production of vinegar by the vaporizing...

  20. The Role of Water Vapor and Dissociative Recombination Processes in Solar Array Arc Initiation

    NASA Technical Reports Server (NTRS)

    Galofar, J.; Vayner, B.; Degroot, W.; Ferguson, D.

    2002-01-01

    Experimental plasma arc investigations involving the onset of arc initiation for a negatively biased solar array immersed in low-density plasma have been performed. Previous studies into the arc initiation process have shown that the most probable arcing sites tend to occur at the triple junction involving the conductor, dielectric and plasma. More recently our own experiments have led us to believe that water vapor is the main causal factor behind the arc initiation process. Assuming the main component of the expelled plasma cloud by weight is water, the fastest process available is dissociative recombination (H2O(+) + e(-) (goes to) H* + OH*). A model that agrees with the observed dependency of arc current pulse width on the square root of capacitance is presented. A 400 MHz digital storage scope and current probe was used to detect arcs at the triple junction of a solar array. Simultaneous measurements of the arc trigger pulse, the gate pulse, the arc current and the arc voltage were then obtained. Finally, a large number of measurements of individual arc spectra were obtained in very short time intervals, ranging from 10 to 30 microseconds, using a 1/4 a spectrometer coupled with a gated intensified CCD. The spectrometer was systematically tuned to obtain optical arc spectra over the entire wavelength range of 260 to 680 nanometers. All relevant atomic lines and molecular bands were then identified.

  1. Vaporization and atomization of uranium in a graphite tube electrothermal vaporizer: a mechanistic study using electrothermal vaporization inductively coupled plasma mass spectrometry and graphite furnace atomic absorption spectrometry

    NASA Astrophysics Data System (ADS)

    Goltz, D. M.; Grégoire, D. C.; Byrne, J. P.; Chakrabarti, C. L.

    1995-07-01

    The mechanism of vaporization and atomization of U in a graphite tube electrothermal vaporizer was studied using graphite furnace atomic absorption spectrometry (GFAAS) and electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS). Graphite furnace AAS studies indicate U atoms are formed at temperatures above 2400°C. Using ETV-ICP-MS, an appearance temperature of 1100°C was obtained indicating that some U vaporizes as U oxide. Although U carbides form at temperatures above 2000°C, ETV-ICP-MS studies show that they do not vaporize until 2600°C. In the temperature range between 2200°C and 2600°C, U atoms in GFAAS are likely formed by thermal dissociation of U oxide, whereas at higher temperatures, U atoms are formed via thermal dissociation of U carbide. The origin of U signal suppression in ETV-ICP-MS by NaCl was also investigated. At temperatures above 2000°C, signal suppression may be caused by the accelerated rate of formation of carbide species while at temperatures below 2000°C, the presence of NaCl may cause intercalation of the U in the graphite layers resulting in partial retention of U during the vaporization step. The use of 0.3% freon-23 (CHF 3) mixed with the argon carrier gas was effective in preventing the intercalation of U in graphite and U carbide formation at 2700°C.

  2. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with argon

    NASA Astrophysics Data System (ADS)

    Malinina, A. A.; Malinin, A. N.

    2015-03-01

    Results are presented from studies of the optical characteristics and parameters of the plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with argon—the working medium of an exciplex gas-discharge emitter. It is established that the partial pressures of mercury dibromide vapor and argon at which the average and pulsed emission intensities in the blue—green spectral region (λmax = 502 nm) reach their maximum values are 0.6 and 114.4 kPa, respectively. The electron energy distribution function, the transport characteristics, the specific power spent on the processes involving electrons, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering from the molecules and atoms of the working mixture are determined by numerical simulation, and their dependences on the reduced electric field strength are analyzed. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules for a reduced electric field of E/ N = 20 Td, at which the maximum emission intensity in the blue—green spectral region was observed in this experiment, is found to be 8.1 × 10-15 m3/s.

  3. Kinetic processes determining attainable pulse repetition rate in pulsed metal vapor lasers

    NASA Astrophysics Data System (ADS)

    Petrash, Gueorgii G.

    1998-06-01

    A review of the investigations of the main processes determining the attainable pulse repetition rate of elemental metal vapor pulsed gas discharge self-terminating lasers, such as copper vapor laser, gold vapor laser, lead vapor laser, is given. Kinetic processes during an excitation pulse and interpulse period are considered as well as experiments with lasers operating at high repetition rate.

  4. Freeze-drying process monitoring using a cold plasma ionization device.

    PubMed

    Mayeresse, Y; Veillon, R; Sibille, P H; Nomine, C

    2007-01-01

    A cold plasma ionization device has been designed to monitor freeze-drying processes in situ by monitoring lyophilization chamber moisture content. This plasma device, which consists of a probe that can be mounted directly on the lyophilization chamber, depends upon the ionization of nitrogen and water molecules using a radiofrequency generator and spectrometric signal collection. The study performed on this probe shows that it is steam sterilizable, simple to integrate, reproducible, and sensitive. The limitations include suitable positioning in the lyophilization chamber, calibration, and signal integration. Sensitivity was evaluated in relation to the quantity of vials and the probe positioning, and correlation with existing methods, such as microbalance, was established. These tests verified signal reproducibility through three freeze-drying cycles. Scaling-up studies demonstrated a similar product signature for the same product using pilot-scale and larger-scale equipment. On an industrial scale, the method efficiently monitored the freeze-drying cycle, but in a larger industrial freeze-dryer the signal was slightly modified. This was mainly due to the positioning of the plasma device, in relation to the vapor flow pathway, which is not necessarily homogeneous within the freeze-drying chamber. The plasma tool is a relevant method for monitoring freeze-drying processes and may in the future allow the verification of current thermodynamic freeze-drying models. This plasma technique may ultimately represent a process analytical technology (PAT) approach for the freeze-drying process.

  5. Metal vapor laser including hot electrodes and integral wick

    DOEpatents

    Ault, Earl R.; Alger, Terry W.

    1995-01-01

    A metal vapor laser, specifically one utilizing copper vapor, is disclosed herein. This laser utilizes a plasma tube assembly including a thermally insulated plasma tube containing a specific metal, e.g., copper, and a buffer gas therein. The laser also utilizes means including hot electrodes located at opposite ends of the plasma tube for electrically exciting the metal vapor and heating its interior to a sufficiently high temperature to cause the metal contained therein to vaporize and for subjecting the vapor to an electrical discharge excitation in order to lase. The laser also utilizes external wicking arrangements, that is, wicking arrangements located outside the plasma tube.

  6. Metal vapor laser including hot electrodes and integral wick

    DOEpatents

    Ault, E.R.; Alger, T.W.

    1995-03-07

    A metal vapor laser, specifically one utilizing copper vapor, is disclosed herein. This laser utilizes a plasma tube assembly including a thermally insulated plasma tube containing a specific metal, e.g., copper, and a buffer gas therein. The laser also utilizes means including hot electrodes located at opposite ends of the plasma tube for electrically exciting the metal vapor and heating its interior to a sufficiently high temperature to cause the metal contained therein to vaporize and for subjecting the vapor to an electrical discharge excitation in order to lase. The laser also utilizes external wicking arrangements, that is, wicking arrangements located outside the plasma tube. 5 figs.

  7. Boron nitride microfibers grown by plasma-assisted laser chemical vapor deposition without a metal catalyst

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Shimizu, Yoshiki; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-04-01

    Boron nitride fibers were found to grow on polycrystalline nickel and Si (100) substrates by plasma-assisted laser chemical vapor deposition from B2H6+NH3 using an excimer laser at 193nm. Their diameter was typically a few hundreds of nanometers, while the length was a few tens of micrometers. They were stoichiometric or boron-rich BN in chemical composition. When the substrate was rotated during deposition, spiral fibers were found to grow. We conclude that they grew with the help of laser light by other than the vapor - liquid - solid mechanism.

  8. Lift-off process with bi-layer photoresist patterns for conformal-coated superhydrophilic pulsed plasma chemical vapor deposition-SiOx on SiCx for lab-on-a-chip applications

    NASA Astrophysics Data System (ADS)

    Konishi, Satoshi; Nakagami, Chise; Kobayashi, Taizo; Tonomura, Wataru; Kaizuma, Yoshihiro

    2015-04-01

    In this work, a lift-off process with bi-layer photoresist patterns was applied to the formation of hydrophobic/hydrophilic micropatterns on practical polymer substrates used in healthcare diagnostic commercial products. The bi-layer photoresist patterns with undercut structures made it possible to peel the conformal-coated silicon oxide (SiOx) films from substrates. SiOx and silicon carbide (SiCx) layers were deposited by pulsed plasma chemical vapor deposition (PPCVD) method which can form roughened surfaces to enhance hydrophilicity of SiOx and hydrophobicity of SiCx. Microfluidic applications using hydrophobic/hydrophilic patterns were also demonstrated on low-cost substrates such as poly(ethylene terephthalate) (PET) and paper films.

  9. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  10. Alignment mechanism of carbon nanofibers produced by plasma-enhanced chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-10-01

    We report experimental evidence showing a direct correlation between the alignment of carbon nanofibers (CNFs) prepared by plasma-enhanced chemical-vapor deposition and the location of the catalyst particle during CNF growth. In particular, we find that CNFs that have a catalyst particle at the tip (i.e., growth proceeds from the tip) align along the electric-field lines, whereas CNFs with the particle at the base (i.e., growth proceeds from the base) grow in random orientations. We propose a model that explains the alignment process as a result of a feedback mechanism associated with a nonuniform stress (part tensile, part compressive) that is created across the interface of the catalyst particle with the CNF due to electrostatic forces. Furthermore, we propose that the alignment seen recently in some dense CNF films is due to a crowding effect and is not directly the result of electrostatic forces.

  11. Fabrication and characterization of a planar gradient-index, plasma-enhanced chemical vapor deposition lens.

    PubMed

    Beltrami, D R; Love, J D; Durandet, A; Samo, A; Cogswell, C J

    1997-10-01

    A thin, one-dimensional, gradient-index slab lens with a parabolic profile was designed and fabricated in fluorine-doped silica by use of plasma-enhanced chemical vapor deposition in a Helicon plasma reactor. The refractive-index profile of the fabricated lens was determined by the application of an inversion technique to the values of modal effective index measured with a prism coupler. The periodic refocusing property of the lens and the independence of the wavelength were measured with the fluorescence of a specially doped, thin polymer layer spin-coated onto the surface of the lens.

  12. The Lithium Vapor Box Divertor

    NASA Astrophysics Data System (ADS)

    Goldston, Robert; Hakim, Ammar; Hammett, Gregory; Jaworski, Michael; Myers, Rachel; Schwartz, Jacob

    2015-11-01

    Projections of scrape-off layer width to a demonstration power plant suggest an immense parallel heat flux, of order 12 GW/m2, which will necessitate nearly fully detached operation. Building on earlier work by Nagayama et al. and by Ono et al., we propose to use a series of differentially pumped boxes filled with lithium vapor to isolate the buffering vapor from the main plasma chamber, allowing stable detachment. This powerful differential pumping is only available for condensable vapors, not conventional gases. We demonstrate the properties of such a system through conservation laws for vapor mass and enthalpy, and then include plasma entrainment and ultimately an estimate of radiated power. We find that full detachment should be achievable with little leakage of lithium to the main plasma chamber. We also present progress towards solving the Navier-Stokes equation numerically for the chain of vapor boxes, including self-consistent wall boundary conditions and fully-developed shocks, as well as concepts for an initial experimental demonstration-of-concept. This work supported by DOE Contract No. DE-AC02-09CH11466.

  13. Linearization of calibration curves by aerosol carrier effect of CCl 4 vapor in electrothermal vaporization inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Kántor, Tibor; de Loos-Vollebregt, Margaretha T. C.

    2005-03-01

    Carbon tetrachloride vapor as gaseous phase modifier in a graphite furnace electrothermal vaporizer (GFETV) converts heavy volatile analyte forms to volatile and medium volatile chlorides and produces aerosol carrier effect, the latter being a less generally recognized benefit. However, the possible increase of polyatomic interferences in inductively coupled plasma mass spectrometry (GFETV-ICP-MS) by chlorine and carbon containing species due to CCl 4 vapor introduction has been discouraging with the use of low resolution, quadrupole type MS equipment. Being aware of this possible handicap, it was aimed at to investigate the feasibility of the use of this halogenating agent in ICP-MS with regard of possible hazards to the instrument, and also to explore the advantages under these specific conditions. With sample gas flow (inner gas flow) rate not higher than 900 ml min -1 Ar in the torch and 3 ml min -1 CCl 4 vapor flow rate in the furnace, the long-term stability of the instrument was ensured and the following benefits by the halocarbon were observed. The non-linearity error (defined in the text) of the calibration curves (signal versus mass functions) with matrix-free solution standards was 30-70% without, and 1-5% with CCl 4 vapor introduction, respectively, at 1 ng mass of Cu, Fe, Mn and Pb analytes. The sensitivity for these elements increased by 2-4-fold with chlorination, while the relative standard deviation (RSD) was essentially the same (2-5%) for the two cases in comparison. A vaporization temperature of 2650 °C was required for Cr in Ar atmosphere, while 2200 °C was sufficient in Ar + CCl 4 atmosphere to attain complete vaporization. Improvements in linear response and sensitivity were the highest for this least volatile element. The pyrolytic graphite layer inside the graphite tube was protected by the halocarbon, and tube life time was further increased by using traces of hydrocarbon vapor in the external sheath gas of the graphite furnace. Details

  14. Bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes versus cold-knife transurethral incision for the treatment of posterior urethral stricture: a prospective, randomized study.

    PubMed

    Cai, Wansong; Chen, Zhiyuan; Wen, Liping; Jiang, Xiangxin; Liu, Xiuheng

    2016-01-01

    Evaluate the efficiency and safety of bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes for the treatment of posterior urethral stricture. Compare the outcomes following bipolar plasma vaporization with conventional cold-knife urethrotomy. A randomized trial was performed to compare patient outcomes from the bipolar and cold-knife groups. All patients were assessed at 6 and 12 months postoperatively via urethrography and uroflowmetry. At the end of the first postoperative year, ureteroscopy was performed to evaluate the efficacy of the procedure. The mean follow-up time was 13.9 months (range: 12 to 21 months). If re-stenosis was not identified by both urethrography and ureteroscopy, the procedure was considered "successful". Fifty-three male patients with posterior urethral strictures were selected and randomly divided into two groups: bipolar group (n=27) or cold-knife group (n=26). Patients in the bipolar group experienced a shorter operative time compared to the cold-knife group (23.45±7.64 hours vs 33.45±5.45 hours, respectively). The 12-month postoperative Qmax was faster in the bipolar group than in the cold-knife group (15.54±2.78 ml/sec vs 18.25±2.12 ml/sec, respectively). In the bipolar group, the recurrence-free rate was 81.5% at a mean follow-up time of 13.9 months. In the cold-knife group, the recurrence-free rate was 53.8%. The application of bipolar plasma-cutting and plasma-loop electrodes for the management of urethral stricture disease is a safe and reliable method that minimizes the morbidity of urethral stricture resection. The advantages include a lower recurrence rate and shorter operative time compared to the cold-knife technique.

  15. Fast modification on wheat straw outer surface by water vapor plasma and its application on composite material.

    PubMed

    Chen, Weimin; Xu, Yicheng; Shi, Shukai; Cao, Yizhong; Chen, Minzhi; Zhou, Xiaoyan

    2018-02-02

    The presence of non-poplar extracts, cutin, and wax layer in the wheat straw outer surface (WOS) greatly limit its application in bio-composite preparation. In this study, a dielectric-barrier-discharge plasma using water vapor as feeding gas was used to fast modify the WOS. The morphology, free radical concentrations, surface chemical components, and contact angles of WOS before and after plasma modification were investigated. Wheat straw was further prepared into wheat straw-based composites (WSC) and its bonding strength was evaluated by a paper tension meter. The results showed that water vapor plasma leads to the appearance of surface roughness, the generation of massive free radicals, and the introduction of oxygen-containing groups. In addition, both initial and equilibrium contact angle and the surface total free energy were significantly increased after plasma modification. These results synergistically facilitate the spread and permeation of adhesive onto the WOS and thus improve the bonding strength of all prepared WSCs. A good linear relationship between bonding strength and surface roughness parameters, contact angles, and total free energy were observed. In general, this study provided a time-saving and cost-effective modification method to realize WSC manufacture.

  16. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    NASA Astrophysics Data System (ADS)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  17. Rare-earth-doped optical-fiber core deposition using full vapor-phase SPCVD process

    NASA Astrophysics Data System (ADS)

    Barnini, A.; Robin, T.; Cadier, B.; Aka, G.; Caurant, D.; Gotter, T.; Guyon, C.; Pinsard, E.; Guitton, P.; Laurent, A.; Montron, R.

    2017-02-01

    One key parameter in the race toward ever-higher power fiber lasers remains the rare earth doped optical core quality. Modern Large Mode Area (LMA) fibers require a fine radial control of the core refractive index (RI) close to the silica level. These low RI are achieved with multi-component materials that cannot be readily obtained using conventional solution doping based Modified Chemical Vapor Deposition (MCVD) technology. This paper presents a study of such optical material obtained through a full-vapor phase Surface Plasma Chemical Vapor Deposition (SPCVD). The SPCVD process generates straight glassy films on the inner surface of a thermally regulated synthetic silica tube under vacuum. The first part of the presented results points out the feasibility of ytterbium-doped aluminosilicate fibers by this process. In the second part we describe the challenge controlling the refractive index throughout the core diameter when using volatile fluorine to create efficient LMA fiber profiles. It has been demonstrated that it is possible to counter-act the loss of fluorine at the center of the core by adjusting the core composition locally. Our materials yielded, when used in optical fibers with numerical apertures ranging from 0.07 to 0.09, power conversion efficiency up to 76% and low background losses below 20 dB/km at 1100nm. Photodarkening has been measured to be similar to equivalent MCVD based fibers. The use of cerium as a co-dopant allowed for a complete mitigation of this laser lifetime detrimental effect. The SPCVD process enables high capacity preforms and is particularly versatile when it comes to radial tailoring of both rare earth doping level and RI. Large core diameter preforms - up to 4mm - were successfully produced.

  18. The application of pulse modulated plasma to the plasma enhanced chemical vapor deposition of dielectric materials

    NASA Astrophysics Data System (ADS)

    Qi, Yu

    This dissertation work applied the pulse modulated plasma to the plasma enhanced chemical vapor deposition (PECVD) of two types of dielectric materials: SiO2-like coatings and Teflon-like coatings. SiO2-like coatings were firstly implemented with continuous plasma. It was proven that three different precursors: hexamethyldisiloxane (HMDSO), 1, 3, 5, 7-tetramethylcyclotetrasiloxane (TMCTS) and octamethylcyclotetrasiloxane (OMCTS) can be used to generate hard, clear and high density SiO2 deposition with coupled high growth rate and low processing temperature via PECVD. Under similar conditions, HMDSO has the lowest growth rate, lowest hardness and highest carbon content; TMCTS has the highest growth rate and hardness, and lowest carbon content; and OMCTS has moderate rates of these deposition qualities, but the best corrosion resistance. Substrate bias seems to have no effect on any deposition quality. High chamber pressure can significantly lower the carbon content in the thin films but does not affect any other qualities; the O2/precursor ratio is the most influential factor among all variables considered in this experiment. The deposition hardness and O:Si ratio always increase with this ratio while the carbon content always decreases. However, different precursors require different optimal ratios to achieve the highest growth rate. Pulse modulation was introduced into PECVD of SiO2-like coatings and OMCTS was selected as the precursor. It was demonstrated that pulse frequency, duty ratio and peak power have significant effects on deposition qualities. The proper combination of the pulse parameters and other traditional plasma parameters can significantly lower the processing temperature while retaining or even improving other deposition qualities, such as growth rate, corrosion resistance and elemental composition. Hardness is the only sacrifice of the lower time-average power caused by pulsing. Therefore, pulse modulation can effectively expand the possible

  19. Processing Maple Syrup with a Vapor Compression Distiller: An Economic Analysis

    Treesearch

    Lawrence D. Garrett

    1977-01-01

    A test of vapor compression distillers for processing maple syrup revealed that: (1) vapor compression equipment tested evaporated 1 pound of water with .047 pounds of steam equivalent (electrical energy); open-pan evaporators of similar capacity required 1.5 pounds of steam equivalent (oil energy) to produce 1 pound of water; (2) vapor compression evaporation produced...

  20. Optical characteristics and parameters of gas-discharge plasma in a mixture of mercury dibromide vapor with neon

    NASA Astrophysics Data System (ADS)

    Malinina, A. A.; Malinin, A. N.

    2013-12-01

    Results are presented from studies of the optical characteristics and parameters of plasma of a dielectric barrier discharge in a mixture of mercury dibromide vapor with neon—the working medium of a non-coaxial exciplex gas-discharge emitter. The electron energy distribution function, the transport characteristics, the specific power losses for electron processes, the electron density and temperature, and the rate constants for the processes of elastic and inelastic electron scattering by the working mixture components are determined as functions of the reduced electric field. The rate constant of the process leading to the formation of exciplex mercury monobromide molecules is found to be 1.6 × 10-14 m3/s for a reduced electric field of E/ N = 15 Td, at which the maximum emission intensity in the blue-green spectral region (λmax = 502 nm) was observed in this experiment.

  1. Process to make core-shell structured nanoparticles

    DOEpatents

    Luhrs, Claudia; Phillips, Jonathan; Richard, Monique N

    2014-01-07

    Disclosed is a process for making a composite material that contains core-shell structured nanoparticles. The process includes providing a precursor in the form of a powder a liquid and/or a vapor of a liquid that contains a core material and a shell material, and suspending the precursor in an aerosol gas to produce an aerosol containing the precursor. In addition, the process includes providing a plasma that has a hot zone and passing the aerosol through the hot zone of the plasma. As the aerosol passes through the hot zone of the plasma, at least part of the core material and at least part of the shell material in the aerosol is vaporized. Vapor that contains the core material and the shell material that has been vaporized is removed from the hot zone of the plasma and allowed to condense into core-shell structured nanoparticles.

  2. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  3. Sharpening of carbon nanocone tips during plasma-enhanced chemical vapor growth

    NASA Astrophysics Data System (ADS)

    Merkulov, Vladimir I.; Melechko, Anatoli V.; Guillorn, Michael A.; Lowndes, Douglas H.; Simpson, Michael L.

    2001-12-01

    In situ tip sharpening of vertically aligned carbon nanocones (VACNCs) was demonstrated. VACNCs were synthesized on patterned catalyst dots of 100 nm in diameter using dc plasma-enhanced chemical vapor deposition. The VACNC tip diameter was found to decrease with growth time. This enables synthesis of ultra-sharp VACNCs even for relatively large catalyst dot sizes, which is quite important for practical applications. We also find that for a given set of growth parameters the diameter of the initially formed catalyst nanoparticle determines the maximum length of the growing VACNC. The mechanism of VACNC growth and sharpening is discussed.

  4. Pervaporation & Vapor Permeation Membrane Processes for the Selective Separation of Liquid and Vapor Mixtures

    EPA Science Inventory

    Pervaporation and vapor permeation are membrane-based processes which have been proposed as alternatives to conventional separation technologies. Applications range from organic solvent removal from water, ethanol or butanol recovery from dilute fermentation broths, solvent/biofu...

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. Inductive plasmas for plasma processing

    NASA Astrophysics Data System (ADS)

    Keller, John H.

    1996-05-01

    With the need for high plasma density and low pressure in single wafer etching tools, a number of inductive etching systems have been and are being developed for commercial sale. This paper reviews some of the history of low-pressure inductive plasmas, gives features of inductive plasmas, limitations, corrections and presents uses for plasma processing. The theory for the skin depth, rf coil impedance and efficiency is also discussed.

  7. On the existence of vapor-liquid phase transition in dusty plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundu, M.; Sen, A.; Ganesh, R.

    2014-10-15

    The phenomenon of phase transition in a dusty-plasma system (DPS) has attracted some attention in the past. Earlier Farouki and Hamaguchi [J. Chem. Phys. 101, 9876 (1994)] have demonstrated the existence of a liquid to solid transition in DPS where the dust particles interact through a Yukawa potential. However, the question of the existence of a vapor-liquid (VL) transition in such a system remains unanswered and relatively unexplored so far. We have investigated this problem by performing extensive molecular dynamics simulations which show that the VL transition does not have a critical curve in the pressure versus volume diagram formore » a large range of the Yukawa screening parameter κ and the Coulomb coupling parameter Γ. Thus, the VL phase transition is found to be super-critical, meaning that this transition is continuous in the dusty plasma model given by Farouki and Hamaguchi. We provide an approximate analytic explanation of this finding by means of a simple model calculation.« less

  8. Synthesis of molybdenum carbide superconducting compounds by microwave-plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Hongyang; Cai, Kang; Ma, Zhibin; Cheng, Zhenxiang; Jia, Tingting; Kimura, Hideo; Fu, Qiuming; Tao, Hong; Xiong, Liwei

    2018-02-01

    A method to synthesize molybdenum carbides has been developed based on microwave plasma treatment with methane and hydrogen mixed gases, using a microwave-plasma chemical vapor deposition device. The device framework and its mechanism are described in detail. Two-dimensional α-Mo2C has been directly synthesized by a plate-to-plate substrate holder structure with a microwave power of 920 W and a partial pressure of 20 kPa. In-situ optical emission spectroscopy was used to measure the radical types in the plasma ball during glow discharge. The as-grown α-Mo2C samples were characterized by X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy to determine their phases, purity and chemical groups. The superconducting transition temperature was measured, and the transition temperatures of the relevant phases are discussed in detail. The results confirmed that this method is an efficient way to obtain molybdenum carbides and inspire new research interest in transition metal carbides, which have many intrinsic local properties and applications.

  9. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J.

    2015-11-01

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C2, Ar, N2, CH, Hβ, and Hα were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T2g phonon at 1333 cm-1 peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit "coral" and "cauliflower-like" morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  10. Efficient ethanol recovery from fermentation broths with integrated distillation-vapor permeation hybrid process

    EPA Science Inventory

    The energy demand of distillation-molecular sieve systems for ethanol recovery/dehydration can be significant, particularly for dilute solutions. An alternative hybrid process integrating vapor stripping (like a beer still) with vapor compression and a vapor permeation membrane s...

  11. A system to investigate the remediation of organic vapors using microwave-induced plasma with fluidized carbon granules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dawson, Elizabeth A.; Parkes, Gareth M. B.; Bond, Gary

    This article describes a system to investigate the parameters for the remediation of organic vapors using microwave-induced plasma on fluidized carbon granules. The system is based on a single mode microwave apparatus with a variable power (2.45 GHz) generator. Carbon granules are fluidized in a silica tube situated in the sample section of a waveguide incorporating two additional ports to allow plasma intensity monitoring using a light sensor and imaging with a digital camera. A fluoroptic probe is used for in situ measurement of the carbon granule temperature, while the effluent gas temperature is measured with a thermocouple situated inmore » the silica tube outside the cavity. Data acquisition and control software allow experiments using a variety of microwave power regimes while simultaneously recording the light intensity of any plasma generated within the carbon bed, together with its temperature. Evaluation using two different granular activated carbons and ethyl acetate, introduced as a vapor into the fluidizing air stream at a concentration of 1 ppm, yielded results which indicated that significant destruction of ethyl acetate, as monitored using a mass spectrometer, was achieved only with the carbon granules showing high plasma activity under pulsed microwave conditions. The system is therefore suitable for comparison of the relative microwave activities of various activated carbon granules and their performance in microwave remediation and regeneration.« less

  12. On the importance of electron impact processes in excimer-pumped alkali laser-induced plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Markosyan, Aram H.

    We present that the excimer-pumped alkali laser (XPAL) system has recently been demonstrated in several different mixtures of alkali vapor and rare gas. Without special preventive measures, plasma formation during operation of XPAL is unavoidable. Some recent advancements in the availability of reliable data for electron impact collisions with atoms and molecules have enabled development of a complete reaction mechanism to investigate XPAL-induced plasmas. Here, we report on pathways leading to plasma formation in an Ar/C 2H 6/CsAr/C2H6/Cs XPAL sustained at different cell temperatures. We find that depending on the operating conditions, the contribution of electron impact processes can bemore » as little as bringing the excitation of Cs(P 2) states to higher level Cs** states, and can be as high as bringing Cs(P 2) excited states to a full ionization. Increasing the input pumping power or cell temperature, or decreasing the C 2H 6 mole fraction leads to electron impact processes dominating in plasma formation over the energy pooling mechanisms previously reported in literature.« less

  13. On the importance of electron impact processes in excimer-pumped alkali laser-induced plasmas

    DOE PAGES

    Markosyan, Aram H.

    2017-10-18

    We present that the excimer-pumped alkali laser (XPAL) system has recently been demonstrated in several different mixtures of alkali vapor and rare gas. Without special preventive measures, plasma formation during operation of XPAL is unavoidable. Some recent advancements in the availability of reliable data for electron impact collisions with atoms and molecules have enabled development of a complete reaction mechanism to investigate XPAL-induced plasmas. Here, we report on pathways leading to plasma formation in an Ar/C 2H 6/CsAr/C2H6/Cs XPAL sustained at different cell temperatures. We find that depending on the operating conditions, the contribution of electron impact processes can bemore » as little as bringing the excitation of Cs(P 2) states to higher level Cs** states, and can be as high as bringing Cs(P 2) excited states to a full ionization. Increasing the input pumping power or cell temperature, or decreasing the C 2H 6 mole fraction leads to electron impact processes dominating in plasma formation over the energy pooling mechanisms previously reported in literature.« less

  14. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  15. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    NASA Astrophysics Data System (ADS)

    Wang, Haiyan; Wang, Weizong; Yan, Joseph D.; Qi, Haiyang; Geng, Jinyue; Wu, Yaowu

    2017-10-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg-Waage equation according to van de Sanden et al’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman-Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes.

  16. Frequency response of a vaporization process to distorted acoustic disturbances

    NASA Technical Reports Server (NTRS)

    Heidmann, M. F.

    1972-01-01

    The open-loop response properties expressed as the mass vaporized in phase and out of phase with the pressure oscillations were numerically evaluated for a vaporizing n-heptane droplet. The evaluation includes the frequency dependence introduced by periodic oscillation in droplet mass and temperature. A given response was achieved over a much broader range of frequency with harmonically distorted disturbances than with sinusoidal disturbances. The results infer that distortion increases the probability of incurring spontaneous and triggered instability in any rocket engine combustor by broadening the frequency range over which the vaporization process can support an instability.

  17. Plasma processes for producing silanes and derivatives thereof

    DOEpatents

    Laine, Richard M; Massey, Dean Richard; Peterson, Peter Young

    2014-03-25

    The invention is generally related to process for generating one or more molecules having the formula Si.sub.xH.sub.y, Si.sub.xD.sub.y, Si.sub.xH.sub.yD.sub.z, and mixtures thereof, where x,y and z are integers .gtoreq.1, H is hydrogen and D is deuterium, such as silane, comprising the steps of: providing a silicon containing material, wherein the silicon containing material includes at least 20 weight percent silicon atoms based on the total weight of the silicon containing material; generating a plasma capable of vaporizing a silicon atom, sputtering a silicon atom, or both using a plasma generating device; and contacting the plasma to the silicon containing material in a chamber having an atmosphere that includes at least about 0.5 mole percent hydrogen atoms and/or deuterium atoms based on the total moles of atoms in the atmosphere; so that a molecule having the formula Si.sub.xH.sub.y; (e.g., silane) is generated. The process preferably includes a step of removing one or more impurities from the Si.sub.xH.sub.y (e.g., the silane) to form a clean Si.sub.xH.sub.y, Si.sub.xD.sub.y, Si.sub.xH.sub.yD.sub.z (e.g., silane). The process may also include a step of reacting the Si.sub.xH.sub.y, Si.sub.xD.sub.y, Si.sub.xH.sub.yD.sub.z (e.g., the silane) to produce a high purity silicon containing material such as electronic grade metallic silicon, photovoltaic grade metallic silicon, or both.

  18. Metabonomics of Pig Blood Plasma Following Whole Body Exposure to Low Levels of Gb Vapor

    DTIC Science & Technology

    2005-10-01

    METABONOMICS OF PIG BLOOD PLASMA FOLLOWING WHOLE BODY EXPOSURE TO LOW LEVELS OF GB VAPOR Vicky L. H. Bevilacqua▲, Terrence G...of chemometrics and NMR will yield metabonomics data useful for establishing biochemical markers for agent exposure. Ideally, such markers would...associated with environmental change, a field recently referred to as " metabonomics ." High field nuclear magnetic resonance (NMR) has emerged as a

  19. Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Urstöger, Georg; Resel, Roland; Coclite, Anna Maria, E-mail: anna.coclite@tugraz.at

    2016-04-07

    A novel ionomer of hexamethyldisiloxane and methacrylic acid was synthesized by plasma enhanced chemical vapor deposition (PECVD). The PECVD process, being solventless, allows mixing of monomers with very different solubilities, and for polymers formed at high deposition rates and with high structural stability (due to the high number of cross-links and covalent bonding to the substrate) to be obtained. A kinetic study over a large set of parameters was run with the aim of determining the optimal conditions for high stability and proton conductivity of the polymer layer. Copolymers with good stability over 6 months' time in air and watermore » were obtained, as demonstrated by ellipsometry, X-Ray reflectivity, and FT-IR spectroscopy. Stable coatings showed also proton conductivity as high as 1.1 ± 0.1 mS cm{sup −1}. Chemical analysis showed that due to the high molecular weight of the chosen precursors, it was possible to keep the plasma energy-input-per-mass low. This allowed limited precursor fragmentation and the functional groups of both monomers to be retained during the plasma polymerization.« less

  20. Experimental Validation of Hybrid Distillation-Vapor Permeation Process for Energy Efficient Ethanol-Water Separation

    EPA Science Inventory

    The energy demand of distillation-based systems for ethanol recovery and dehydration can be significant, particularly for dilute solutions. An alternative separation process integrating vapor stripping with a vapor compression step and a vapor permeation membrane separation step...

  1. Experimental Validation of Hybrid Distillation-Vapor Permeation Process for Energy Efficient Ethanol-Water Separation

    EPA Science Inventory

    The energy demand of distillation-based systems for ethanol recovery and dehydration can be significant, particularly for dilute solutions. An alternative separation process integrating vapor stripping with a vapor compression step and a vapor permeation membrane separation step,...

  2. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    DOE PAGES

    Gou, Huiyang; Hemley, Russell J.; Hemawan, Kadek W.

    2015-11-02

    Polycrystalline diamond has been successfully synthesized on silicon substrates at atmospheric pressure using a microwave capillary plasma chemical vapor deposition technique. The CH 4/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H2 into the deposition gas chemistry. Electronically excited species of CN, C 2, Ar, N 2, CH, H β and H α were observed in emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T 2g phonon at 1333 cm -1 peak relative to the Raman features of graphitic carbon. Furthermore, fieldmore » emission scanning electron microscopy (SEM) images reveal that, depending on the on growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.« less

  3. Vapor Deposition Rig

    NASA Image and Video Library

    2015-01-27

    The Plasma Spray-Physical Vapor Deposition (PS-PVD) Rig at NASA Glenn Research Center. The rig helps develop coatings for next-generation aircraft turbine components and create more efficient engines.

  4. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  5. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  6. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    NASA Astrophysics Data System (ADS)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  7. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    NASA Astrophysics Data System (ADS)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  8. Dynamics of vapor emissions at wire explosion thresholda)

    NASA Astrophysics Data System (ADS)

    Belony, Paul A.; Kim, Yong W.

    2010-10-01

    X-pinch plasmas have been actively studied in the recent years. Numerical simulation of the ramp-up of metallic vapor emissions from wire specimens shows that under impulsive Ohmic heating the wire core invariably reaches a supercritical state before explosion. The heating rate depends sensitively on the local wire resistance, leading to highly variable vapor emission flux along the wire. To examine the vapor emission process, we have visualized nickel wire explosions by means of shock formation in air. In a single explosion as captured by shadowgraphy, there usually appear several shocks with spherical or cylindrical wave front originating from different parts of the wire. Growth of various shock fronts in time is well characterized by a power-law scaling in one form or another. Continuum emission spectra are obtained and calibrated to measure temperature near the explosion threshold. Shock front structures and vapor plume temperature are examined.

  9. Pervaporation and Vapor Permeation Tutorial: Membrane Processes for the Selective Separation of Liquid and Vapor Mixtures

    EPA Science Inventory

    Pervaporation and vapor permeation are membrane-based processes proposed as alternatives to conventional separation technologies. Applications range from organic solvent removal from water, ethanol or butanol recovery from fermentation broths, solvent/biofuel dehydration to meet ...

  10. Millimeter-wave Imaging Radiometer (MIR) data processing and development of water vapor retrieval algorithms

    NASA Technical Reports Server (NTRS)

    Chang, L. Aron

    1995-01-01

    This document describes the progress of the task of the Millimeter-wave Imaging Radiometer (MIR) data processing and the development of water vapor retrieval algorithms, for the second six-month performing period. Aircraft MIR data from two 1995 field experiments were collected and processed with a revised data processing software. Two revised versions of water vapor retrieval algorithm were developed, one for the execution of retrieval on a supercomputer platform, and one for using pressure as the vertical coordinate. Two implementations of incorporating products from other sensors into the water vapor retrieval system, one from the Special Sensor Microwave Imager (SSM/I), the other from the High-resolution Interferometer Sounder (HIS). Water vapor retrievals were performed for both airborne MIR data and spaceborne SSM/T-2 data, during field experiments of TOGA/COARE, CAMEX-1, and CAMEX-2. The climatology of water vapor during TOGA/COARE was examined by SSM/T-2 soundings and conventional rawinsonde.

  11. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  12. Plasma Processes for Semiconductor Fabrication

    NASA Astrophysics Data System (ADS)

    Hitchon, W. N. G.

    1999-01-01

    Plasma processing is a central technique in the fabrication of semiconductor devices. This self-contained book provides an up-to-date description of plasma etching and deposition in semiconductor fabrication. It presents the basic physics and chemistry of these processes, and shows how they can be accurately modeled. The author begins with an overview of plasma reactors and discusses the various models for understanding plasma processes. He then covers plasma chemistry, addressing the effects of different chemicals on the features being etched. Having presented the relevant background material, he then describes in detail the modeling of complex plasma systems, with reference to experimental results. The book closes with a useful glossary of technical terms. No prior knowledge of plasma physics is assumed in the book. It contains many homework exercises and serves as an ideal introduction to plasma processing and technology for graduate students of electrical engineering and materials science. It will also be a useful reference for practicing engineers in the semiconductor industry.

  13. Water vapor: An extraordinary terahertz wave source under optical excitation

    NASA Astrophysics Data System (ADS)

    Johnson, Keith; Price-Gallagher, Matthew; Mamer, Orval; Lesimple, Alain; Fletcher, Clark; Chen, Yunqing; Lu, Xiaofei; Yamaguchi, Masashi; Zhang, X.-C.

    2008-09-01

    In modern terahertz (THz) sensing and imaging spectroscopy, water is considered a nemesis to be avoided due to strong absorption in the THz frequency range. Here we report the first experimental demonstration and theoretical implications of using femtosecond laser pulses to generate intense broadband THz emission from water vapor. When we focused an intense laser pulse in water vapor contained in a gas cell or injected from a gas jet nozzle, an extraordinarily strong THz field from optically excited water vapor is observed. Water vapor has more than 50% greater THz generation efficiency than dry nitrogen. It had previously been assumed that the nonlinear generation of THz waves in this manner primarily involves a free-electron plasma, but we show that the molecular structure plays an essential role in the process. In particular, we found that THz wave generation from H2O vapor is significantly stronger than that from D2O vapor. Vibronic activities of water cluster ions, occurring naturally in water vapor, may possibly contribute to the observed isotope effect along with rovibrational contributions from the predominant monomers.

  14. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  15. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    PubMed

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  16. The study of lead vapor ionization in discharge with a hot cathode and efficiency of its deposition on the substrates applied for plasma separation method

    NASA Astrophysics Data System (ADS)

    Antonov, N. N.; Samokhin, A. A.; Zhabin, S. N.; Gavrikov, A. V.; Smirnov, V. P.

    2016-11-01

    Spent nuclear fuel plasma separation method approbation implies the use of model substances. Thus it is necessary to solve the problem of material conversion into a cold plasma flow, as well as the problem of deposition on collectors. For this purpose, we carried out a kinetic and hydrodynamic simulation of the discharge with hot cathode in the lead vapor (lead vapor was injected into the interelectrode gap). Dependencies of the ionization efficiency, electrostatic potential distribution, density distribution of ions and electrons in the discharge gap on the discharge current density and the model substance vapor concentration were obtained. The simulation results show that at discharge current density of about 3.5 A/cm2 and the lead vapor concentration of 2 × 1012 cm-3, the ionization efficiency is close to 60%. Experimental research of the discharge with a hot cathode in the lead vapor was carried out. We also carried out the research of the Pb condensation coefficients on various substrates. For experimental data analysis the numerical model based on Monte Carlo method was used. The research results show that deposition coefficients at medium temperatures of substrates near 70 °C do not drop lower than 75%.

  17. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  18. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition.

    PubMed

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Nanocomposite SiO x particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiO x matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH 4 promotes reduction in the oxygen content x of SiO x , and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x  = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD.

  19. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition

    PubMed Central

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Abstract Nanocomposite SiOx particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiOx matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH4 promotes reduction in the oxygen content x of SiOx, and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD. PMID:27933114

  20. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  1. Atomic Precision Plasma Processing - Modeling Investigations

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2016-09-01

    Sub-nanometer precision is increasingly being required of many critical plasma processes in the semiconductor industry. Some of these critical processes include atomic layer etch and plasma enhanced atomic layer deposition. Accurate control over ion energy and ion / radical composition is needed during plasma processing to meet the demanding atomic-precision requirements. While improvements in mainstream inductively and capacitively coupled plasmas can help achieve some of these goals, newer plasma technologies can expand the breadth of problems addressable by plasma processing. Computational modeling is used to examine issues relevant to atomic precision plasma processing in this paper. First, a molecular dynamics model is used to investigate atomic layer etch of Si and SiO2 in Cl2 and fluorocarbon plasmas. Both planar surfaces and nanoscale structures are considered. It is shown that accurate control of ion energy in the sub-50 eV range is necessary for atomic scale precision. In particular, if the ion energy is greater than 10 eV during plasma processing, several atomic layers get damaged near the surface. Low electron temperature (Te) plasmas are particularly attractive for atomic precision plasma processing due to their low plasma potential. One of the most attractive options in this regard is energetic-electron beam generated plasma, where Te <0.5 eV has been achieved in plasmas of molecular gases. These low Te plasmas are computationally examined in this paper using a hybrid fluid-kinetic model. It is shown that such plasmas not only allow for sub-5 eV ion energies, but also enable wider range of ion / radical composition. Coauthors: Jun-Chieh Wang, Jason Kenney, Ankur Agarwal, Leonid Dorf, and Ken Collins.

  2. NBI - plasma vaporization hybrid approach in bladder cancer endoscopic management.

    PubMed

    Stănescu, F; Geavlete, B; Georgescu, D; Jecu, M; Moldoveanu, C; Adou, L; Bulai, C; Ene, C; Geavlete, P

    2014-06-15

    A prospective study was performed aiming to evaluate the surgical efficacy, perioperative safety profile, diagnostic accuracy and medium term results of a multi-modal approach consisting in narrow band imaging (NBI) cystoscopy and bipolar plasma vaporization (BPV) when compared to the standard protocol represented by white light cystoscopy (WLC) and transurethral resection of bladder tumors (TURBT). A total of 260 patients with apparently at least one bladder tumor over 3 cm were included in the trial. In the first group, 130 patients underwent conventional and NBI cystoscopy followed by BPV, while in a similar number of cases of the second arm, classical WLC and TURBT were applied. In all non-muscle invasive bladder tumors' (NMIBT) pathologically confirmed cases, standard monopolar Re-TUR was performed at 4-6 weeks after the initial intervention, followed by one year' BCG immunotherapy. The follow-up protocol included abdominal ultrasound, urinary cytology and WLC, performed every 3 months for a period of 2 years. The obturator nerve stimulation, bladder wall perforation, mean hemoglobin level drop, postoperative bleeding, catheterization period and hospital stay were significantly reduced for the plasma vaporization technique by comparison to conventional resection. Concerning tumoral detection, the present data confirmed the NBI superiority when compared to standard WLC regardless of tumor stage (95.3% vs. 65.1% for CIS, 93.3% vs. 82.2% for pTa, 97.4% vs. 94% for pT1, 95% vs. 84.2% overall). During standard Re-TUR the overall (6.3% versus 17.4%) and primary site (3.6% versus 12.8%) residual tumors' rates were significantly lower for the NBI-BPV group. The 1 (7.2% versus 18.3%) and 2 (11.5% versus 25.8%) years' recurrence rates were substantially lower for the combined approach. NBI cystoscopy significantly improved diagnostic accuracy, while bipolar technology showed a higher surgical efficiency, lower morbidity and faster postoperative recovery. The combined

  3. Laser vaporization of cirrus-like ice particles with secondary ice multiplication

    PubMed Central

    Matthews, Mary; Pomel, François; Wender, Christiane; Kiselev, Alexei; Duft, Denis; Kasparian, Jérôme; Wolf, Jean-Pierre; Leisner, Thomas

    2016-01-01

    We investigate the interaction of ultrashort laser filaments with individual 90-μm ice particles, representative of cirrus particles. The ice particles fragment under laser illumination. By monitoring the evolution of the corresponding ice/vapor system at up to 140,000 frames per second over 30 ms, we conclude that a shockwave vaporization supersaturates the neighboring region relative to ice, allowing the nucleation and growth of new ice particles, supported by laser-induced plasma photochemistry. This process constitutes the first direct observation of filament-induced secondary ice multiplication, a process that strongly modifies the particle size distribution and, thus, the albedo of typical cirrus clouds. PMID:27386537

  4. Laser vaporization of cirrus-like ice particles with secondary ice multiplication.

    PubMed

    Matthews, Mary; Pomel, François; Wender, Christiane; Kiselev, Alexei; Duft, Denis; Kasparian, Jérôme; Wolf, Jean-Pierre; Leisner, Thomas

    2016-05-01

    We investigate the interaction of ultrashort laser filaments with individual 90-μm ice particles, representative of cirrus particles. The ice particles fragment under laser illumination. By monitoring the evolution of the corresponding ice/vapor system at up to 140,000 frames per second over 30 ms, we conclude that a shockwave vaporization supersaturates the neighboring region relative to ice, allowing the nucleation and growth of new ice particles, supported by laser-induced plasma photochemistry. This process constitutes the first direct observation of filament-induced secondary ice multiplication, a process that strongly modifies the particle size distribution and, thus, the albedo of typical cirrus clouds.

  5. Analysis of organic vapors with laser induced breakdown spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nozari, Hadi; Tavassoli, Seyed Hassan; Rezaei, Fatemeh, E-mail: fatemehrezaei@kntu.ac.ir

    2015-09-15

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminishmore » gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor.« less

  6. Thin film coating process using an inductively coupled plasma

    DOEpatents

    Kniseley, Richard N.; Schmidt, Frederick A.; Merkle, Brian D.

    1990-01-30

    Thin coatings of normally solid materials are applied to target substrates using an inductively coupled plasma. Particles of the coating material are vaporized by plasma heating, and pass through an orifice to a first vacuum zone in which the particles are accelerated to a velocity greater than Mach 1. The shock wave generated in the first vacuum zone is intercepted by the tip of a skimmer cone that provides a second orifice. The particles pass through the second orifice into a second zone maintained at a higher vacuum and impinge on the target to form the coating. Ultrapure coatings can be formed.

  7. Comprehensive process maps for synthesizing high density aluminum oxide-carbon nanotube coatings by plasma spraying for improved mechanical and wear properties

    NASA Astrophysics Data System (ADS)

    Keshri, Anup Kumar

    Plasma sprayed aluminum oxide ceramic coating is widely used due to its outstanding wear, corrosion, and thermal shock resistance. But porosity is the integral feature in the plasma sprayed coating which exponentially degrades its properties. In this study, process maps were developed to obtain Al2O3-CNT composite coatings with the highest density (i.e. lowest porosity) and improved mechanical and wear properties. Process map is defined as a set of relationships that correlates large number of plasma processing parameters to the coating properties. Carbon nanotubes (CNTs) were added as reinforcement to Al2O 3 coating to improve the fracture toughness and wear resistance. Two novel powder processing approaches viz spray drying and chemical vapor growth were adopted to disperse CNTs in Al2O3 powder. The degree of CNT dispersion via chemical vapor deposition (CVD) was superior to spray drying but CVD could not synthesize powder in large amount. Hence optimization of plasma processing parameters and process map development was limited to spray dried Al2O3 powder containing 0, 4 and 8 wt. % CNTs. An empirical model using Pareto diagram was developed to link plasma processing parameters with the porosity of coating. Splat morphology as a function of plasma processing parameter was also studied to understand its effect on mechanical properties. Addition of a mere 1.5 wt. % CNTs via CVD technique showed ˜27% and ˜24% increase in the elastic modulus and fracture toughness respectively. Improved toughness was attributed to combined effect of lower porosity and uniform dispersion of CNTs which promoted the toughening by CNT bridging, crack deflection and strong CNT/Al2O3 interface. Al2O 3-8 wt. % CNT coating synthesized using spray dried powder showed 73% improvement in the fracture toughness when porosity reduced from 4.7% to 3.0%. Wear resistance of all coatings at room and elevated temperatures (573 K, 873 K) showed improvement with CNT addition and decreased porosity

  8. Chemical vapor deposition for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1980-01-01

    Chemical vapor deposition for automatic processing of integrated circuits including the wafer carrier and loading from a receiving air track into automatic furnaces and unloading on to a sending air track is discussed. Passivation using electron beam deposited quartz is also considered.

  9. Low Temperature Metal Free Growth of Graphene on Insulating Substrates by Plasma Assisted Chemical Vapor Deposition

    PubMed Central

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2016-01-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650°C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω·sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies. PMID:28070341

  10. Processes Controlling Water Vapor in the Winter Arctic Tropopause Region

    NASA Technical Reports Server (NTRS)

    Pfister, Leonhard; Selkirk, Henry B.; Jensen, Eric J.; Padolske, James; Sachse, Glen; Avery, Melody; Schoeberl, Mark R.; Mahoney, Michael J.; Richard, Erik

    2002-01-01

    This work describes transport and thermodynamic processes that control water vapor near the tropopause during the SAGE III-Ozone Loss and Validation Experiment (SOLVE), held during the Arctic 1999/2000 winter season. Aircraft-based water vapor, carbon monoxide, and ozone measurements were analyzed so as to establish how deeply tropospheric air mixes into the Arctic lowermost stratosphere and what the implications are for cloud formation and water vapor removal in this region of the atmosphere. There are three major findings. First, troposphere-to-stratosphere exchange extends into the Arctic stratosphere to about 13 km. Penetration is to similar levels throughout the winter, however, because ozone increases with altitude most rapidly in the early spring, tropospheric air mixes with the highest values of ozone in that season. The effect of this upward mixing is to elevate water vapor mixing ratios significantly above their prevailing stratospheric values of above 5ppmv. Second, the potential for cloud formation in the stratosphere is highest during early spring, with about 20% of the parcels which have ozone values of 300-350 ppbv experiencing ice saturation in a given 10 day period. Third, during early spring, temperatures at the troposphere are cold enough so that 5-10% of parcels experience relative humidities above 100%, even if the water content is as low as 5 ppmv. The implication is that during this period, dynamical processes near the Arctic tropopause can dehydrate air and keep the Arctic tropopause region very dry during early spring.

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    NASA Technical Reports Server (NTRS)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  13. Optical Characteristics of a Gas Discharge Plasma Based on a Mixture of Mercury Diiodide Vapor, Nitrogen, and Helium

    NASA Astrophysics Data System (ADS)

    Malinina, A. A.; Malinin, A. N.

    2016-09-01

    The results of studies of spectral, temporal, and energy characteristics of radiation in a gas discharge plasma based on a mixture of mercury diiodide vapor with helium and nitrogen in the spectral range of 350-800 nm are presented. Plasma was produced by a barrier discharge in a device with a cylindrical aperture. The electrodes 0.2 m in length were placed at a distance of 0.015 m. The amplitude of the pump pulses, their duration, and frequency were equal to 20-30 kV, 150 ns, and 1-20 kHz, respectively. Radiation of mercury monoiodide exciplex molecules was revealed in the visible spectra region. Dependences of the plasma optical characteristics on the partial pressures of the mixture components were established.

  14. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  15. Method & apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Ward, Pamela Denise; Stevenson, Joel O'Don

    2004-10-19

    The invention generally relates to various aspects of a plasma process and, more specifically, to the monitoring of such plasma processes. One aspect relates to a plasma monitoring module that may be adjusted in at least some manner so as to re-evaluate a previously monitored plasma process. For instance, optical emissions data on a plasma process that was previously monitored by the plasma monitoring module may be replayed through the plasma monitoring module after making at least one adjustment in relation to the plasma monitoring module.

  16. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    NASA Astrophysics Data System (ADS)

    Shi, Hualiang

    generator from ICP to RIE, increasing hard mask thickness, replacing O2 by CO2 plasma, increasing CO addition in CO/O 2 plasma, and increasing N2 addition in CO2/N 2 plasma. By combining analytical techniques with the Kramers-Kronig dispersion relation and quantum chemistry calculation, the origin of dielectric loss was ascribed to the physisorbed water molecules. Post-ash CH4 plasma treatment, vapor silylation process, and UV radiation were developed to repair plasma damage.

  17. Experimental Evaluation of Hybrid Distillation-Vapor Permeation Process for Efficient Ethanol Recovery from Ethanol-Water Mixtures

    EPA Science Inventory

    The energy demand of distillation-based systems for ethanol recovery and dehydration can be significant, particularly for dilute solutions [1]. An alternative separation process integrating vapor stripping with a vapor compression step and a vapor permeation membrane separation ...

  18. Fabrication of ultrathin and highly uniform silicon on insulator by numerically controlled plasma chemical vaporization machining.

    PubMed

    Sano, Yasuhisa; Yamamura, Kazuya; Mimura, Hidekazu; Yamauchi, Kazuto; Mori, Yuzo

    2007-08-01

    Metal-oxide semiconductor field-effect transistors fabricated on a silicon-on-insulator (SOI) wafer operate faster and at a lower power than those fabricated on a bulk silicon wafer. Scaling down, which improves their performances, demands thinner SOI wafers. In this article, improvement on the thinning of SOI wafers by numerically controlled plasma chemical vaporization machining (PCVM) is described. PCVM is a gas-phase chemical etching method in which reactive species generated in atmospheric-pressure plasma are used. Some factors affecting uniformity are investigated and methods for improvements are presented. As a result of thinning a commercial 8 in. SOI wafer, the initial SOI layer thickness of 97.5+/-4.7 nm was successfully thinned and made uniform at 7.5+/-1.5 nm.

  19. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  20. Study of Cryogenic Complex Plasma

    DTIC Science & Technology

    2008-10-27

    nitrogen or liquid helium) and dust particles are introduced in the plasma. In YD-2, a cryogenic plasma is produced in the vapor of liquid helium above the...cryogenic liquid ( liquid nitrogen or liquid helium) and dust particles are introduced in the plasma. In YD-2, a cryogenic plasma is produced in the vapor...cryogenic liquid ( liquid nitrogen or liquid helium) in the Dewar bottle produces a stable plasma. We have been successful in producing a plasma (1

  1. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  2. Synthesis of a fine neurological electrode by plasma polymerization processing.

    PubMed

    Cannon, J G; Dillon, R O; Bunshah, R F; Crandall, P H; Dymond, A M

    1980-05-01

    This research is part of a continuing program for the development of a coaxial depth electrode for research and diagnostic studies of neurological diseases. The requirements for this electrode include (1) strength and resistance to buckling sufficient to ensure self-forced penetration of brain tissue to a depth of 6 cm; (2) biocompatibility of the materials employed; (3) resistance to brittle fracture; and (4) a total diameter of less than 200 micrometer to minimize tissue damage. Earlier synthesis efforts using chemical vapor deposition techniques have been successful, although the process yield was 40% and an outer insulating layer had yet to be deposited. Plasma polymerization processes have been employed to realize an increase in the yield and provide an outer insulating layer. The starting material is W-26 at.% Re wire, nominally 125 micrometer in diameter. Hexamethyldisilazane(CH3)3SiNHSi(CH3)3 is used to deposit the insulating layers. The paper describes factors influencing the choice of materials, deposition techniques, and properties of electrodes.

  3. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2001-01-01

    A process for producing polycrystalline silicon carbide by heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  4. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2000-01-01

    A process for producing polycrystalline silicon carbide includes heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  5. Development of speckle-free channel-cut crystal optics using plasma chemical vaporization machining for coherent x-ray applications.

    PubMed

    Hirano, Takashi; Osaka, Taito; Sano, Yasuhisa; Inubushi, Yuichi; Matsuyama, Satoshi; Tono, Kensuke; Ishikawa, Tetsuya; Yabashi, Makina; Yamauchi, Kazuto

    2016-06-01

    We have developed a method of fabricating speckle-free channel-cut crystal optics with plasma chemical vaporization machining, an etching method using atmospheric-pressure plasma, for coherent X-ray applications. We investigated the etching characteristics to silicon crystals and achieved a small surface roughness of less than 1 nm rms at a removal depth of >10 μm, which satisfies the requirements for eliminating subsurface damage while suppressing diffuse scattering from rough surfaces. We applied this method for fabricating channel-cut Si(220) crystals for a hard X-ray split-and-delay optical system and confirmed that the crystals provided speckle-free reflection profiles under coherent X-ray illumination.

  6. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  7. Mechanism of high growth rate for diamond-like carbon films synthesized by helicon wave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Peiyu, JI; Jun, YU; Tianyuan, HUANG; Chenggang, JIN; Yan, YANG; Lanjian, ZHUGE; Xuemei, WU

    2018-02-01

    A high growth rate fabrication of diamond-like carbon (DLC) films at room temperature was achieved by helicon wave plasma chemical vapor deposition (HWP-CVD) using Ar/CH4 gas mixtures. The microstructure and morphology of the films were characterized by Raman spectroscopy and scanning electron microscopy. The diagnosis of plasma excited by a helicon wave was measured by optical emission spectroscopy and a Langmuir probe. The mechanism of high growth rate fabrication for DLC films by HWP-CVD has been discussed. The growth rate of the DLC films reaches a maximum value of 54 μm h-1 at the CH4 flow rate of 85 sccm, which is attributed to the higher plasma density during the helicon wave plasma discharge. The CH and H α radicals play an important role in the growth of DLC films. The results show that the H α radicals are beneficial to the formation and stabilization of C=C bond from sp2 to sp3.

  8. Plasma chemistry study of PLAD processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin Shu; Brumfield, Kyle; Liu, Lequn Jennifer

    2012-11-06

    Plasma doping (PLAD) shows very different impurity profiles compared to the conventional beam-line-based ion implantations due to its non-mass separation property and plasma environment. There is no simulation for PLAD process so far due to a lack of a dopant profile model. Several factors determine impurity profiles of PLAD process. The most significant factors are: plasma chemistry and deposition/etching characteristics of multi-ion species plasmas. In this paper, we present plasma chemistry and deposition/etching characteristics of PLAD processes versus co-gas dilutions. Four dopant plasmas including B{sub 2}H{sub 6}, BF{sub 3}, AsH{sub 3}, and PH{sub 3}, and two non-dopant plasmas including CH{submore » 4} and GeH{sub 4} are studied and demonstrated.« less

  9. Laser-induced plasma cloud interaction and ice multiplication under cirrus cloud conditions.

    PubMed

    Leisner, Thomas; Duft, Denis; Möhler, Ottmar; Saathoff, Harald; Schnaiter, Martin; Henin, Stefano; Stelmaszczyk, Kamil; Petrarca, Massimo; Delagrange, Raphaëlle; Hao, Zuoqiang; Lüder, Johannes; Petit, Yannick; Rohwetter, Philipp; Kasparian, Jérôme; Wolf, Jean-Pierre; Wöste, Ludger

    2013-06-18

    Potential impacts of lightning-induced plasma on cloud ice formation and precipitation have been a subject of debate for decades. Here, we report on the interaction of laser-generated plasma channels with water and ice clouds observed in a large cloud simulation chamber. Under the conditions of a typical storm cloud, in which ice and supercooled water coexist, no direct influence of the plasma channels on ice formation or precipitation processes could be detected. Under conditions typical for thin cirrus ice clouds, however, the plasma channels induced a surprisingly strong effect of ice multiplication. Within a few minutes, the laser action led to a strong enhancement of the total ice particle number density in the chamber by up to a factor of 100, even though only a 10(-9) fraction of the chamber volume was exposed to the plasma channels. The newly formed ice particles quickly reduced the water vapor pressure to ice saturation, thereby increasing the cloud optical thickness by up to three orders of magnitude. A model relying on the complete vaporization of ice particles in the laser filament and the condensation of the resulting water vapor on plasma ions reproduces our experimental findings. This surprising effect might open new perspectives for remote sensing of water vapor and ice in the upper troposphere.

  10. Preliminary investigation of electrothermal vaporization sample introduction for inductively coupled plasma time-of-flight mass spectrometry.

    PubMed

    Mahoney, P P; Ray, S J; Li, G; Hieftje, G M

    1999-04-01

    The coupling of an electrothermal vaporization (ETV) apparatus to an inductively coupled plasma time-of-flight mass spectrometer (ICP-TOFMS) is described. The ability of the ICP-TOFMS to produce complete elemental mass spectra at high repetition rates is experimentally demonstrated. A signal-averaging data acquisition board is employed to rapidly record complete elemental spectra throughout the vaporization stage of the ETV temperature cycle; a solution containing 34 elements is analyzed. The reduction of both molecular and atomic isobaric interferences through the temperature program of the furnace is demonstrated. Isobaric overlaps among the isotopes of cadmium, tin, and indium are resolved by exploiting differences in the vaporization characteristics of the elements. Figures of merit for the system are defined with several different data acquisition schemes capable of operating at the high repetition rate of the TOF instrument. With the use of both ion counting and a boxcar averager, the dynamic range is shown to be linear over a range of at least 6 orders of magnitude. A pair of boxcar averagers are used to measure the isotope ratio for silver with a precision of 1.9% RSD, despite a cycle-to-cycle precision of 19% RSD. Detection limits of 10-80 fg are calculated for seven elements, based upon a 10-microL injection.

  11. Corrosion processes of physical vapor deposition-coated metallic implants.

    PubMed

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  12. Numerical study of heating and evaporation processes of quartz particles in RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Grishin, Yu M.; Miao, Long

    2017-05-01

    Numerical simulations of heat and evaporation processes of quartz particles in Ar radio frequency inductively coupled plasma (ICP) are investigated. The quartz particles are supplied by the carrier gas into the ICP within gas-cooling. It is shown that with the increase of amplitude of discharge current above critical value there is a toroidal vortex in the ICP torch at the first coil. The conditions for the formation of vortex and the parameters of the vortex tube have been evaluated and determined. The influence of vortex, discharge current, coil numbers and feed rate of carrier gas on the evaporation efficiency of quartz particles have been demonstrated. It was found that the optimal discharge current is close to the critical value when the quartz particles with initial sizes up to 130 μm can be fully vaporized in the ICP torch with thermal power of 10kW. The heat and evaporation processes of quartz particles in the ICP torch have significant importance for the study of one-step plasma chemical reaction method directly producing silicon from silicide (SiO2) in the argon-hydrogen plasma.

  13. Development of vapor phase hydrogen peroxide sterilization process for spacecraft applications

    NASA Technical Reports Server (NTRS)

    Rohatgi, N.; Schubert, W.; Knight, J.; Quigley, M.; Forsberg, G.; Ganapathi, G.; Yarbrough, C.; Koukol, R.

    2001-01-01

    This paper will present test data and discussion on the work we are conducting at JPL to address the following issues: 1) efficacy of sterilization process; 2) diffusion of hydrogen peroxide under sterilization process conditions into hard to reach places; 3) materials and components compatibility with the sterilization process and 4) development of methodology to protect sensitive components from hydrogen peroxide vapor.

  14. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    PubMed Central

    Achour, Amine; Saeed, Khalid; Djouadi, Mohamed Abdou

    2018-01-01

    In this work, we report development of hybrid nanostructures of metal nanoparticles (NP) and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC) processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT). The X-ray photoelectron spectroscope (XPS) and atomic force microscope (AFM) studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM), reduction time (5, 20 s), and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution) depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm) could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT) and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features. PMID:29702583

  15. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    DOEpatents

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  16. Hybrid Vapor Stripping-Vapor Permeation Process for Recovery and Dehydration of 1-Butanol and Acetone/Butanol/Ethanol from Dilute Aqueous Solutions. Part 2. Experimental Validation with Simple Mixtures and Actual Fermentation Broth

    EPA Science Inventory

    BACKGROUND: In Part1 of this work, a process integrating vapor stripping, vapor compression, and a vapor permeation membrane separation step, Membrane Assisted Vapor Stripping (MAVS), was predicted to produce energy savings compared to traditional distillation systems for separat...

  17. Tissue ablation after 120W greenlight laser vaporization and bipolar plasma vaporization of the prostate: a comparison using transrectal three-dimensional ultrasound volumetry

    NASA Astrophysics Data System (ADS)

    Kranzbühler, Benedikt; Gross, Oliver; Fankhauser, Christian D.; Hefermehl, Lukas J.; Poyet, Cédric; Largo, Remo; Müntener, Michael; Seifert, Hans-Helge; Zimmermann, Matthias; Sulser, Tullio; Müller, Alexander; Hermanns, Thomas

    2012-02-01

    Introduction and objectives: Greenlight laser vaporization (LV) of the prostate is characterized by simultaneous vaporization and coagulation of prostatic tissue resulting in tissue ablation together with excellent hemostasis during the procedure. It has been reported that bipolar plasma vaporization (BPV) of the prostate might be an alternative for LV. So far, it has not been shown that BPV is as effective as LV in terms of tissue ablation or hemostasis. We performed transrectal three-dimensional ultrasound investigations to compare the efficiency of tissue ablation between LV and BPV. Methods: Between 11.2009 and 5.2011, 50 patients underwent pure BPV in our institution. These patients were matched with regard to the pre-operative prostate volume to 50 LV patients from our existing 3D-volumetry-database. Transrectal 3D ultrasound and planimetric volumetry of the prostate were performed pre-operatively, after catheter removal, 6 weeks and 6 months. Results: Median pre-operative prostate volume was not significantly different between the two groups (45.3ml vs. 45.4ml; p=1.0). After catheter removal, median absolute volume reduction (BPV 12.4ml, LV 6.55ml) as well as relative volume reduction (27.8% vs. 16.4%) were significantly higher in the BPV group (p<0.001). After six weeks (42.9% vs. 33.3%) and six months (47.2% vs. 39.7%), relative volume reduction remained significantly higher in the BPV group (p<0.001). Absolute volume reduction was non-significantly higher in the BPV group after six weeks (18.4ml, 13.8ml; p=0.051) and six months (20.8ml, 18ml; p=0.3). Clinical outcome parameters improved significantly in both groups without relevant differences between the groups. Conclusions: Both vaporization techniques result in efficient tissue ablation with initial prostatic swelling. BPV seems to be superior due to a higher relative volume reduction. This difference had no clinical impact after a follow-up of 6M.

  18. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, C.C.; Gorbatkin, S.M.; Berry, L.A.

    1991-07-16

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm[sup 2]. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity. 3 figures.

  19. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, Chin-Chi; Gorbatkin, Steven M.; Berry, Lee A.

    1991-01-01

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm.sup.2. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity.

  20. Plasma characterization studies for materials processing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pfender, E.; Heberlein, J.

    New applications for plasma processing of materials require a more detailed understanding of the fundamental processes occurring in the processing reactors. We have developed reactors offering specific advantages for materials processing, and we are using modeling and diagnostic techniques for the characterization of these reactors. The emphasis is in part set by the interest shown by industry pursuing specific plasma processing applications. In this paper we report on the modeling of radio frequency plasma reactors for use in materials synthesis, and on the characterization of the high rate diamond deposition process using liquid precursors. In the radio frequency plasma torchmore » model, the influence of specific design changes such as the location of the excitation coil on the enthalpy flow distribution is investigated for oxygen and air as plasma gases. The diamond deposition with liquid precursors has identified the efficient mass transport in form of liquid droplets into the boundary layer as responsible for high growth, and the chemical properties of the liquid for the film morphology.« less

  1. Highly ionized physical vapor deposition plasma source working at very low pressure

    NASA Astrophysics Data System (ADS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  2. Holographic studies of the vapor explosion of vaporizing water-in-fuel emulsion droplets

    NASA Technical Reports Server (NTRS)

    Sheffield, S. A.; Hess, C. F.; Trolinger, J. D.

    1982-01-01

    Holographic studies were performed which examined the fragmentation process during vapor explosion of a water-in-fuel (hexadecane/water) emulsion droplet. Holograms were taken at 700 to 1000 microseconds after the vapor explosion. Photographs of the reconstructed holograms reveal a wide range of fragment droplet sizes created during the explosion process. Fragment droplet diameters range from below 10 microns to over 100 microns. It is estimated that between ten thousand and a million fragment droplets can result from this extremely violent vapor explosion process. This enhanced atomization is thus expected to have a pronounced effect on vaporization processes which are present during combustion of emulsified fuels.

  3. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    NASA Technical Reports Server (NTRS)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  4. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  5. Laser-induced plasma cloud interaction and ice multiplication under cirrus cloud conditions

    PubMed Central

    Leisner, Thomas; Duft, Denis; Möhler, Ottmar; Saathoff, Harald; Schnaiter, Martin; Henin, Stefano; Stelmaszczyk, Kamil; Petrarca, Massimo; Delagrange, Raphaëlle; Hao, Zuoqiang; Lüder, Johannes; Petit, Yannick; Rohwetter, Philipp; Kasparian, Jérôme; Wolf, Jean-Pierre; Wöste, Ludger

    2013-01-01

    Potential impacts of lightning-induced plasma on cloud ice formation and precipitation have been a subject of debate for decades. Here, we report on the interaction of laser-generated plasma channels with water and ice clouds observed in a large cloud simulation chamber. Under the conditions of a typical storm cloud, in which ice and supercooled water coexist, no direct influence of the plasma channels on ice formation or precipitation processes could be detected. Under conditions typical for thin cirrus ice clouds, however, the plasma channels induced a surprisingly strong effect of ice multiplication. Within a few minutes, the laser action led to a strong enhancement of the total ice particle number density in the chamber by up to a factor of 100, even though only a 10−9 fraction of the chamber volume was exposed to the plasma channels. The newly formed ice particles quickly reduced the water vapor pressure to ice saturation, thereby increasing the cloud optical thickness by up to three orders of magnitude. A model relying on the complete vaporization of ice particles in the laser filament and the condensation of the resulting water vapor on plasma ions reproduces our experimental findings. This surprising effect might open new perspectives for remote sensing of water vapor and ice in the upper troposphere. PMID:23733936

  6. Plasma chemistry for inorganic materials

    NASA Technical Reports Server (NTRS)

    Matsumoto, O.

    1980-01-01

    Practical application of plasma chemistry to the development of inorganic materials using both low temperature and warm plasmas are summarized. Topics cover: the surface nitrification and oxidation of metals; chemical vapor deposition; formation of minute oxide particles; the composition of oxides from chloride vapor; the composition of carbides and nitrides; freezing high temperature phases by plasma arc welding and plasma jet; use of plasma in the development of a substitute for petroleum; the production of silicon for use in solar cell batteries; and insulating the inner surface of nuclear fusion reactor walls.

  7. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  8. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition.

    PubMed

    Kim, Y; Song, W; Lee, S Y; Jeon, C; Jung, W; Kim, M; Park, C-Y

    2011-06-27

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω∕sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  9. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  10. Cold plasma processing technology makes advances

    USDA-ARS?s Scientific Manuscript database

    Cold plasma (AKA nonthermal plasma, cool plasma, gas plasma, etc.) is a rapidly maturing antimicrobial process being developed for applications in the food industry. A wide array of devices can be used to create cold plasma, but the defining characteristic is that they operate at or near room temper...

  11. Process for forming planarized films

    DOEpatents

    Pang, Stella W.; Horn, Mark W.

    1991-01-01

    A planarization process and apparatus which employs plasma-enhanced chemical vapor deposition (PECVD) to form plarnarization films of dielectric or conductive carbonaceous material on step-like substrates.

  12. Processes Controlling Water Vapor in the Winter Arctic Tropopause Region

    NASA Technical Reports Server (NTRS)

    Pfister, Leonhard; Selkirk, Henry B.; Jensen, Eric J.; Podolske, James; Sachse, Glen; Avery, Melody; Schoeberl, Mark R.; Hipskino, R. Stephen (Technical Monitor)

    2001-01-01

    This work describes transport and thermodynamic processes that control water vapor near the tropopause during the SAGE Ozone Loss and Validation Experiment (SOLVE), held during the Arctic 1999-2000 winter season. Aircraft based water vapor, carbon monoxide, and ozone measurements are analyzed so as to establish how deeply tropospheric air mixes into the arctic lower-most stratosphere, and what the implications are for cloud formation and water vapor removal in this region of the atmosphere. There are three major findings. First, troposphere-to- stratosphere exchange extends into the arctic stratosphere to about 13 km. Penetration is to similar levels throughout the winter, however, because ozone increases idly in the early spring, tropospheric air mixes with the highest values of ozone in that season. The effect of this upward mixing is to elevate water vapor mixing ratios significantly above their prevailing stratospheric values of about 5 ppmv. Second, the potential for cloud formation in the stratosphere is highest during early spring, with about 20\\% of the parcels which have ozone values of 300-350ppbv experiencing ice saturation in a given 10 day period. Third, during early Spring temperatures at the tropopause are cold enough so that 5-10\\% of parcels experience relative humidities above 100\\%, even if the water content is as low as 5 ppmv. The implication is that during, this period the arctic tropopause can play an important role in maintaining a very dry upper troposphere during early Spring.

  13. Molecular dynamic approach to the study of the intense heat and mass transfer processes on the vapor-liquid interface

    NASA Astrophysics Data System (ADS)

    Levashov, V. Yu; Kamenov, P. K.

    2017-10-01

    The paper is devoted to research of the heat and mass transfer processes on the vapor-liquid interface. These processes can be realized for example at metal tempering, accidents at nuclear power stations, followed by the release of the corium into the heat carrier, getting hot magma into the water during volcanic eruptions and other. In all these examples the vapor film can arise on the heated body surface. In this paper the vapor film formation process will be considered with help of molecular dynamics simulation methods. The main attention during this process modeling will be focused on the subject of the fluid and vapor interactions with the heater surface. Another direction of this work is to study of the processes inside the droplet that may take place as result of impact of the high-power laser radiation. Such impact can lead to intensive evaporation and explosive destruction of the droplet. At that the duration of heat and mass transfer processes in droplet substance is tens of femtoseconds. Thus, the methods of molecular dynamics simulation can give the possibilities describe the heat and mass transfer processes in the droplet and the vapor phase formation.

  14. Vapor purification with self-cleaning filter

    DOEpatents

    Josephson, Gary B.; Heath, William O.; Aardahl, Christopher L.

    2003-12-09

    A vapor filtration device including a first electrode, a second electrode, and a filter between the first and second electrodes is disclosed. The filter is formed of dielectric material and the device is operated by applying a first electric potential between the electrodes to polarize the dielectric material such that upon passing a vapor stream through the filter, particles from the vapor stream are deposited onto the filter. After depositing the particles a second higher voltage is applied between the electrodes to form a nonthermal plasma around the filter to vaporize the collected particles thereby cleaning the filter. The filter can be a packed bed or serpentine filter mat, and an optional upstream corona wire can be utilized to charge airborne particles prior to their deposition on the filter.

  15. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  16. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  17. Plasma process control with optical emission spectroscopy

    NASA Astrophysics Data System (ADS)

    Ward, P. P.

    Plasma processes for cleaning, etching and desmear of electronic components and printed wiring boards (PWB) are difficult to predict and control. Non-uniformity of most plasma processes and sensitivity to environmental changes make it difficult to maintain process stability from day to day. To assure plasma process performance, weight loss coupons or post-plasma destructive testing must be used. The problem with these techniques is that they are not real-time methods and do not allow for immediate diagnosis and process correction. These methods often require scrapping some fraction of a batch to insure the integrity of the rest. Since these methods verify a successful cycle with post-plasma diagnostics, poor test results often determine that a batch is substandard and the resulting parts unusable. Both of these methods are a costly part of the overall fabrication cost. A more efficient method of testing would allow for constant monitoring of plasma conditions and process control. Process failures should be detected before the parts being treated. are damaged. Real time monitoring would allow for instantaneous corrections. Multiple site monitoring would allow for process mapping within one system or simultaneous monitoring of multiple systems. Optical emission spectroscopy conducted external to the plasma apparatus would allow for this sort of multifunctional analysis without perturbing the glow discharge. In this paper, optical emission spectroscopy for non-intrusive, in situ process control will be explored. A discussion of this technique as it applies towards process control, failure analysis and endpoint determination will be conducted. Methods for identifying process failures, progress and end of etch back and desmear processes will be discussed.

  18. Influence of Bondcoat Spray Process on Lifetime of Suspension Plasma-Sprayed Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Gupta, M.; Markocsan, N.; Li, X.-H.; Östergren, L.

    2017-12-01

    Development of thermal barrier coatings (TBCs) manufactured by suspension plasma spraying (SPS) is of high commercial interest as SPS has been shown capable of producing highly porous columnar microstructures similar to the conventionally used electron beam-physical vapor deposition. However, lifetime of SPS coatings needs to be improved further to be used in commercial applications. The bondcoat microstructure as well as topcoat-bondcoat interface topography affects the TBC lifetime significantly. The objective of this work was to investigate the influence of different bondcoat deposition processes for SPS topcoats. In this work, a NiCoCrAlY bondcoat deposited by high velocity air fuel (HVAF) was compared to commercial vacuum plasma-sprayed NiCoCrAlY and PtAl diffusion bondcoats. All bondcoat variations were prepared with and without grit blasting the bondcoat surface. SPS was used to deposit the topcoats on all samples using the same spray parameters. Lifetime of these samples was examined by thermal cyclic fatigue testing. Isothermal heat treatment was performed to study bondcoat oxidation over time. The effect of bondcoat deposition process and interface topography on lifetime in each case has been discussed. The results show that HVAF could be a suitable process for bondcoat deposition in SPS TBCs.

  19. Influence of Bondcoat Spray Process on Lifetime of Suspension Plasma-Sprayed Thermal Barrier Coatings

    NASA Astrophysics Data System (ADS)

    Gupta, M.; Markocsan, N.; Li, X.-H.; Östergren, L.

    2018-01-01

    Development of thermal barrier coatings (TBCs) manufactured by suspension plasma spraying (SPS) is of high commercial interest as SPS has been shown capable of producing highly porous columnar microstructures similar to the conventionally used electron beam-physical vapor deposition. However, lifetime of SPS coatings needs to be improved further to be used in commercial applications. The bondcoat microstructure as well as topcoat-bondcoat interface topography affects the TBC lifetime significantly. The objective of this work was to investigate the influence of different bondcoat deposition processes for SPS topcoats. In this work, a NiCoCrAlY bondcoat deposited by high velocity air fuel (HVAF) was compared to commercial vacuum plasma-sprayed NiCoCrAlY and PtAl diffusion bondcoats. All bondcoat variations were prepared with and without grit blasting the bondcoat surface. SPS was used to deposit the topcoats on all samples using the same spray parameters. Lifetime of these samples was examined by thermal cyclic fatigue testing. Isothermal heat treatment was performed to study bondcoat oxidation over time. The effect of bondcoat deposition process and interface topography on lifetime in each case has been discussed. The results show that HVAF could be a suitable process for bondcoat deposition in SPS TBCs.

  20. Efficacy of human serum butyrylcholinesterase against sarin vapor.

    PubMed

    Saxena, Ashima; Sun, Wei; Dabisch, Paul A; Hulet, Stanley W; Hastings, Nicholas B; Jakubowski, Edward M; Mioduszewski, Robert J; Doctor, Bhupendra P

    2008-09-25

    Human serum butyrylcholinesterase (Hu BChE) is currently under advanced development as a pretreatment drug for organophosphate (OP) poisoning in humans. It was shown to protect mice, rats, guinea pigs, and monkeys against multiple LD(50) challenges of OP nerve agents by i.v. or s.c. bolus injections. Since inhalation is the most likely route of exposure to OP nerve agents on the battlefield or in public places, the aim of this study was to evaluate the efficacy of Hu BChE against whole-body inhalation exposure to sarin (GB) vapor. Male Göttingen minipigs were subjected to one of the following treatments: (1) air exposure; (2) GB vapor exposure; (3) pretreatment with 3 mg/kg of Hu BChE followed by GB vapor exposure; (4) pretreatment with 6.5 mg/kg of Hu BChE followed by GB vapor exposure; (5) pretreatment with 7.5 mg/kg of Hu BChE followed by GB vapor exposure. Hu BChE was administered by i.m. injection, 24h prior to whole-body exposure to GB vapor at a concentration of 4.1 mg/m(3) for 60 min, a dose lethal to 99% of untreated exposed pigs (LCt99). EEG, ECG, and pupil size were monitored throughout exposure, and blood drawn from a surgically implanted jugular catheter before and throughout the exposure period, was analyzed for acetylcholinesterase (AChE) and BChE activities, and the amount of GB present in plasma. All animals exposed to GB vapor alone or pretreated with 3 or 6.5 mg/kg of Hu BChE, died following exposure to GB vapor. All five animals pretreated with 7.5 mg/kg of Hu BChE survived the GB exposure. The amount of GB bound in plasma was 200-fold higher compared to that from plasma of pigs that did not receive Hu BChE, suggesting that Hu BChE was effective in scavenging GB in blood. Additionally, pretreatment with 7.5 mg/kg of Hu BChE prevented cardiac abnormalities and seizure activity observed in untreated animals and those treated with lower doses of Hu BChE.

  1. Expanding the molecular-ruler process through vapor deposition of hexadecanethiol

    PubMed Central

    Patron, Alexandra M; Hooker, Timothy S; Santavicca, Daniel F

    2017-01-01

    The development of methods to produce nanoscale features with tailored chemical functionalities is fundamental for applications such as nanoelectronics and sensor fabrication. The molecular-ruler process shows great utility for this purpose as it combines top-down lithography for the creation of complex architectures over large areas in conjunction with molecular self-assembly, which enables precise control over the physical and chemical properties of small local features. The molecular-ruler process, which most commonly uses mercaptoalkanoic acids and metal ions to generate metal-ligated multilayers, can be employed to produce registered nanogaps between metal features. Expansion of this methodology to include molecules with other chemical functionalities could greatly expand the overall versatility, and thus the utility, of this process. Herein, we explore the use of alkanethiol molecules as the terminating layer of metal-ligated multilayers. During this study, it was discovered that the solution deposition of alkanethiol molecules resulted in low overall surface coverage with features that varied in height. Because features with varied heights are not conducive to the production of uniform nanogaps via the molecular-ruler process, the vapor-phase deposition of alkanethiol molecules was explored. Unlike the solution-phase deposition, alkanethiol islands produced by vapor-phase deposition exhibited markedly higher surface coverages of uniform heights. To illustrate the applicability of this method, metal-ligated multilayers, both with and without an alkanethiol capping layer, were utilized to create nanogaps between Au features using the molecular-ruler process. PMID:29181290

  2. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  3. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires.

    PubMed

    Hou, Wen Chi; Hong, Franklin Chau-Nan

    2009-02-04

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 degrees C.

  4. Kinetics of plasma formation in sodium vapor excited by nanosecond resonant laser pulses

    NASA Astrophysics Data System (ADS)

    Mahmoud, M. A.; Gamal, Y. E. E.

    2012-07-01

    We have studied theoretically formation of molecular ion Na2 + and the atomic ion Na+ which are created in laser excited sodium vapor at the first resonance transition, 3S1/2-3P1/2. A set of rate equations, which describe the temporal variation of the electron energy distribution function (EEDF), the electron density, the population density of the excited states as well as the atomic Na+ and molecular ion Na2 +, are solved numerically. The calculations are carried out at different laser energy and different sodium atomic vapor densities. The numerical calculations of the EEDF show that a deviation from the Maxwellian distribution due to the superelastic collisions effect. In addition to the competition between associative ionization (3P-3P), associative ionization (3P-3D) and Molnar-Hornbeck ionization processes for producing Na2 +, the calculations have also shown that the atomic ions Na+ are formed through the Penning ionization and photoionization processes. These results are found to be consistent with the experimental observations.

  5. Determination of trace cadmium in rice by liquid spray dielectric barrier discharge induced plasma - chemical vapor generation coupled with atomic fluorescence spectrometry

    NASA Astrophysics Data System (ADS)

    Liu, Xing; Zhu, Zhenli; Bao, Zhengyu; Zheng, Hongtao; Hu, Shenghong

    2018-03-01

    Cadmium contamination in rice has become an increasing concern in many countries including China. A simple, cost-effective, and highly sensitive method was developed for the determination of trace cadmium in rice samples based on a new high-efficient liquid spray dielectric barrier discharge induced plasma (LSDBD) vapor generation coupled with atomic fluorescence spectrometry (AFS). The analytical procedure involves the efficient formation of Cd volatile species by LSDBD plasma induced chemical processes without the use of any reducing reagents (Na/KBH4 in conventional hydride generation). The effects of the addition of organic substances, different discharge parameters such as discharge voltage and discharge gap, as well as the foreign ion interferences were investigated. Under optimized conditions, a detection limit of 0.01 μg L- 1 and a precision of 0.8% (RSD, n = 5, 1 μg L- 1 Cd) was readily achieved. The calibration curve was linear in the range between 0.1 and 10 μg L- 1, with a correlation coefficient of R2 = 0.9995. Compared with the conventional acid-BH4- vapor generation, the proposed method not only eliminates the use of unstable and expensive reagents, but also offers high tolerance for coexisting ions, which is well suited to the direct analysis of environmental samples. The validation of the proposed method was demonstrated by the analysis of Cd in reference material of rice (GBW080684). It was also successfully applied to the determination of trace cadmium in locally collected 11 rice samples, and the obtained Cd concentrations are ranged from 7.2 to 517.7 μg kg- 1.

  6. Enhanced stability of Cu-BTC MOF via perfluorohexane plasma-enhanced chemical vapor deposition.

    PubMed

    Decoste, Jared B; Peterson, Gregory W; Smith, Martin W; Stone, Corinne A; Willis, Colin R

    2012-01-25

    Metal organic frameworks (MOFs) are a leading class of porous materials for a wide variety of applications, but many of them have been shown to be unstable toward water. Cu-BTC (1,3,5 benzenetricarboxylic acid, BTC) was treated with a plasma-enhanced chemical vapor deposition (PECVD) of perfluorohexane creating a hydrophobic form of Cu-BTC. It was found that the treated Cu-BTC could withstand high humidity and even submersion in water much better than unperturbed Cu-BTC. Through Monte Carlo simulations it was found that perfluorohexane sites itself in such a way within Cu-BTC as to prevent the formation of water clusters, hence preventing the decomposition of Cu-BTC by water. This PECVD of perfluorohexane could be exploited to widen the scope of practical applications of Cu-BTC and other MOFs. © 2012 American Chemical Society

  7. Methylmercury determination in seafood by photochemical vapor generation capacitively coupled plasma microtorch optical emission spectrometry.

    PubMed

    Covaci, Eniko; Senila, Marin; Ponta, Michaela; Darvasi, Eugen; Petreus, Dorin; Frentiu, Maria; Frentiu, Tiberiu

    2017-08-01

    A non-chromatographic method based on double liquid-liquid extraction and measurements by UV photochemical vapor generation capacitively coupled plasma microtorch optical emission spectrometry was developed and characterized for methylmercury determination in seafood. Samples were prepared following the procedure recommended in JRC Technical Report of European Commission formerly proposed for the determination of methylmercury in seafood by thermal decomposition atomic absorption spectrometry, namely confinement of Hg species in 47% HBr solution, extraction of CH 3 Hg + in toluene and back-extraction in 1% l-cysteine aqueous solution. Mercury cold vapor was generated by flow injection UV photo-reduction from CH 3 Hg + in 0.6molL -1 HCOOH, while quantification was performed against external Hg 2+ aqueous standards and measuring Hg 253.652nm emission using a low power/Ar consumption plasma microtorch (15W, 100mLmin -1 ) and a low resolution microspectrometer (Ocean Optics). The figures of merit and analytical capability were assessed by analyzing certified reference materials and test samples of fish fillet and discussed in relation with requirements for Hg determination in seafood in European legislation (Decisions 2007/333/EC and 2002/657/EC) as well as compared to performances achieved in thermal decomposition atomic absorption spectrometry. The limit of detection and quantification of 2µgkg -1 and 6µgkg -1 respectively, precision of 2.7-9.4% and accuracy of 99±8% of the proposed method for the determination of CH 3 Hg + fulfill the demands of European legislation for Hg quantification. The limit of detection and quantification were better than those in the used reference method or other non-/chromatographic methods taken for comparison. The analysis of certified reference materials and the Bland and Altman test performed on 12 test samples confirmed trueness of the proposed method and its reliability for the determination of traces of CH 3 Hg + with 95

  8. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  9. Practical silicon deposition rules derived from silane monitoring during plasma-enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartlome, Richard, E-mail: richard.bartlome@alumni.ethz.ch; De Wolf, Stefaan; Demaurex, Bénédicte

    2015-05-28

    We clarify the difference between the SiH{sub 4} consumption efficiency η and the SiH{sub 4} depletion fraction D, as measured in the pumping line and the actual reactor of an industrial plasma-enhanced chemical vapor deposition system. In the absence of significant polysilane and powder formation, η is proportional to the film growth rate. Above a certain powder formation threshold, any additional amount of SiH{sub 4} consumed translates into increased powder formation rather than into a faster growing Si film. In order to discuss a zero-dimensional analytical model and a two-dimensional numerical model, we measure η as a function of themore » radio frequency (RF) power density coupled into the plasma, the total gas flow rate, the input SiH{sub 4} concentration, and the reactor pressure. The adjunction of a small trimethylboron flow rate increases η and reduces the formation of powder, while the adjunction of a small disilane flow rate decreases η and favors the formation of powder. Unlike η, D is a location-dependent quantity. It is related to the SiH{sub 4} concentration in the plasma c{sub p}, and to the phase of the growing Si film, whether the substrate is glass or a c-Si wafer. In order to investigate transient effects due to the RF matching, the precoating of reactor walls, or the introduction of a purifier in the gas line, we measure the gas residence time and acquire time-resolved SiH{sub 4} density measurements throughout the ignition and the termination of a plasma.« less

  10. Hydrogen Plasma Processing of Iron Ore

    NASA Astrophysics Data System (ADS)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  11. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2001-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  12. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2001-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discemible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  13. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2000-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  14. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Stevenson, Joel O'Don; Ward, Pamela Peardon Denise

    2002-07-16

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). A final aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system.

  15. Method and apparatus for monitoring plasma processing operations

    DOEpatents

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  16. Morphological Transition in Diamond Thin-Films Induced by Boron in a Microwave Plasma Deposition Process.

    PubMed

    Baker, Paul A; Goodloe, David R; Vohra, Yogesh K

    2017-11-14

    The purpose of this study is to understand the basic mechanisms responsible for the synthesis of nanostructured diamond films in a microwave plasma chemical vapor deposition (MPCVD) process and to identify plasma chemistry suitable for controlling the morphology and electrical properties of deposited films. The nanostructured diamond films were synthesized by MPCVD on Ti-6Al-4V alloy substrates using H₂/CH₄/N₂ precursor gases and the plasma chemistry was monitored by the optical emission spectroscopy (OES). The synthesized thin-films were characterized by x -ray diffraction and scanning electron microscopy. The addition of B₂H₆ to the feedgas during MPCVD of diamond thin-films changes the crystal grain size from nanometer to micron scale. Nanostructured diamond films grown with H₂/CH₄/N₂ gases demonstrate a broad (111) Bragg x -ray diffraction peak (Full-Width at Half-Maximum (FWHM) = 0.93° 2θ), indicating a small grain size, whereas scans show a definite sharpening of the diamond (111) peak (FWHM = 0.30° 2θ) with the addition of boron. OES showed a decrease in CN (carbon-nitrogen) radical in the plasma with B₂H₆ addition to the gas mixture. Our study indicates that CN radical plays a critical role in the synthesis of nanostructured diamond films and suppression of CN radical by boron-addition in the plasma causes a morphological transition to microcrystalline diamond.

  17. Structural and electrical characterization of microcrystalline silicon films prepared by a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system

    NASA Astrophysics Data System (ADS)

    Hong, J. P.; Kim, C. O.; Nahm, T. U.; Kim, C. M.

    2000-02-01

    Microcrystalline silicon films have been prepared on indium-coated glass utilizing a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system. The microcrystalline films were fabricated by varying the number of cycles from 10 to 60 under a fixed H2 time (t2) of 120 s, where the corresponding deposition time (t1) of amorphous silicon thin film was 60 s. Structural properties, such as the crystalline volume fraction (Xc) and grain sizes were analyzed by using Raman spectroscopy and a scanning electron microscopy. The carrier transport was characterized by the temperature dependence of dark conductivity, giving rise to the calculation of activation energy (Ea). Optical energy gaps (Eg) were also investigated using an ultraviolet spectrophotometer. In addition, the process under different hydrogen plasma time (t2) at a fixed number of 20 cycles was extensively carried out to study the dominant role of hydrogen atoms in layer-by-layer deposition. Finally, the correlation between structural and electrical properties has been discussed on the basis of experimental results.

  18. Experimental study of flash boiling spray vaporization through quantitative vapor concentration and liquid temperature measurements

    NASA Astrophysics Data System (ADS)

    Zhang, Gaoming; Hung, David L. S.; Xu, Min

    2014-08-01

    Flash boiling sprays of liquid injection under superheated conditions provide the novel solutions of fast vaporization and better air-fuel mixture formation for internal combustion engines. However, the physical mechanisms of flash boiling spray vaporization are more complicated than the droplet surface vaporization due to the unique bubble generation and boiling process inside a superheated bulk liquid, which are not well understood. In this study, the vaporization of flash boiling sprays was investigated experimentally through the quantitative measurements of vapor concentration and liquid temperature. Specifically, the laser-induced exciplex fluorescence technique was applied to distinguish the liquid and vapor distributions. Quantitative vapor concentration was obtained by correlating the intensity of vapor-phase fluorescence with vapor concentration through systematic corrections and calibrations. The intensities of two wavelengths were captured simultaneously from the liquid-phase fluorescence spectra, and their intensity ratios were correlated with liquid temperature. The results show that both liquid and vapor phase of multi-hole sprays collapse toward the centerline of the spray with different mass distributions under the flash boiling conditions. Large amount of vapor aggregates along the centerline of the spray to form a "gas jet" structure, whereas the liquid distributes more uniformly with large vortexes formed in the vicinity of the spray tip. The vaporization process under the flash boiling condition is greatly enhanced due to the intense bubble generation and burst. The liquid temperature measurements show strong temperature variations inside the flash boiling sprays with hot zones present in the "gas jet" structure and vortex region. In addition, high vapor concentration and closed vortex motion seem to have inhibited the heat and mass transfer in these regions. In summary, the vapor concentration and liquid temperature provide detailed information

  19. Vapor phase pyrolysis

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    The vapor phase pyrolysis process is designed exclusively for the lunar production of oxygen. In this concept, granulated raw material (soil) that consists almost entirely of metal oxides is vaporized and the vapor is raised to a temperature where it dissociates into suboxides and free oxygen. Rapid cooling of the dissociated vapor to a discrete temperature causes condensation of the suboxides, while the oxygen remains essentially intact and can be collected downstream. The gas flow path and flow rate are maintained at an optimum level by control of the pressure differential between the vaporization region and the oxygen collection system with the aid of the environmental vacuum.

  20. Nano powders, components and coatings by plasma technique

    DOEpatents

    McKechnie, Timothy N [Brownsboro, AL; Antony, Leo V. M. [Huntsville, AL; O'Dell, Scott [Arab, AL; Power, Chris [Guntersville, AL; Tabor, Terry [Huntsville, AL

    2009-11-10

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  1. Nano powders, components and coatings by plasma technique

    NASA Technical Reports Server (NTRS)

    McKechnie, Timothy N. (Inventor); Antony, Leo V. M. (Inventor); O'Dell, Scott (Inventor); Power, Chris (Inventor); Tabor, Terry (Inventor)

    2009-01-01

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  2. Plasma enhanced chemical vapor deposition of wear resistant gradual a-Si1-x:Cx:H coatings on nickel-titanium for biomedical applications

    NASA Astrophysics Data System (ADS)

    Niermann, Benedikt; Böke, Marc; Schauer, Janine-Christina; Winter, Jörg

    2010-03-01

    Plasma enhanced chemical vapor deposition has been used to deposit thin films with gradual transitions from silicon to carbon on Cu, Ni, stainless steel, and NiTi. Thus show low stress, elasticity, and wear resistance with excellent adhesion on all metals under investigation. Already at low Si concentrations of 10 at. % the intrinsic stress is considerably reduced compared to pure diamondlike carbon (DLC) films. The deposition process is controlled by optical emission spectroscopy. This technique has been applied to monitor the growth precursors and to correlate them with the film composition. The compositions of the films were determined by Rutherford backscattering spectroscopy and XPS measurements. Due to the elastic properties of the gradual transition and the excellent biocompatibility of DLC, the described film systems present a useful coating for biomedical applications.

  3. DSMC simulations of vapor transport toward development of the lithium vapor box divertor concept

    NASA Astrophysics Data System (ADS)

    Jagoe, Christopher; Schwartz, Jacob; Goldston, Robert

    2016-10-01

    The lithium vapor divertor box concept attempts to achieve volumetric dissipation of the high heat efflux from a fusion power system. The vapor extracts the heat of the incoming plasma by ionization and radiation, while remaining localized in the vapor box due to differential pumping based on rapid condensation. Preliminary calculations with lithium vapor at densities appropriate for an NSTX-U-scale machine give Knudsen numbers between 0.01 and 1, outside both the range of continuum fluid dynamics and of collisionless Monte Carlo. The direct-simulation Monte Carlo (DSMC) method, however, can simulate rarefied gas flows in this regime. Using the solver contained in the OpenFOAM package, pressure-driven flows of water vapor will be analyzed. The use of water vapor in the relevant range of Knudsen number allows for a flexible similarity experiment to verify the reliability of the code before moving to tests with lithium. The simulation geometry consists of chains of boxes on a temperature gradient, connected by slots with widths that are a representative fraction of the dimensions of the box. We expect choked flow, sonic shocks, and order-of-magnitude pressure and density drops from box to box, but this expectation will be tested in the simulation and then experiment. This work is supported by the Princeton Environmental Institute.

  4. Real-Time Fault Classification for Plasma Processes

    PubMed Central

    Yang, Ryan; Chen, Rongshun

    2011-01-01

    Plasma process tools, which usually cost several millions of US dollars, are often used in the semiconductor fabrication etching process. If the plasma process is halted due to some process fault, the productivity will be reduced and the cost will increase. In order to maximize the product/wafer yield and tool productivity, a timely and effective fault process detection is required in a plasma reactor. The classification of fault events can help the users to quickly identify fault processes, and thus can save downtime of the plasma tool. In this work, optical emission spectroscopy (OES) is employed as the metrology sensor for in-situ process monitoring. Splitting into twelve different match rates by spectrum bands, the matching rate indicator in our previous work (Yang, R.; Chen, R.S. Sensors 2010, 10, 5703–5723) is used to detect the fault process. Based on the match data, a real-time classification of plasma faults is achieved by a novel method, developed in this study. Experiments were conducted to validate the novel fault classification. From the experimental results, we may conclude that the proposed method is feasible inasmuch that the overall accuracy rate of the classification for fault event shifts is 27 out of 28 or about 96.4% in success. PMID:22164001

  5. Medium term outcome of bipolar plasma vaporization in prostate cancer patients--a palliative modality of preserving spontaneous voiding.

    PubMed

    Geavlete, B; Moldoveanu, C; Niţă, Gh; Stănescu, F; Jecu, M; Geavlete, P

    2012-12-15

    This retrospective analysis evaluated the efficiency, safety, and medium term postoperative results of bipolar plasma vaporization (BPV) in prostate cancer (PCa) cases associating complete urinary retention. A series of 40 patients diagnosed with locally advanced or metastatic PCa and complete urinary retention requiring a Foley catheter indwelling underwent BPV aiming to restore spontaneous voiding. A total of 35 patients completed the one year evaluation protocol consisting of International Prostate Symptom Score (IPSS), quality of life score (QoL), maximum flow rate (Q(max)) and post-voiding residual urinary volume (PVR), measured at 1, 3, 6 and 12 months after surgery. BPV was successfully performed in all cases with satisfactory efficiency, as confirmed by the mean operation time (42.8 minutes) and hemoglobin drop (0.7 g/dl). A fast and safe postoperative recovery period was described in this series (hematuria rate--7.5%; mean catheterization period--36 hours; mean hospital stay--2.5 days; early-irritative symptoms' rate--15%). At 1, 3, 6 and 12 months, satisfactory values were determined in terms of IPSS, Qmax, QoL and PVR. These parameters emphasized a stable evolution throughout the entire follow-up, as 88.6% of the patients maintained spontaneous voiding. The present trial confirmed the plasma-button vaporization as a promising therapeutic approach in PCa cases associating complete urinary retention. The technique displayed good efficacy, low perioperative morbidity, short convalescence, and satisfactory urodynamics and symptom score parameters during the one-year follow-up period.

  6. Nanoparticle Treated Stainless Steel Filters for Metal Vapor Sequestration

    NASA Astrophysics Data System (ADS)

    Murph, Simona E. Hunyadi; Larsen, George K.; Korinko, Paul; Coopersmith, Kaitlin J.; Summer, Ansley J.; Lewis, Rebecca

    2017-02-01

    The ability to sequester vapor phase radioactive compounds during industrial processes reduces the exposure of workers and the environment to dangerous radioactive materials. Nanomaterials have a lot of potential in this area because they typically demonstrate size- and shape-dependent properties with higher reactivity than bulk. This is due to the increased surface area-to-volume ratio and quantum size effects. In this report, we developed a gold nanomaterial-treated stainless steel filter, namely wools and coupons, that can be efficiently used for zinc vapor sequestration. Without nanoparticle modification, stainless steel coupons do not react or alloy with Zn. Gold nanomaterials were grown onto various stainless steel filters using solution chemistry that is amenable to scaling up. Materials were characterized by electron microscopy, inductively coupled plasma mass spectroscopy and dynamic light scattering before and after exposure to zinc vapors. X-ray diffraction, high-resolution transmission electron microscopy, energy dispersive x-ray spectroscopy mapping and ultraviolet-visible spectroscopy confirm the formation of gold-zinc alloys after Zn vapor exposure. The effect of surface topography on nanoparticle morphology, size and loading density were also investigated, and stainless steel surface defects were found to have an impact on the Au NP growth and subsequently Zn sequestration.

  7. Nanoparticle treated stainless steel filters for metal vapor sequestration

    DOE PAGES

    Murph, Simona E. Hunyadi; Larsen, George K.; Korinko, Paul; ...

    2016-12-07

    The ability to sequester vapor phase radioactive compounds during industrial processes reduces the exposure of workers and the environment to dangerous radioactive materials. Nanomaterials have a lot of potential in this area because they typically demonstrate size- and shape-dependent properties with higher reactivity than bulk. This is due to the increased surface area-to-volume ratio and quantum size effects. In this report, we developed a gold nanomaterial-treated stainless steel filter, namely wools and coupons, that can be efficiently used for zinc vapor sequestration. Without nanoparticle modification, stainless steel coupons do not react or alloy with Zn. Gold nanomaterials were grown ontomore » various stainless steel filters using solution chemistry that is amenable to scaling up. Materials were characterized by electron microscopy, inductively coupled plasma mass spectroscopy and dynamic light scattering before and after exposure to zinc vapors. X-ray diffraction, high-resolution transmission electron microscopy, energy dispersive x-ray spectroscopy mapping and ultraviolet-visible spectroscopy confirm the formation of gold-zinc alloys after Zn vapor exposure. Furthermore, the effect of surface topography on nanoparticle morphology, size and loading density were also investigated, and stainless steel surface defects were found to have an impact on the Au NP growth and subsequently Zn sequestration.« less

  8. Nanoparticle treated stainless steel filters for metal vapor sequestration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murph, Simona E. Hunyadi; Larsen, George K.; Korinko, Paul

    The ability to sequester vapor phase radioactive compounds during industrial processes reduces the exposure of workers and the environment to dangerous radioactive materials. Nanomaterials have a lot of potential in this area because they typically demonstrate size- and shape-dependent properties with higher reactivity than bulk. This is due to the increased surface area-to-volume ratio and quantum size effects. In this report, we developed a gold nanomaterial-treated stainless steel filter, namely wools and coupons, that can be efficiently used for zinc vapor sequestration. Without nanoparticle modification, stainless steel coupons do not react or alloy with Zn. Gold nanomaterials were grown ontomore » various stainless steel filters using solution chemistry that is amenable to scaling up. Materials were characterized by electron microscopy, inductively coupled plasma mass spectroscopy and dynamic light scattering before and after exposure to zinc vapors. X-ray diffraction, high-resolution transmission electron microscopy, energy dispersive x-ray spectroscopy mapping and ultraviolet-visible spectroscopy confirm the formation of gold-zinc alloys after Zn vapor exposure. Furthermore, the effect of surface topography on nanoparticle morphology, size and loading density were also investigated, and stainless steel surface defects were found to have an impact on the Au NP growth and subsequently Zn sequestration.« less

  9. ZnO synthesis by high vacuum plasma-assisted chemical vapor deposition using dimethylzinc and atomic oxygen

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Hand, Steve; Leaf, Jackie; Wolden, Colin A.

    2004-09-01

    Zinc oxide thin films were produced by high vacuum plasma-assisted chemical vapor deposition (HVP-CVD) from dimethylzinc (DMZn) and atomic oxygen. HVP-CVD is differentiated from conventional remote plasma-enhanced CVD in that the operating pressures of the inductively coupled plasma (ICP) source and the deposition chamber are decoupled. Both DMZn and atomic oxygen effuse into the deposition chamber under near collisionless conditions. The deposition rate was measured as a function of DMZn and atomic oxygen flux on glass and silicon substrates. Optical emission spectroscopy and quadrupole mass spectrometry (QMS) were used to provide real time analysis of the ICP source and the deposition chamber. The deposition rate was found to be first order in DMZn pressure and zero order in atomic oxygen density. All films demonstrated excellent transparency and were preferentially orientated along the c-axis. The deposition chemistry occurs exclusively through surface-mediated reactions, since the collisionless transport environment eliminates gas-phase chemistry. QMS analysis revealed that DMZn was almost completely consumed, and desorption of unreacted methyl radicals was greatly accelerated in the presence of atomic oxygen. Negligible zinc was detected in the gas phase, suggesting that Zn was efficiently consumed on the substrate and walls of the reactor.

  10. Plasma Processing with a One Atmosphere Uniform Glow Discharge Plasma (OAUGDP)

    NASA Astrophysics Data System (ADS)

    Reece Roth, J.

    2000-10-01

    The vast majority of all industrial plasma processing is conducted with glow discharges at pressures below 10 torr. This has limited applications to high value workpieces as a result of the large capital cost of vacuum systems and the production constraints of batch processing. It has long been recognized that glow discharges would play a much larger industrial role if they could be operated at one atmosphere. The One Atmosphere Uniform Glow Discharge Plasma (OAUGDP) has been developed at the University of Tennessee Plasma Sciences Laboratory. The OAUGDP is non-thermal RF plasma with the time-resolved characteristics of a classical low pressure DC normal glow discharge. An interdisciplinary team was formed to conduct exploratory investigations of the physics and applications of the OAUGDP. This team includes collaborators from the UTK Textiles and Nonwovens Development Center (TANDEC) and the Departments of Electrical and Computer Engineering, Microbiology, Food Science and Technology, and Mechanical and Aerospace Engineering and Engineering Science. Exploratory tests were conducted on a variety of potential plasma processing and other applications. These include the use of OAUGDP to sterilize medical and dental equipment and air filters; diesel soot removal; plasma aerodynamic effects; electrohydrodynamic (EDH) flow control of the neutral working gas; increasing the surface energy of materials; increasing the wettability and wickability of fabrics; and plasma deposition and directional etching. A general overview of these topics will be presented.

  11. Plasma processing of superconducting radio frequency cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  12. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  13. Advancement of In-Flight Alumina Powder Spheroidization Process with Water Droplet Injection Using a Small Power DC-RF Hybrid Plasma Flow System

    NASA Astrophysics Data System (ADS)

    Jang, Juyong; Takana, Hidemasa; Park, Sangkyu; Nishiyama, Hideya

    2012-09-01

    The correlation between plasma thermofluid characteristics and alumina powder spheroidization processes with water droplet injection using a small power DC-RF hybrid plasma flow system was experimentally clarified. Micro-sized water droplets with a low water flow rate were injected into the tail of thermal plasma flow so as not to disturb the plasma flow directly. Injected water droplets were vaporized in the thermal plasma flow and were transported upstream in the plasma flow to the torch by the backflow. After dissociation of water, the production of hydrogen was detected by the optical emission spectroscopy in the downstream RF plasma flow. The emission area of the DC plasma jet expanded and elongated in the vicinity of the RF coils. Additionally, the emission area of RF plasma flow enlarged and was visible as red emission in the downstream RF plasma flow in the vicinity below the RF coils due to hydrogen production. Therefore, the plasma flow mixed with produced hydrogen increased the plasma enthalpy and the highest spheroidization rate of 97% was obtained at a water flow rate of 15 Sm l/min and an atomizing gas flow rate of 8 S l/min using a small power DC-RF hybrid plasma flow system.

  14. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    PubMed

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  15. Growth of ultrananocrystalline diamond film by DC Arcjet plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chen, G. C.; Li, B.; Yan, Z. Q.; Liu, J.; Lu, F. X.; Ye, H.

    2012-06-01

    Self-standing diamond films were grown by DC Arcjet plasma enhanced chemical vapor deposition (CVD). The feed gasses were Ar/H2/CH4, in which the flow ratio of CH4 to H2 (F/F) was varied from 5% to 20%. Two distinct morphologies were observed by scanning electron microscope (SEM), i.e. the "pineapple-like" morphology and the "cauliflower-like" morphology. It was found that the morphologies of the as-grown films are strongly dependent on the flow ratio of CH4 to H2 in the feed gasses. High resolution transmission electron microscope (HRTEM) survey results revealed that there were nanocrystalline grains within the "pineapple-like" films whilst there were ultrananocrystalline grains within "cauliflower-like" films. X-ray diffraction (XRD) results suggested that (110) crystalline plane was the dominant surface in the "cauliflower-like" films whilst (100) crystalline plane was the dominant surface in the "pineapple-like" films. Raman spectroscopy revealed that nanostructured carbon features could be observed in both types of films. Plasma diagnosis was carried out in order to understand the morphology dependent growth mechanism. It could be concluded that the film morphology was strongly influenced by the density of gas phases. The gradient of C2 radical was found to be different along the growth direction under the different growth conditions.

  16. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    NASA Astrophysics Data System (ADS)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  17. Simulation of laser interaction with ablative plasma and hydrodynamic behavior of laser supported plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tong Huifeng; Yuan Hong; Tang Zhiping

    When an intense laser beam irradiates on a solid target, ambient air ionizes and becomes plasma, while part of the target rises in temperature, melts, vaporizes, ionizes, and yet becomes plasma. A general Godunov finite difference scheme WENO (Weighted Essentially Non-Oscillatory Scheme) with fifth-order accuracy is used to simulate 2-dimensional axis symmetrical laser-supported plasma flow field in the process of laser ablation. The model of the calculation of ionization degree of plasma and the interaction between laser beam and plasma are considered in the simulation. The numerical simulations obtain the profiles of temperature, density, and velocity at different times whichmore » show the evolvement of the ablative plasma. The simulated results show that the laser energy is strongly absorbed by plasma on target surface and that the velocity of laser supported detonation (LSD) wave is half of the ideal LSD value derived from Chapman-Jouguet detonation theory.« less

  18. Non-Ballistic Vapor-Driven Ejecta

    NASA Technical Reports Server (NTRS)

    Wrobel, K. E.; Schultz, P. H.; Heineck, J. T.

    2004-01-01

    Impact-induced vaporization is a key component of early-time cratering mechanics. Previous experimental [1,2] and computational [e.g., 3] studies focused on the generation and expansion of vapor clouds in an attempt to better understand vaporization in hypervelocity impacts. Presented here is a new experimental approach to the study of impact-induced vaporization. The three-dimensional particle image velocimetry (3D PIV) system captures interactions between expanding vapor phases and fine particulates. Particles ejected early in the cratering process may be entrained in expanding gas phases generated at impact, altering their otherwise ballistic path of flight. 3D PIV allows identifying the presence of such non-ballistic ejecta from very early times in the cratering process.

  19. Mirror-field confined compact plasma source using permanent magnet for plasma processings.

    PubMed

    Goto, Tetsuya; Sato, Kei-Ichiro; Yabuta, Yuki; Sugawa, Shigetoshi

    2016-12-01

    A mirror-field confined compact electron cyclotron resonance (ECR) plasma source using permanent magnets was developed, aiming for the realization of high-quality plasma processings where high-density reactive species are supplied to a substrate with minimizing the ion bombardment damages. The ECR position was located between a microwave transmissive window and a quartz limiter, and plasmas were transported from the ECR position to a midplane of the magnetic mirror field through the quartz limiter. Thus, a radius of core plasma could be determined by the limiter, which was 15 mm in this study. Plasma parameters were investigated by the Langmuir probe measurement. High-density plasma larger than 10 11 cm -3 could be produced by applying 5.85-GHz microwave power of 10 W or more. For the outside region of the core plasma where a wafer for plasma processings will be set at, the ion current density was decreased dramatically with distance from the core plasma and became smaller by approximately two orders of magnitude that in the core plasma region for the radial position of 40 mm, suggesting the realization of reduction in ion bombardment damages.

  20. Real-Time Plasma Process Condition Sensing and Abnormal Process Detection

    PubMed Central

    Yang, Ryan; Chen, Rongshun

    2010-01-01

    The plasma process is often used in the fabrication of semiconductor wafers. However, due to the lack of real-time etching control, this may result in some unacceptable process performances and thus leads to significant waste and lower wafer yield. In order to maximize the product wafer yield, a timely and accurately process fault or abnormal detection in a plasma reactor is needed. Optical emission spectroscopy (OES) is one of the most frequently used metrologies in in-situ process monitoring. Even though OES has the advantage of non-invasiveness, it is required to provide a huge amount of information. As a result, the data analysis of OES becomes a big challenge. To accomplish real-time detection, this work employed the sigma matching method technique, which is the time series of OES full spectrum intensity. First, the response model of a healthy plasma spectrum was developed. Then, we defined a matching rate as an indictor for comparing the difference between the tested wafers response and the health sigma model. The experimental results showed that this proposal method can detect process faults in real-time, even in plasma etching tools. PMID:22219683

  1. Multi-cathode metal vapor arc ion source

    DOEpatents

    Brown, Ian G.; MacGill, Robert A.

    1988-01-01

    An ion generating apparatus utilizing a vacuum chamber, a cathode and an anode in the chamber. A source of electrical power produces an arc or discharge between the cathode and anode. The arc is sufficient to vaporize a portion of the cathode to form a plasma. The plasma is directed to an extractor which separates the electrons from the plasma, and accelerates the ions to produce an ion beam. One embodiment of the appaatus utilizes a multi-cathode arrangement for interaction with the anode.

  2. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    NASA Technical Reports Server (NTRS)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  3. Plasma-assisted synthesis of MoS2

    NASA Astrophysics Data System (ADS)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  4. Plasma Processing of Model Residential Solid Waste

    NASA Astrophysics Data System (ADS)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  5. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    NASA Astrophysics Data System (ADS)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  6. Plasma Properties of an Exploding Semiconductor Igniter

    NASA Astrophysics Data System (ADS)

    McGuirk, J. S.; Thomas, K. A.; Shaffer, E.; Malone, A. L.; Baginski, T.; Baginski, M. E.

    1997-11-01

    Requirements by the automotive industry for low-cost, pyrotechnic igniters for automotive airbags have led to the development of several semiconductor devices. The properties of the plasma produced by the vaporization of an exploding semiconductor are necessary in order to minimize the electrical energy requirements. This work considers two silicon-based semiconductor devices: the semiconductor bridge (SCB) and the semiconductor junction igniter both consisting of etched silicon with vapor deposited aluminum structures. Electrical current passing through the device heats a narrow junction region to the point of vaporization creating an aluminum and silicon low-temperature plasma. This work will investigate the electrical characteristics of both devices and infer the plasma properties. Furthermore optical spectral measurements will be taken of the exploding devices to estimate the temperature and density of the plasma.

  7. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    NASA Astrophysics Data System (ADS)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  8. Process to make structured particles

    DOEpatents

    Knapp, Angela Michelle; Richard, Monique N; Luhrs, Claudia; Blada, Timothy; Phillips, Jonathan

    2014-02-04

    Disclosed is a process for making a composite material that contains structured particles. The process includes providing a first precursor in the form of a dry precursor powder, a precursor liquid, a precursor vapor of a liquid and/or a precursor gas. The process also includes providing a plasma that has a high field zone and passing the first precursor through the high field zone of the plasma. As the first precursor passes through the high field zone of the plasma, at least part of the first precursor is decomposed. An aerosol having a second precursor is provided downstream of the high field zone of the plasma and the decomposed first material is allowed to condense onto the second precursor to from structured particles.

  9. Optical monitor for water vapor concentration

    DOEpatents

    Kebabian, Paul

    1998-01-01

    A system for measuring and monitoring water vapor concentration in a sample uses as a light source an argon discharge lamp, which inherently emits light with a spectral line that is close to a water vapor absorption line. In a preferred embodiment, the argon line is split by a magnetic field parallel to the direction of light propagation from the lamp into sets of components of downshifted and upshifted frequencies of approximately 1575 Gauss. The downshifted components are centered on a water vapor absorption line and are thus readily absorbed by water vapor in the sample; the upshifted components are moved away from that absorption line and are minimally absorbed. A polarization modulator alternately selects the upshifted components or downshifted components and passes the selected components to the sample. After transmission through the sample, the transmitted intensity of a component of the argon line varies as a result of absorption by the water vapor. The system then determines the concentration of water vapor in the sample based on differences in the transmitted intensity between the two sets of components. In alternative embodiments alternate selection of sets of components is achieved by selectively reversing the polarity of the magnetic field or by selectively supplying the magnetic field to the emitting plasma.

  10. Optical monitor for water vapor concentration

    DOEpatents

    Kebabian, P.

    1998-06-02

    A system for measuring and monitoring water vapor concentration in a sample uses as a light source an argon discharge lamp, which inherently emits light with a spectral line that is close to a water vapor absorption line. In a preferred embodiment, the argon line is split by a magnetic field parallel to the direction of light propagation from the lamp into sets of components of downshifted and upshifted frequencies of approximately 1575 Gauss. The downshifted components are centered on a water vapor absorption line and are thus readily absorbed by water vapor in the sample; the upshifted components are moved away from that absorption line and are minimally absorbed. A polarization modulator alternately selects the upshifted components or downshifted components and passes the selected components to the sample. After transmission through the sample, the transmitted intensity of a component of the argon line varies as a result of absorption by the water vapor. The system then determines the concentration of water vapor in the sample based on differences in the transmitted intensity between the two sets of components. In alternative embodiments alternate selection of sets of components is achieved by selectively reversing the polarity of the magnetic field or by selectively supplying the magnetic field to the emitting plasma. 5 figs.

  11. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  12. Influence of residual plasma drift velocity on the post-arc sheath expansion of vacuum circuit breakers

    NASA Astrophysics Data System (ADS)

    Mo, Yongpeng; Shi, Zongqian; Bai, Zhibin; Jia, Shenli; Wang, Lijun

    2016-05-01

    The residual plasma in the inter-contact region of a vacuum circuit breaker moves towards the post-arc cathode at current zero, because the residual plasma mainly comes from the cathode spots during the arc burning process. In the most previous theoretical researches on the post-arc sheath expansion process of vacuum circuit breakers, only the thermal motion of residual plasma was taken into consideration. Alternately, the residual plasma was even assumed to be static at the moment of current zero in some simplified models. However, the influence of residual plasma drift velocity at current zero on the post-arc sheath expansion process was rarely investigated. In this paper, this effect is investigated by a one-dimensional particle-in-cell model. Simulation results indicate that the sheath expands slower with higher residual plasma drift velocity in the initial sheath expansion stage. However, with the increase of residual plasma drift velocity, the overall plasma density in the inter-contact region decreases faster, and the sheath expansion velocity increases earlier. Consequently, as a whole, it needs shorter time to expel the residual plasma from the inter-contact region. Furthermore, if the residual plasma drift velocity is high enough, the sheath expansion process ceases before it develops to the post-arc anode. Besides, the influence of the collisions between charges and neutrals is investigated as well in terms of the density of metal vapor. It shows that the residual plasma drift velocity takes remarkable effect only if the density of the metal vapor is relatively low, which corresponds to the circumstance of low-current interruptions.

  13. Vacuum vapor deposition gun assembly

    DOEpatents

    Zeren, Joseph D.

    1985-01-01

    A vapor deposition gun assembly includes a hollow body having a cylindrical outer surface and an end plate for holding an adjustable heat sink, a hot hollow cathode gun, two magnets for steering the plasma from the gun into a crucible on the heat sink, and a shutter for selectively covering and uncovering the crucible.

  14. Monitoring non-thermal plasma processes for nanoparticle synthesis

    NASA Astrophysics Data System (ADS)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  15. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  16. Plasma-assisted microwave processing of materials

    NASA Technical Reports Server (NTRS)

    Barmatz, Martin (Inventor); Jackson, Henry (Inventor); Ylin, Tzu-yuan (Inventor)

    1998-01-01

    A microwave plasma assisted method and system for heating and joining materials. The invention uses a microwave induced plasma to controllably preheat workpiece materials that are poorly microwave absorbing. The plasma preheats the workpiece to a temperature that improves the materials' ability to absorb microwave energy. The plasma is extinguished and microwave energy is able to volumetrically heat the workpiece. Localized heating of good microwave absorbing materials is done by shielding certain parts of the workpiece and igniting the plasma in the areas not shielded. Microwave induced plasma is also used to induce self-propagating high temperature synthesis (SHS) process for the joining of materials. Preferably, a microwave induced plasma preheats the material and then microwave energy ignites the center of the material, thereby causing a high temperature spherical wave front from the center outward.

  17. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  18. Influence of ethanol vapor addition on the surface modification of polyethylene in a dielectric barrier discharge

    NASA Astrophysics Data System (ADS)

    Van Deynse, Annick; Morent, Rino; Leys, Christophe; De Geyter, Nathalie

    2017-10-01

    In this paper, ethanol vapor up to 50% is added to an argon, air or nitrogen dielectric barrier discharge at medium pressure to profoundly investigate the effect of ethanol addition on the surface modification of low density polyethylene (LDPE). Water contact angle (WCA) and X-ray photoelectron spectroscopy (XPS) measurements show that the ethanol vapor addition effect on the LDPE surface depends on the used carrier gas. Adding ethanol to an argon plasma has no significant effect on the wettability nor on the chemical composition of LDPE compared to a pure argon plasma treatment. Ethanol addition does however slightly increase the LDPE surface roughness. Addition of small amounts of ethanol vapor to an air plasma makes it possible to incorporate additional nitrogen and oxygen groups on the LDPE surface, resulting in an extra decrease of 11% in WCA value. Moreover, the LDPE surface roughness is slightly increased due to the ethanol vapor addition. The most significant effect of ethanol addition is however observed when nitrogen is used as carrier gas. After an N2/2% ethanol plasma treatment, an 85% reduction in WCA value to 8.5° is found compared to a pure N2 plasma treatment. This very hydrophilic LDPE surface is obtained due to a significantly high incorporation of oxygen and nitrogen groups on the surface with an O/C and N/C ratio reaching 32% and 53% respectively. FTIR measurements also reveal that the observed extremely high wettability of LDPE is not the result of plasma activation but is due to plasma polymerization effects occurring on the surface resulting into the deposition of a plasma polymer containing ketones, amides as well as Cdbnd N groups. In addition, ageing studies have also been conducted and these studies reveal that for all carrier gases, ethanol addition to the discharge gas significantly suppresses the ageing effect. All the above mentioned conclusions therefore indicate that ethanol vapor based plasmas can be an excellent tool to increase

  19. Cold plasma processing to improve food safety

    USDA-ARS?s Scientific Manuscript database

    Cold plasma is an antimicrobial process being developed for application as a food processing technology. This novel intervention is the subject of an expanding research effort by groups around the world. A variety of devices can be used to generate cold plasma and apply it to the food commodity bein...

  20. Effect of sulfur passivation on the InP surface prior to plasma-enhanced chemical vapor deposition of SiNx

    NASA Astrophysics Data System (ADS)

    Tang, Hengjing; Wu, Xiaoli; Xu, Qinfei; Liu, Hongyang; Zhang, Kefeng; Wang, Yang; He, Xiangrong; Li, Xue; Gong, Hai Mei

    2008-03-01

    The fabrication of Au/SiNx/InP metal-insulator-semiconductor (MIS) diodes has been achieved by depositing a layer of SiNx on the (NH4)2Sx-treated n-InP. The SiNx layer was deposited at 200 °C using plasma-enhanced chemical vapor deposition (PECVD). The effect of passivation on the InP surface before and after annealing was evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements, and Auger electron spectroscopy (AES) analysis was used to investigate the depth profiles of several atoms. The results indicate that the SiNx passivation layer exhibits good insulative characteristics. The annealing process causes distinct inter-diffusion in the SiNx/InP interface and contributes to the decrease of the fixed charge density and minimum interface state density, which are 1.96 × 1012 cm-2 and 7.41 × 1011 cm-2 eV-1, respectively. A 256 × 1 InP/InGaAs/InP heterojunction photodiode, fabricated with sulfidation and SiNx passivation layer, has good response uniformity.

  1. Growth kinetics of physical vapor transport processes: Crystal growth of the optoelectronic material mercurous chloride

    NASA Technical Reports Server (NTRS)

    Singh, N. B.; Duval, W. M.

    1991-01-01

    Physical vapor transport processes were studied for the purpose of identifying the magnitude of convective effects on the crystal growth process. The effects of convection on crystal quality were were studied by varying the aspect ratio and those thermal conditions which ultimately affect thermal convection during physical vapor transport. An important outcome of the present study was the observation that the convection growth rate increased up to a certain value and then dropped to a constant value for high aspect ratios. This indicated that a very complex transport had occurred which could not be explained by linear stability theory. Better quality crystals grown at a low Rayleigh number confirmed that improved properties are possible in convectionless environments.

  2. The role of water vapor in climate. A strategic research plan for the proposed GEWEX water vapor project (GVaP)

    NASA Technical Reports Server (NTRS)

    Starr, D. OC. (Editor); Melfi, S. Harvey (Editor)

    1991-01-01

    The proposed GEWEX Water Vapor Project (GVaP) addresses fundamental deficiencies in the present understanding of moist atmospheric processes and the role of water vapor in the global hydrologic cycle and climate. Inadequate knowledge of the distribution of atmospheric water vapor and its transport is a major impediment to progress in achieving a fuller understanding of various hydrologic processes and a capability for reliable assessment of potential climatic change on global and regional scales. GVap will promote significant improvements in knowledge of atmospheric water vapor and moist processes as well as in present capabilities to model these processes on global and regional scales. GVaP complements a number of ongoing and planned programs focused on various aspects of the hydrologic cycle. The goal of GVaP is to improve understanding of the role of water vapor in meteorological, hydrological, and climatological processes through improved knowledge of water vapor and its variability on all scales. A detailed description of the GVaP is presented.

  3. Apparatus for coating a surface with a metal utilizing a plasma source

    DOEpatents

    Brown, Ian G.; MacGill, Robert A.; Galvin, James E.

    1991-01-01

    An apparatus and method for coating or layering a surface with a metal utilizing a metal vapor vacuum arc plasma source. The apparatus includes a trigger mechanism for actuating the metal vacuum vapor arc plasma source in a pulsed mode at a predetermined rate. The surface or substrate to be coated or layered is supported in position with the plasma source in a vacuum chamber. The surface is electrically biased for a selected period of time during the pulsed mode of operation of the plasma source. Both the pulsing of the metal vapor vacuum arc plasma source and the electrical biasing of the surface are synchronized for selected periods of time.

  4. Modeling and experimental study on the growth of silicon germanium film by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhao, Lai

    Hydrogenated microcrystalline silicon germanium µc-SiGe:H deposited by plasma enhanced chemical vapor deposition (PECVD) is of great interest to photovoltaic (PV) applications due to its low process temperature and good uniformity over large area. The nature of high optical absorption and low optical bandgap makes it promising as the bottom cell absorbing layer for tandem junction solar cells. However, the addition of germane (GeH4) gas changes deposited film properties and makes it rather complicated for the established silane (SiH4) based discharge process with hydrogen (H2) dilution. Despite existing experimental studies for SiH 4/GeH4/H2 3-gas mixture discharge and comprehensive numerical simulations for SiH4/H2 or SiH4/Ar plasma, to the author's best knowledge, a numerical model for both SiH 4 and GeH4 in a high pressure regime is yet to be developed. The plasma discharge, the film growth and their effects on film properties and the solar device performance need deep understanding. In this dissertation, the growth of the µc-SiGe:H film by radio frequency (RF) PECVD is studied through modeling simulation as well as experiments. The first numerical model for the glow discharge of SiH4/GeH 4/H2 3-gas mixture in a high pressure regime is developed based on one dimensional fluid model. Transports of electrons, molecules, radicals and ions in the RF excitation are described by diffusion equations that are coupled with the Poisson's equation. The deposition is integrated as the boundary conditions for discharge equations through the sticking coefficient model. Neutral ionizations, radical dissociations and chemical reactions in the gas phase and surface kinetics such as the diffusive motion, chemical reactions and the hydrogen etching are included with interaction rate constants. Solved with an explicit central-difference discretization scheme, the model simulates mathematical features that reflect the plasma physics such as the plasma sheath and gas species

  5. Room temperature chemical vapor deposition of c-axis ZnO

    NASA Astrophysics Data System (ADS)

    Barnes, Teresa M.; Leaf, Jacquelyn; Fry, Cassandra; Wolden, Colin A.

    2005-02-01

    Highly (0 0 2) oriented ZnO films have been deposited at temperatures between 25 and 230 °C by high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) on glass and silicon substrates. The HVP-CVD process was found to be weakly activated with an apparent activation energy of ∼0.1 eV, allowing room temperature synthesis. Films deposited on both substrates displayed a preferential c-axis texture over the entire temperature range. Films grown on glass demonstrated high optical transparency throughout the visible and near infrared.

  6. Influence of residual plasma drift velocity on the post-arc sheath expansion of vacuum circuit breakers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mo, Yongpeng; Shi, Zongqian; Jia, Shenli

    The residual plasma in the inter-contact region of a vacuum circuit breaker moves towards the post-arc cathode at current zero, because the residual plasma mainly comes from the cathode spots during the arc burning process. In the most previous theoretical researches on the post-arc sheath expansion process of vacuum circuit breakers, only the thermal motion of residual plasma was taken into consideration. Alternately, the residual plasma was even assumed to be static at the moment of current zero in some simplified models. However, the influence of residual plasma drift velocity at current zero on the post-arc sheath expansion process wasmore » rarely investigated. In this paper, this effect is investigated by a one-dimensional particle-in-cell model. Simulation results indicate that the sheath expands slower with higher residual plasma drift velocity in the initial sheath expansion stage. However, with the increase of residual plasma drift velocity, the overall plasma density in the inter-contact region decreases faster, and the sheath expansion velocity increases earlier. Consequently, as a whole, it needs shorter time to expel the residual plasma from the inter-contact region. Furthermore, if the residual plasma drift velocity is high enough, the sheath expansion process ceases before it develops to the post-arc anode. Besides, the influence of the collisions between charges and neutrals is investigated as well in terms of the density of metal vapor. It shows that the residual plasma drift velocity takes remarkable effect only if the density of the metal vapor is relatively low, which corresponds to the circumstance of low-current interruptions.« less

  7. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    PubMed

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  8. A miniaturized capacitively coupled plasma microtorch optical emission spectrometer and a Rh coiled-filament as small-sized electrothermal vaporization device for simultaneous determination of volatile elements from liquid microsamples: spectral and analytical characterization.

    PubMed

    Frentiu, Tiberiu; Darvasi, Eugen; Butaciu, Sinziana; Ponta, Michaela; Petreus, Dorin; Mihaltan, Alin I; Frentiu, Maria

    2014-11-01

    A low power and low argon consumption (13.56 MHz, 15 W, 150 ml min(-1)) capacitively coupled plasma microtorch interfaced with a low-resolution microspectrometer and a small-sized electrothermal vaporization Rh coiled-filament as liquid microsample introduction device into the plasma was investigated for the simultaneous determination of several volatile elements of interest for environment. Constructive details, spectral and analytical characteristics, and optimum operating conditions of the laboratory equipment for the simultaneous determination of Ag, Cd, Cu, Pb and Zn requiring low vaporization power are provided. The method involves drying of 10 μl sample at 100°C, vaporization at 1500°C and emission measurement by capture of 20 successive spectral episodes each at an integration time of 500 ms. Experiments showed that emission of elements and plasma background were disturbed by the presence of complex matrix and hot Ar flow transporting the microsample into plasma. The emission spectrum of elements is simple, dominated by the resonance lines. The analytical system provided detection limits in the ng ml(-1) range: 0.5(Ag); 1.5(Cd); 5.6(Cu); 20(Pb) and 3(Zn) and absolute detection limits of the order of pg: 5(Ag); 15(Cd); 56(Cu); 200(Pb) and 30(Zn). It was demonstrated the utility and capability of the miniaturized analytical system in the simultaneous determination of elements in soil and water sediment using the standard addition method to compensate for the non-spectral effects of alkali and earth alkaline elements. The analysis of eight certified reference materials exhibited reliable results with recovery in the range of 95-108% and precision of 0.5-9.0% for the five examined elements. The proposed miniaturized analytical system is attractive due to the simple construction of the electrothermal vaporization device and microtorch, low costs associated to plasma generation, high analytical sensitivity and easy-to-run for simultaneous multielemental

  9. Submillimeter Spectroscopic Diagnostics in Semiconductor Processing Plasmas

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.; Neese, Christopher F.; De Lucia, Frank C.; Ewing, Paul R.; Stout, Phillip J.; Walker, Quentin; Armacost, Michael D.

    2014-06-01

    Submillimeter absorption spectroscopy was used to study semiconductor processing plasmas. Abundances and temperatures of molecules, radicals, and ions can be determined without altering any of the properties of the plasma. The behavior of these measurements provides useful applications in monitoring process steps. A summary of such applications will be presented, including etching and cleaning endpoint detection.

  10. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    NASA Astrophysics Data System (ADS)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  11. The response of the ionosphere to the injection of chemically reactive vapors

    NASA Technical Reports Server (NTRS)

    Bernhardt, P. A.

    1976-01-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply causing a thermal expansion of the plasma and a further reduction in the local plasma concentration.

  12. Mirror plasma apparatus

    DOEpatents

    Moir, Ralph W.

    1981-01-01

    A mirror plasma apparatus which utilizes shielding by arc discharge to form a blanket plasma and lithium walls to reduce neutron damage to the wall of the apparatus. An embodiment involves a rotating liquid lithium blanket for a tandem mirror plasma apparatus wherein the first wall of the central mirror cell is made of liquid lithium which is spun with angular velocity great enough to keep the liquid lithium against the first material wall, a blanket plasma preventing the lithium vapor from contaminating the plasma.

  13. Intelligent process control of fiber chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  14. Apparatus for coating a surface with a metal utilizing a plasma source

    DOEpatents

    Brown, I.G.; MacGill, R.A.; Galvin, J.E.

    1991-05-07

    An apparatus and method are disclosed for coating or layering a surface with a metal utilizing a metal vapor vacuum arc plasma source. The apparatus includes a trigger mechanism for actuating the metal vacuum vapor arc plasma source in a pulsed mode at a predetermined rate. The surface or substrate to be coated or layered is supported in position with the plasma source in a vacuum chamber. The surface is electrically biased for a selected period of time during the pulsed mode of operation of the plasma source. Both the pulsing of the metal vapor vacuum arc plasma source and the electrical biasing of the surface are synchronized for selected periods of time. 10 figures.

  15. Numerical studies of wall–plasma interactions and ionization phenomena in an ablative pulsed plasma thruster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Lei; School of Astronautics, Beihang University, Beijing 100191; Zeng, Guangshang

    2016-07-15

    Wall–plasma interactions excited by ablation controlled arcs are very critical physical processes in pulsed plasma thrusters (PPTs). Their effects on the ionization processes of ablated vapor into discharge plasma directly determine PPT performances. To reveal the physics governing the ionization phenomena in PPT discharge, a modified model taking into account the pyrolysis effect of heated polytetrafluoroethylene propellant on the wall–plasma interactions was developed. The feasibility of the modified model was analyzed by creating a one-dimensional simulation of a rectangular ablative PPT. The wall–plasma interaction results based on this modified model were found to be more realistic than for the unmodifiedmore » model; this reflects the dynamic changes of the inflow parameters during discharge in our model. Furthermore, the temporal and spatial variations of the different plasma species in the discharge chamber were numerically studied. The numerical studies showed that polytetrafluoroethylene plasma was mainly composed of monovalent ions; carbon and fluorine ions were concentrated in the upstream and downstream discharge chamber, respectively. The results based on this modified model were in good agreement with the experimental formation times of the various plasma species. A large number of short-lived and highly ionized carbon and fluorine species (divalent and trivalent ions) were created during initial discharge. These highly ionized species reached their peak density earlier than the singly ionized species.« less

  16. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, Klaus J.

    1993-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) were grown by remote plasma enhanced chemical vapor deposition utilizing in situ generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (rf) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate, however, the saturation of the growth rate at even higher rf power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  17. Remote plasma enhanced chemical vapor deposition of GaP with in situ generation of phosphine precursors

    NASA Technical Reports Server (NTRS)

    Choi, S. W.; Lucovsky, G.; Bachmann, K. J.

    1992-01-01

    Thin homoepitaxial films of gallium phosphide (GaP) have been grown by remote plasma enhanced chemical vapor deposition utilizing in situ-generated phosphine precursors. The GaP forming reaction is kinetically controlled with an activation energy of 0.65 eV. The increase of the growth rate with increasing radio frequency (RF) power between 20 and 100 W is due to the combined effects of increasingly complete excitation and the spatial extension of the glow discharge toward the substrate; however, the saturation of the growth rate at even higher RF power indicates the saturation of the generation rate of phosphine precursors at this condition. Slight interdiffusion of P into Si and Si into GaP is indicated from GaP/Si heterostructures grown under similar conditions as the GaP homojunctions.

  18. Studies of material and process compatibility in developing compact silicon vapor chambers

    NASA Astrophysics Data System (ADS)

    Cai, Qingjun; Bhunia, Avijit; Tsai, Chialun; Kendig, Martin W.; DeNatale, Jeffrey F.

    2013-06-01

    The performance and long-term reliability of a silicon vapor chamber (SVC) developed for thermal management of high-power electronics critically depend on compatibility of the component materials. A hermetically sealed SVC presented in this paper is composed of bulk silicon, glass-frit as a bonding agent, lead/tin solder as an interface sealant and a copper charging tube. These materials, in the presence of a water/vapor environment, may chemically react and release noncondensable gas (NCG), which can weaken structural strength and degrade the heat transfer performance with time. The present work reports detailed studies on chemical compatibility of the components and potential solutions to avoid the resulting thermal performance degradation. Silicon surface oxidation and purification of operating liquid are necessary steps to reduce performance degradation in the transient period. A lead-based solder with its low reflow temperature is found to be electrochemically stable in water/vapor environment. High glazing temperature solidifies molecular bonding in glass-frit and mitigates PbO precipitation. Numerous liquid flushes guarantee removal of chemical residual after the charging tube is soldered to SVC. With these improvements on the SVC material and process compatibility, high effective thermal conductivity and steady heat transfer performance are obtained.

  19. Effects of water vapor on flue gas conditioning in the electric fields with corona discharge.

    PubMed

    Liqiang, Qi; Yajuan, Zhang

    2013-07-15

    Sulfur dioxide (SO2) removal via pulsed discharge nonthermal plasma in the absence of ammonia was investigated to determine how electrostatic precipitators (ESPs) can effectively collect particulate matter less than 2.5μm in diameter from flue gas. SO2 removal increased as water vapor concentration increased. In a wet-type plasma reactor, directing a gas-phase discharge plasma toward the water film surface significantly enhanced the liquid-phase oxidation of HSO3(-) to SO4(2-). Comparisons of various absorbents revealed that the hydroxyl radical is a key factor in plasma-induced liquid-phase reactions. The resistivity, size distribution, and cohesive force of fly ash at different water vapor contents were measured using a Bahco centrifuge, which is a dust electrical resistivity test instrument, as well as a cohesive force test apparatus developed by the researchers. When water vapor content increased by 5%, fly ash resistivity in flue gas decreased by approximately two orders of magnitude, adhesive force and size increased, and specific surface area decreased. Therefore, ESP efficiency increased. Copyright © 2013 Elsevier B.V. All rights reserved.

  20. Degradation by water vapor of hydrogenated amorphous silicon oxynitride films grown at low temperature.

    PubMed

    Lee, Hyung-Ik; Park, Jong-Bong; Xianyu, Wenxu; Kim, Kihong; Chung, Jae Gwan; Kyoung, Yong Koo; Byun, Sunjung; Yang, Woo Young; Park, Yong Young; Kim, Seong Min; Cho, Eunae; Shin, Jai Kwang

    2017-10-26

    We report on the degradation process by water vapor of hydrogenated amorphous silicon oxynitride (SiON:H) films deposited by plasma-enhanced chemical vapor deposition at low temperature. The stability of the films was investigated as a function of the oxygen content and deposition temperature. Degradation by defects such as pinholes was not observed with transmission electron microscopy. However, we observed that SiON:H film degrades by reacting with water vapor through only interstitial paths and nano-defects. To monitor the degradation process, the atomic composition, mass density, and fully oxidized thickness were measured by using high-resolution Rutherford backscattering spectroscopy and X-ray reflectometry. The film rapidly degraded above an oxygen composition of ~27 at%, below a deposition temperature of ~150 °C, and below an mass density of ~2.15 g/cm 3 . This trend can be explained by the extents of porosity and percolation channel based on the ring model of the network structure. In the case of a high oxygen composition or low temperature, the SiON:H film becomes more porous because the film consists of network channels of rings with a low energy barrier.

  1. Field electron emission from diamond and related films synthesized by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lu, Xianfeng

    The focus of this thesis is the study of the field electron emission (FEE) of diamond and related films synthesized by plasma enhanced chemical vapor deposition. The diamond and related films with different morphologies and compositions were prepared in a microwave plasma-enhanced chemical vapor deposition (CVD) reactor and a hot filament CVD reactor. Various analytical techniques including scanning electron microscopy (SEM), atomic force microscopy (AFM), and Raman spectroscopy were employed to characterize the surface morphology and chemical composition. The influence of surface morphology on the field electron emission property of diamond films was studied. The emission current of well-oriented microcrystalline diamond films is relatively small compared to that of randomly oriented microcrystalline diamond films. Meanwhile, the nanocrystalline diamond film has demonstrated a larger emission current than microcrystalline diamond films. The nanocone structure significantly improves the electron emission current of diamond films due to its strong field enhancement effect. The sp2 phase concentration also has significant influence on the field electron emission property of diamond films. For the diamond films synthesized by gas mixture of hydrogen and methane, their field electron emission properties were enhanced with the increase of methane concentration. The field electron emission enhancement was attributed to the increase of sp2 phase concentration, which increases the electrical conductivity of diamond films. For the diamond films synthesized through graphite etching, the growth rate and nucleation density of diamond films increase significantly with decreasing hydrogen flow rate. The field electron emission properties of the diamond films were also enhanced with the decrease of hydrogen flow rate. The field electron emission enhancement can be also attributed to the increase of the sp 2 phase concentration. In addition, the deviation of the experimental

  2. Purification process for vertically aligned carbon nanofibers

    NASA Technical Reports Server (NTRS)

    Nguyen, Cattien V.; Delziet, Lance; Matthews, Kristopher; Chen, Bin; Meyyappan, M.

    2003-01-01

    Individual, free-standing, vertically aligned multiwall carbon nanotubes or nanofibers are ideal for sensor and electrode applications. Our plasma-enhanced chemical vapor deposition techniques for producing free-standing and vertically aligned carbon nanofibers use catalyst particles at the tip of the fiber. Here we present a simple purification process for the removal of iron catalyst particles at the tip of vertically aligned carbon nanofibers derived by plasma-enhanced chemical vapor deposition. The first step involves thermal oxidation in air, at temperatures of 200-400 degrees C, resulting in the physical swelling of the iron particles from the formation of iron oxide. Subsequently, the complete removal of the iron oxide particles is achieved with diluted acid (12% HCl). The purification process appears to be very efficient at removing all of the iron catalyst particles. Electron microscopy images and Raman spectroscopy data indicate that the purification process does not damage the graphitic structure of the nanotubes.

  3. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  4. Water recovery by catalytic treatment of urine vapor

    NASA Technical Reports Server (NTRS)

    Budininkas, P.; Quattrone, P. D.; Leban, M. I.

    1980-01-01

    The objective of this investigation was to demonstrate the feasibility of water recovery on a man-rated scale by the catalytic processing of untreated urine vapor. For this purpose, two catalytic systems, one capable of processing an air stream containing low urine vapor concentrations and another to process streams with high urine vapor concentrations, were designed, constructed, and tested to establish the quality of the recovered water.

  5. Numerical study on the splitting of a vapor bubble in the ultrasonic assisted EDM process with the curved tool and workpiece.

    PubMed

    Shervani-Tabar, M T; Seyed-Sadjadi, M H; Shabgard, M R

    2013-01-01

    Electrical discharge machining (EDM) is a powerful and modern method of machining. In the EDM process, a vapor bubble is generated between the tool and the workpiece in the dielectric liquid due to an electrical discharge. In this process dynamic behavior of the vapor bubble affects machining process. Vibration of the tool surface affects bubble behavior and consequently affects material removal rate (MRR). In this paper, dynamic behavior of the vapor bubble in an ultrasonic assisted EDM process after the appearance of the necking phenomenon is investigated. It is noteworthy that necking phenomenon occurs when the bubble takes the shape of an hour-glass. After the appearance of the necking phenomenon, the vapor bubble splits into two parts and two liquid jets are developed on the boundaries of the upper and lower parts of the vapor bubble. The liquid jet developed on the upper part of the bubble impinges to the tool and the liquid jet developed on the lower part of the bubble impinges to the workpiece. These liquid jets cause evacuation of debris from the gap between the tool and the workpiece and also cause erosion of the workpiece and the tool. Curved tool and workpiece affect the shape and the velocity of the liquid jets during splitting of the vapor bubble. In this paper dynamics of the vapor bubble after its splitting near the curved tool and workpiece is investigated in three cases. In the first case surfaces of the tool and the workpiece are flat, in the second case surfaces of the tool and the workpiece are convex and in the third case surfaces of the tool and workpiece are concave. Numerical results show that in the third case, the velocity of liquid jets which are developed on the boundaries of the upper and lower parts of the vapor bubble after its splitting have the highest magnitude and their shape are broader than the other cases. Copyright © 2012 Elsevier B.V. All rights reserved.

  6. Determination of mercury compounds in fish by microwave-assisted extraction and liquid chromatography-vapor generation-inductively coupled plasma mass spectrometry

    NASA Astrophysics Data System (ADS)

    Chiou, Chwei-Sheng; Jiang, Shiuh-Jen; Kumar Danadurai, K. Suresh

    2001-07-01

    A method employing a vapor generation system and LC combined with inductively coupled plasma mass spectrometry (LC-ICP-MS) is presented for the determination of mercury in biological tissues. An open vessel microwave digestion system was used to extract the mercury compounds from the sample matrix. The efficiency of the mobile phase, a mixture of L-cysteine and 2-mercaptoethanol, was evaluated for LC separation of inorganic mercury [Hg(II)], methylmercury (methyl-Hg) and ethylmercury (ethyl-Hg). The sensitivity, detection limits and repeatability of the liquid chromatography (LC) ICP-MS system with a vapor generator were comparable to, or better than, that of an LC-ICP-MS system with conventional pneumatic nebulization, or other sample introduction techniques. The experimental detection limits for various mercury species were in the range of 0.05-0.09 ng ml -1 Hg, based on peak height. The proposed method was successfully applied to the determination of mercury compounds in a swordfish sample purchased from the local market. The accuracy of the method was evaluated by analyzing a marine biological certified reference material (DORM-2, NRCC).

  7. Fluorophore-based sensor for oxygen radicals in processing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu; Sabat, Grzegorz

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye thatmore » is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.« less

  8. Theory of supercompression of vapor bubbles and nanoscale thermonuclear fusion

    NASA Astrophysics Data System (ADS)

    Nigmatulin, Robert I.; Akhatov, Iskander Sh.; Topolnikov, Andrey S.; Bolotnova, Raisa Kh.; Vakhitova, Nailya K.; Lahey, Richard T.; Taleyarkhan, Rusi P.

    2005-10-01

    shock waves in both phases, which converge toward and reflect from the center of the bubble, causing dissociation, ionization, and other related plasma physics phenomena during the final stage of bubble collapse. For a vapor bubble in a deuterated organic liquid (e.g., acetone), during the final stage of collapse there is a nanoscale region (diameter ˜100nm) near the center of the bubble in which, for a fraction of a picosecond, the temperatures and densities are extremely high (˜108K and ˜10g/cm3, respectively) such that thermonuclear fusion may take place. To quantify this, the kinetics of the local deuterium/deuterium (D/D) nuclear fusion reactions was used in the HYDRO code to determine the intensity of the fusion reactions. Numerical HYDRO code simulations of the bubble implosion process have been carried out for the experimental conditions used by Taleyarkhan et al. [Science 295, 1868 (2002); Phys. Rev. E 69, 036109 (2004)] at Oak Ridge National Laboratory. The results show good agreement with the experimental data on bubble fusion that was measured in chilled deuterated acetone.

  9. Lattice Matched Iii-V IV Semiconductor Heterostructures: Metalorganic Chemical Vapor Deposition and Remote Plasma Enhanced Chemical Vapor Deposition.

    NASA Astrophysics Data System (ADS)

    Choi, Sungwoo

    1992-01-01

    This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results

  10. Modeling Electrothermal Plasma with Boundary Layer Effects

    NASA Astrophysics Data System (ADS)

    AlMousa, Nouf Mousa A.

    Electrothermal plasma sources produce high-density (1023-10 28 /m3) and high temperature (1-5 eV) plasmas that are of interest for a variety of applications such as hypervelocity launch devices, fusion reactor pellet injectors, and pulsed thrusters for small satellites. Also, the high heat flux (up to 100 GW/m2) and high pressure (100s MPa) of electrothermal (ET) plasmas allow for the use of such facilities as a source of high heat flux to simulate off-normal events in Tokamak fusion reactors. Off-normal events like disruptions, thermal and current quenches, are the perfect recipes for damage of plasma facing components (PFC). Successful operation of a fusion reactor requires comprehensive understanding of material erosion behavior. The extremely high heat fluxes deposited in PFCs melt and evaporate or directly sublime the exposed surfaces, which results in a thick vapor/melt boundary layer adjacent to the solid wall structure. The accumulating boundary layers provide a self-protecting nature by attenuating the radiant energy transport to the PFCs. The ultimate goal of this study is to develop a reliable tool to adequately simulate the effect of the boundary layers on the formation and flow of the energetic ET plasma and its impact on exposed surfaces erosion under disruption like conditions. This dissertation is a series of published journals/conferences papers. The first paper verified the existence of the vapor shield that evolved at the boundary layer under the typical operational conditions of the NC State University ET plasma facilities PIPE and SIRENS. Upon the verification of the vapor shield, the second paper proposed novel model to simulate the evolution of the boundary layer and its effectiveness in providing a self-protecting nature for the exposed plasma facing surfaces. The developed models simulate the radiant heat flux attenuation through an optically thick boundary layer. The models were validated by comparing the simulation results to experimental

  11. Diamond film growth argon-carbon plasmas

    DOEpatents

    Gruen, Dieter M.; Krauss, Alan R.; Liu, Shengzhong; Pan, Xianzheng; Zuiker, Christopher D.

    1998-01-01

    A method and system for manufacturing diamond film. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrogen and hydrocarbon and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous and deposition of a diamond film on a substrate.

  12. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Imai, Shun; Kondo, Hiroki; Cho, Hyungjun; Kano, Hiroyuki; Ishikawa, Kenji; Sekine, Makoto; Hiramatsu, Mineo; Ito, Masafumi; Hori, Masaru

    2017-10-01

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (>1012 cm-2) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

  13. Feasibility Study for a Plasma Dynamo Facility to Investigate Fundamental Processes in Plasma Astrophysics. Final report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Forest, Cary B.

    The scientific equipment purchased on this grant was used on the Plasma Dynamo Prototype Experiment as part of Professor Forest's feasibility study for determining if it would be worthwhile to propose building a larger plasma physics experiment to investigate various fundamental processes in plasma astrophysics. The initial research on the Plasma Dynamo Prototype Experiment was successful so Professor Forest and Professor Ellen Zweibel at UW-Madison submitted an NSF Major Research Instrumentation proposal titled "ARRA MRI: Development of a Plasma Dynamo Facility for Experimental Investigations of Fundamental Processes in Plasma Astrophysics." They received funding for this project and the Plasma Dynamomore » Facility also known as the "Madison Plasma Dynamo Experiment" was constructed. This experiment achieved its first plasma in the fall of 2012 and U.S. Dept. of Energy Grant No. DE-SC0008709 "Experimental Studies of Plasma Dynamos," now supports the research.« less

  14. Optical Plasma Control During ARC Carbon Nanotube Growth

    NASA Technical Reports Server (NTRS)

    Hinkov, I.; Farhat, S.; DeLaChapelle, M. Lamy; Fan, S. S.; Han, H. X.; Li, G. H.; Scott, C. D.

    2001-01-01

    To improve nanotube production, we developed a novel optical control technique, based on the shape of the visible plasma zone created between the anode and the cathode in the direct current (DC) arc process. For a given inert gas, we adjust the anode to cathode distance (ACD) in order to obtain strong visible vortices around the cathode. This enhance anode vaporization, which improve nanotubes formation. In light of our experimental results, we focus our discussion on the relationship between plasma parameters and nanotube growth. Plasma temperature control during arc process is achieved using argon, helium, and their mixtures as a buffer gases. The variation of the gas mixture from pure argon to pure helium changes plasma temperature. As a consequence, the microscopic characteristics of nanotubes as diameter distribution is changed moving from smaller values for argon to higher diameters for helium. We also observe a dependence of the macroscopic characteristics of the final products as Brunauer-Emmett-Teller (BET) surface area.

  15. Constricted glow discharge plasma source

    DOEpatents

    Anders, Andre; Anders, Simone; Dickinson, Michael; Rubin, Michael; Newman, Nathan

    2000-01-01

    A constricted glow discharge chamber and method are disclosed. The polarity and geometry of the constricted glow discharge plasma source is set so that the contamination and energy of the ions discharged from the source are minimized. The several sources can be mounted in parallel and in series to provide a sustained ultra low source of ions in a plasma with contamination below practical detection limits. The source is suitable for applying films of nitrides such as gallium nitride and oxides such as tungsten oxide and for enriching other substances in material surfaces such as oxygen and water vapor, which are difficult process as plasma in any known devices and methods. The source can also be used to assist the deposition of films such as metal films by providing low-energy ions such as argon ions.

  16. Continuous Cavitation Designed for Enhancing Radiofrequency Ablation via a Special Radiofrequency Solidoid Vaporization Process.

    PubMed

    Zhang, Kun; Li, Pei; Chen, Hangrong; Bo, Xiaowan; Li, Xiaolong; Xu, Huixiong

    2016-02-23

    Lowering power output and radiation time during radiofrequency (RF) ablation is still a challenge. Although it is documented that metal-based magnetothermal conversion and microbubbles-based inertial cavitation have been tried to overcome above issues, disputed toxicity and poor magnetothermal conversion efficiency for metal-based nanoparticles and violent but transient cavitation for microbubbles are inappropriate for enhancing RF ablation. In this report, a strategy, i.e., continuous cavitation, has been proposed, and solid menthol-encapsulated poly lactide-glycolide acid (PLGA) nanocapsules have been constructed, as a proof of concept, to validate the role of such a continuous cavitation principle in continuously enhancing RF ablation. The synthesized PLGA-based nanocapsules can respond to RF to generate menthol bubbles via distinctive radiofrequency solidoid vaporization (RSV) process, meanwhile significantly enhance ultrasound imaging for HeLa solid tumor, and further facilitate RF ablation via the continuous cavitation, as systematically demonstrated both in vitro and in vivo. Importantly, this RSV strategy can overcome drawbacks and limitations of acoustic droplet vaporization (ADV) and optical droplet vaporization (ODV), and will probably find broad applications in further cancer theranostics.

  17. Annular vortex merging processes in non-neutral electron plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaga, Chikato, E-mail: d146073@hiroshima-u.ac.jp; Ito, Kiyokazu; Higaki, Hiroyuki

    2015-06-29

    Non-neutral electron plasmas in a uniform magnetic field are investigated experimentally as a two dimensional (2D) fluid. Previously, it was reported that 2D phase space volume increases during a vortex merging process with viscosity. However, the measurement was restricted to a plasma with a high density. Here, an alternative method is introduced to evaluate a similar process for a plasma with a low density.

  18. Characterization of plasma processing induced charging damage to MOS devices

    NASA Astrophysics Data System (ADS)

    Ma, Shawming

    1997-12-01

    Plasma processing has become an integral part of the fabrication of integrated circuits and takes at least 30% of whole process steps since it offers advantages in terms of directionality, low temperature and process convenience. However, wafer charging during plasma processes is a significant concern for both thin oxide damage and profile distortion. In this work, the factors affecting this damage will be explained by plasma issues, device structure and oxide quality. The SPORT (Stanford Plasma On-wafer Real Time) charging probe was developed to investigate the charging mechanism of different plasma processes including poly-Si etching, resist ashing and PECVD. The basic idea of this probe is that it simulates a real device structure in the plasma environment and allows measurement of plasma induced charging voltages and currents directly in real time. This measurement is fully compatible with other charging voltage measurement but it is the only one to do in real-time. Effect of magnetic field induced plasma nonuniformity on spatial dependent charging is well understood by this measurement. In addition, the plasma parameters including ion current density and electron temperature can also be extracted from the probe's plasma I-V characteristics using a dc Langmuir probe like theory. It will be shown that the MOS device tunneling current from charging, the dependence on antenna ratio and the etch uniformity can all be predicted by using this measurement. Moreover, the real-time measurement reveals transient and electrode edge effect during processing. Furthermore, high aspect ratio pattern induced electron shading effects can also be characterized by the probe. On the oxide quality issue, wafer temperature during plasma processing has been experimentally shown to be critical to charging damage. Finally, different MOS capacitor testing methods including breakdown voltage, charge-to-breakdown, gate leakage current and voltage-time at constant current bias were

  19. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  20. Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with Density Functional Theory.

    PubMed

    Filatova, Ekaterina A; Hausmann, Dennis; Elliott, Simon D

    2018-05-02

    Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH 3 and SiH 2 are calculated along with the energetics for the methane plasma fragments CH 3 and CH 2 . SiH 3 and SiH 2 fragments follow different mechanisms toward Si growth, of which the SiH 3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

  1. Diamond film growth argon-carbon plasmas

    DOEpatents

    Gruen, D.M.; Krauss, A.R.; Liu, S.Z.; Pan, X.Z.; Zuiker, C.D.

    1998-12-15

    A method and system are disclosed for manufacturing diamond film. The method involves forming a carbonaceous vapor, providing a gas stream of argon, hydrogen and hydrocarbon and combining the gas with the carbonaceous vapor, passing the combined carbonaceous vapor and gas carrier stream into a chamber, forming a plasma in the chamber causing fragmentation of the carbonaceous and deposition of a diamond film on a substrate. 29 figs.

  2. Plasma Processing of Lunar Regolith Simulant for Diverse Applications

    NASA Technical Reports Server (NTRS)

    Schofield, Elizabeth C.; Sen, Subhayu; O'Dell, J. Scott

    2008-01-01

    Versatile manufacturing technologies for extracting resources from the moon are needed to support future space missions. Of particular interest is the production of gases and metals from lunar resources for life support, propulsion, and in-space fabrication. Deposits made from lunar regolith could yield highly emissive coatings and near-net shaped parts for replacement or repair of critical components. Equally important is development of high fidelity lunar simulants for ground based validation of potential lunar surface operations. Described herein is an innovative plasma processing technique for insitu production of gases, metals, coatings, and deposits from lunar regolith, and synthesis of high fidelity lunar simulant from NASA issued lunar simulant JSC-1. Initial plasma reduction trials of JSC-1 lunar simulant have indicated production of metallic iron and magnesium. Evolution of carbon monoxide has been detected subsequent to reduction of the simulant using the plasma process. Plasma processing of the simulant has also resulted in glassy phases resembling the volcanic glass and agglutinates found in lunar regolith. Complete and partial glassy phase deposits have been obtained by varying the plasma process variables. Experimental techniques, product characterization, and process gas analysis will be discussed.

  3. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    NASA Technical Reports Server (NTRS)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  4. Condensation of vapor bubble in subcooled pool

    NASA Astrophysics Data System (ADS)

    Horiuchi, K.; Koiwa, Y.; Kaneko, T.; Ueno, I.

    2017-02-01

    We focus on condensation process of vapor bubble exposed to a pooled liquid of subcooled conditions. Two different geometries are employed in the present research; one is the evaporation on the heated surface, that is, subcooled pool boiling, and the other the injection of vapor into the subcooled pool. The test fluid is water, and all series of the experiments are conducted under the atmospheric pressure condition. The degree of subcooling is ranged from 10 to 40 K. Through the boiling experiment, unique phenomenon known as microbubble emission boiling (MEB) is introduced; this phenomenon realizes heat flux about 10 times higher than the critical heat flux. Condensation of the vapor bubble is the key phenomenon to supply ambient cold liquid to the heated surface. In order to understand the condensing process in the MEB, we prepare vapor in the vapor generator instead of the evaporation on the heated surface, and inject the vapor to expose the vapor bubble to the subcooled liquid. Special attention is paid to the dynamics of the vapor bubble detected by the high-speed video camera, and on the enhancement of the heat transfer due to the variation of interface area driven by the condensation.

  5. Vapor shielding models and the energy absorbed by divertor targets during transient events

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Skovorodin, D. I., E-mail: dskovorodin@gmail.com; Arakcheev, A. S.; Pshenov, A. A.

    2016-02-15

    The erosion of divertor targets caused by high heat fluxes during transients is a serious threat to ITER operation, as it is going to be the main factor determining the divertor lifetime. Under the influence of extreme heat fluxes, the surface temperature of plasma facing components can reach some certain threshold, leading to an onset of intense material evaporation. The latter results in formation of cold dense vapor and secondary plasma cloud. This layer effectively absorbs the energy of the incident plasma flow, turning it into its own kinetic and internal energy and radiating it. This so called vapor shieldingmore » is a phenomenon that may help mitigating the erosion during transient events. In particular, the vapor shielding results in saturation of energy (per unit surface area) accumulated by the target during single pulse of heat load at some level E{sub max}. Matching this value is one of the possible tests to verify complicated numerical codes, developed to calculate the erosion rate during abnormal events in tokamaks. The paper presents three very different models of vapor shielding, demonstrating that E{sub max} depends strongly on the heat pulse duration, thermodynamic properties, and evaporation energy of the irradiated target material. While its dependence on the other shielding details such as radiation capabilities of material and dynamics of the vapor cloud is logarithmically weak. The reason for this is a strong (exponential) dependence of the target material evaporation rate, and therefore the “strength” of vapor shield on the target surface temperature. As a result, the influence of the vapor shielding phenomena details, such as radiation transport in the vapor cloud and evaporated material dynamics, on the E{sub max} is virtually completely masked by the strong dependence of the evaporation rate on the target surface temperature. However, the very same details define the amount of evaporated particles, needed to provide an effective

  6. Study of ND3-enhanced MAR processes in D2-N2 plasmas to induce plasma detachment

    NASA Astrophysics Data System (ADS)

    Abe, Shota; Chakraborty Thakur, Saikat; Doerner, Russ; Tynan, George

    2017-10-01

    The Molecular Assisted Recombination (MAR) process is thought to be a main channel of volumetric recombination to induce the plasma detachment operation. Authors have focused on a new plasma recombination process supported by ammonia molecules, which will be formed by impurity seeding of N2 for controlling divertor plasma temperature and heat loads in ITER. This ammonia-enhanced MAR process would occur throughout two steps. In this study, the first step of the new MAR process is investigated in low density plasmas (Ne 1016 m-3, Te 4 eV) fueled by D2 and N2. Ion and neutral densities are measured by a calibrated Electrostatic Quadrupole Plasma (EQP) analyzer, combination of an ion energy analyzer and mass spectrometer. The EQP shows formation of ND3 during discharges. Ion densities calculated by a rate equation model are compared with experimental results. We find that the model can reproduce the observed ion densities in the plasma. The model calculation shows that the dominant neutralization channel of Dx+(x =1-3) ions in the volume is the formation of NDy+(y =3 or 4) throughout charge/D+ exchange reactions with ND3. Furthermore, high density plasmas (Ne 1016 m-3) have been achieved to investigate electron-impact dissociative recombination processes of formed NDy+,which is the second step of this MAR process.

  7. Synthesis of large scale graphene oxide using plasma enhanced chemical vapor deposition method and its application in humidity sensing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Yang; Chen, Yuming, E-mail: yumingchen@fudan.edu.cn; Engineering Research Center of Advanced Lighting Technology, Ministry of Education, 220 Handan Road, Shanghai 00433

    2016-03-14

    Large scale graphene oxide (GO) is directly synthesized on copper (Cu) foil by plasma enhanced chemical vapor deposition method under 500 °C and even lower temperature. Compared to the modified Hummer's method, the obtained GO sheet in this article is large, and it is scalable according to the Cu foil size. The oxygen-contained groups in the GO are introduced through the residual gas of methane (99.9% purity). To prevent the Cu surface from the bombardment of the ions in the plasma, we use low intensity discharge. Our experiment reveals that growth temperature has important influence on the carbon to oxygen ratiomore » (C/O ratio) in the GO; and it also affects the amount of π-π* bonds between carbon atoms. Preliminary experiments on a 6 mm × 12 mm GO based humidity sensor prove that the synthesized GO reacts well to the humidity change. Our GO synthesis method may provide another channel for obtaining large scale GO in gas sensing or other applications.« less

  8. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    PubMed Central

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  9. Optimization and analysis of NF3 in situ chamber cleaning plasmas

    NASA Astrophysics Data System (ADS)

    Ji, Bing; Yang, James H.; Badowski, Peter R.; Karwacki, Eugene J.

    2004-04-01

    We report on the optimization and analysis of a dilute NF3 in situ plasma-enhanced chemical vapor deposition chamber cleaning plasma for an Applied Materials P-5000 DxL chamber. Using design of experiments methodology, we identified and optimized operating conditions within the following process space: 10-15 mol % NF3 diluted with helium, 200-400 sccm NF3 flow rate, 2.5-3.5 Torr chamber pressure, and 950 W rf power. Optical emission spectroscopy and Fourier transform infrared spectroscopy were used to endpoint the cleaning processes and to quantify plasma effluent emissions, respectively. The results demonstrate that dilute NF3-based in situ chamber cleaning can be a viable alternative to perfluorocarbon-based in situ cleans with added benefits. The relationship between chamber clean time and fluorine atom density in the plasma is also investigated.

  10. The Basic Plasma Science Facility: a platform for studying plasma processes relevant to space and astrophysical settings

    NASA Astrophysics Data System (ADS)

    Carter, T. A.

    2017-10-01

    The Basic Plasma Science Facility at UCLA is a national user facility for studies of fundamental processes in magnetized plasmas. The centerpiece is the Large Plasma Device, a 20 m, magnetized linear plasma device. Two hot cathode plasma sources are available. A Barium Oxide coated cathode produces plasmas with n 1012 cm-3, Te 5 eV, Ti < 1 eV with magnetic field from 400G-2kG. This low- β plasma has been used to study fundamental processes, including: dispersion and damping of kinetic and inertial Alfvén waves, flux ropes and magnetic reconnection, three-wave interactions and parametric instabilities of Alfvén waves, turbulence and transport, and interactions of energetic ions and electrons with plasma waves. A new Lanthanum Hexaboride (LaB6) cathode is now available which produces significantly higher densities and temperatures: n < 5 ×1013 cm-3, Te 12 eV, Ti 6 eV. This higher pressure plasma source enabled the observation of laser-driven collisionless magnetized shocks and, with lowered magnetic field, provides magnetized plasmas with β approaching or possibly exceeding unity. This opens up opportunities for investigating processes relevant to the solar wind and astrophysical plasmas. BaPSF is jointly supported by US DOE and NSF.

  11. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    DOE PAGES

    Campo, Teresa; Cotto, María; Márquez, Francisco; ...

    2016-03-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plasma blue flash is required for obtaining graphene flakes. The synthesized graphene was characterized by different techniques, showing the presence of non-oxidized graphenemore » with high purity.« less

  12. 40 CFR 796.1950 - Vapor pressure.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more... simulations. Vapor pressure is computed on the assumption that the total pressure of a mixture of gases is...

  13. Constructing a superhydrophobic surface on polydimethylsiloxane via spin coating and vapor-liquid sol-gel process.

    PubMed

    Peng, Yu-Ting; Lo, Kuo-Feng; Juang, Yi-Je

    2010-04-06

    In this study, a superhydrophobic surface on polydimethylsiloxane (PDMS) substrate was constructed via the proposed vapor-liquid sol-gel process in conjunction with spin coating of dodecyltrichlorosilane (DTS). Unlike the conventional sol-gel process where the reaction takes place in the liquid phase, layers of silica (SiO(2)) particles were formed through the reaction between the reactant spin-coated on the PDMS surface and vapor of the acid solution. This led to the SiO(2) particles inlaid on the PDMS surface. Followed by subsequent spin coating of DTS solution, the wrinkle-like structure was formed, and the static contact angle of the water droplet on the surface could reach 162 degrees with 2 degrees sliding angle and less than 5 degrees contact angle hysteresis. The effect of layers of SiO(2) particles, concentrations of DTS solution and surface topography on superhydrophobicity of the surface is discussed.

  14. Focusing experiments in plasma coaxial railguns

    NASA Astrophysics Data System (ADS)

    Driga, M. D.; Cook, R. W.; Thelen, R. F.

    1986-11-01

    Results are reported from experiments on focusing of plasma fired from a coaxial electromagnetic gun (CEMG). The plasma used, obtained by exploding a metallic fuse at the gun breech, comprised metal vapor, metallic liquid droplets and small chunks of solid metal. An azimuthal current, and thereby an axial field, was introduced at the breech of the CEMG by a solenoid. Previous studies indicated that the field would cause vaporized metal to form into a self-stabilizing toroidal plasma. Test shots instrumented with Languir probes and pick-up coils did not reveal the presence of toroidal plasma rings. However, post-mortem of the 30 cm rail showed that only one-third of the 3 mg Al fuse metal remained in the bore. Further, a toroidal hole was punched in a diagnostic screen at the bore exit after one shot.

  15. Plasma Processes of Cutting and Welding

    DTIC Science & Technology

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  16. Low Pressure Vapor-assisted Solution Process for Tunable Band Gap Pinhole-free Methylammonium Lead Halide Perovskite Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sutter-Fella, Carolin M.; Li, Yanbo; Cefarin, Nicola

    Organo-lead halide perovskites have recently attracted great interest for potential applications in thin-film photovoltaics and optoelectronics. Herein, we present a protocol for the fabrication of this material via the low-pressure vapor assisted solution process (LP-VASP) method, which yields ~19% power conversion efficiency in planar heterojunction perovskite solar cells. First, we report the synthesis of methylammonium iodide (CH 3NH 3I) and methylammonium bromide (CH 3NH 3Br) from methylamine and the corresponding halide acid (HI or HBr). Then, we describe the fabrication of pinhole-free, continuous methylammonium-lead halide perovskite (CH 3NH 3PbX 3 with X = I, Br, Cl and their mixture) filmsmore » with the LP-VASP. This process is based on two steps: i) spin-coating of a homogenous layer of lead halide precursor onto a substrate, and ii) conversion of this layer to CH 3NH 3PbI 3-xBr x by exposing the substrate to vapors of a mixture of CH 3NH 3I and CH 3NH 3Br at reduced pressure and 120 °C. Through slow diffusion of the methylammonium halide vapor into the lead halide precursor, we achieve slow and controlled growth of a continuous, pinhole-free perovskite film. The LP-VASP allows synthetic access to the full halide composition space in CH 3NH 3PbI 3-xBr x with 0 ≤ x ≤ 3. Depending on the composition of the vapor phase, the bandgap can be tuned between 1.6 eV ≤ E g ≤ 2.3 eV. In addition, by varying the composition of the halide precursor and of the vapor phase, we can also obtain CH 3NH 3PbI 3-xCl x. Films obtained from the LP-VASP are reproducible, phase pure as confirmed by X-ray diffraction measurements, and show high photoluminescence quantum yield. The process does not require the use of a glovebox.« less

  17. Low Pressure Vapor-assisted Solution Process for Tunable Band Gap Pinhole-free Methylammonium Lead Halide Perovskite Films

    DOE PAGES

    Sutter-Fella, Carolin M.; Li, Yanbo; Cefarin, Nicola; ...

    2017-09-08

    Organo-lead halide perovskites have recently attracted great interest for potential applications in thin-film photovoltaics and optoelectronics. Herein, we present a protocol for the fabrication of this material via the low-pressure vapor assisted solution process (LP-VASP) method, which yields ~19% power conversion efficiency in planar heterojunction perovskite solar cells. First, we report the synthesis of methylammonium iodide (CH 3NH 3I) and methylammonium bromide (CH 3NH 3Br) from methylamine and the corresponding halide acid (HI or HBr). Then, we describe the fabrication of pinhole-free, continuous methylammonium-lead halide perovskite (CH 3NH 3PbX 3 with X = I, Br, Cl and their mixture) filmsmore » with the LP-VASP. This process is based on two steps: i) spin-coating of a homogenous layer of lead halide precursor onto a substrate, and ii) conversion of this layer to CH 3NH 3PbI 3-xBr x by exposing the substrate to vapors of a mixture of CH 3NH 3I and CH 3NH 3Br at reduced pressure and 120 °C. Through slow diffusion of the methylammonium halide vapor into the lead halide precursor, we achieve slow and controlled growth of a continuous, pinhole-free perovskite film. The LP-VASP allows synthetic access to the full halide composition space in CH 3NH 3PbI 3-xBr x with 0 ≤ x ≤ 3. Depending on the composition of the vapor phase, the bandgap can be tuned between 1.6 eV ≤ E g ≤ 2.3 eV. In addition, by varying the composition of the halide precursor and of the vapor phase, we can also obtain CH 3NH 3PbI 3-xCl x. Films obtained from the LP-VASP are reproducible, phase pure as confirmed by X-ray diffraction measurements, and show high photoluminescence quantum yield. The process does not require the use of a glovebox.« less

  18. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  19. Plasma vapor deposited n-indium tin oxide/p-copper indium oxide heterojunctions for optoelectronic device applications

    NASA Astrophysics Data System (ADS)

    Jaya, T. P.; Pradyumnan, P. P.

    2017-12-01

    Transparent crystalline n-indium tin oxide/p-copper indium oxide diode structures were fabricated on quartz substrates by plasma vapor deposition using radio frequency (RF) magnetron sputtering. The p-n heterojunction diodes were highly transparent in the visible region and exhibited rectifying current-voltage (I-V) characteristics with a good ideality factor. The sputter power during fabrication of the p-layer was found to have a profound effect on I-V characteristics, and the diode with the p-type layer deposited at a maximum power of 200 W exhibited the highest value of the diode ideality factor (η value) of 2.162, which suggests its potential use in optoelectronic applications. The ratio of forward current to reverse current exceeded 80 within the range of applied voltages of -1.5 to +1.5 V in all cases. The diode structure possessed an optical transmission of 60-70% in the visible region.

  20. Dynamic characteristics and mechanisms of compressible metallic vapor plume behaviors in transient keyhole during deep penetration fiber laser welding

    NASA Astrophysics Data System (ADS)

    Pang, Shengyong; Shao, Xinyu; Li, Wen; Chen, Xin; Gong, Shuili

    2016-07-01

    The compressible metallic vapor plume or plasma plume behaviors in the keyhole during deep penetration laser welding have significant effects on the joint quality. However, these behaviors and their responses to process parameter variations have not been well understood. In this paper, we first systematically study the dynamic characteristics and mechanisms of compressible metallic vapor plume behaviors in transient keyhole during fiber laser welding of 304 stainless steels based on a multiple timescale multiphase model. The time-dependent temperature, pressure, velocity and Mach number distributions of vapor plume under different process parameters are theoretically predicted. It is found that the distributions of the main physical characteristics of vapor plume such as pressure, velocity as well as Mach number in keyhole are usually highly uneven and highly time dependent. The peak difference of the velocity, pressure, temperature and Mach number of the vapor plume in a keyhole could be greater than 200 m/s, 20 kPa, 1000 K and 0.6 Mach, respectively. The vapor plume characteristics in a transient keyhole can experience significant changes within several hundreds of nanoseconds. The formation mechanisms of these dynamic characteristics are mainly due to the mesoscale keyhole hump (sized in several tens of microns) dynamics. It is also demonstrated that it is possible to suppress the oscillations of compressible vapor plume in the keyhole by improving the keyhole stability through decreasing the heat input. However, stabilizing the keyhole could only weaken, but not eliminate, the observed highly uneven and transient characteristics. This finding may pose new challenges for accurate experimental measurements of vapor plume induced by laser welding.

  1. Determination of Hg Content in a Shallow Firn Core From Summit, Greenland Using Isotope Dilution Cold Vapor Inductively Coupled Plasma - Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Mann, J. L.; Long, S. E.; Shuman, C. A.

    2002-05-01

    Considerable attention has recently been focused on mercury (Hg) owing to its ability to bioaccumulate as highly toxic species in the biosphere. Hg in the environment is derived from both natural and anthropogenic sources and present day emissions for both are thought to be of similar magnitude. Once introduced into the atmosphere, Hgo can be transported long distances and as a result is considered to have global environmental influence. High levels of Hg have been found in Arctic food supplies and elevated levels have been observed in the native people of the circumpolar countries including Greenland. Mercury content was determined in surface snow and a 7 m shallow snow/firn core, recovered in May 2001 from Summit, Greenland (72.58oN, 38.53oW; elevation 3238 m), using a new method employing isotope dilution cold vapor inductively coupled plasma - mass spectrometry (ID-CV-ICPMS). The method, recently developed at the National Institute of Standards and Technology, uses a 201Hg spike that is equilibrated with the sample. Hg is measured by chemical reduction with tin (II) chloride and generation of a "cold vapor" (elemental Hg vapor) whereby the Hg vapor is separated from the matrix using a gas-liquid separator and is collected on gold guaze. Hg is then released by thermal desorption and the Hg isotope ratio (201Hg/202Hg) measured by quadrapole ICP-MS. There are considerable advantages to this new method in the analysis of very low concentration snow/firn/ice samples which include: 1) very high sensitivity (detection limit < 1 pg/mL, 3 sigma); 2) accuracy and precision of the order of one percent or better; and 3) complete freedom from spectral and matrix interferences. The concentrations determined ranged from 0.1 to 1.1 pg/g, which fall within the range of those previously determined by Boutron et al. (1998). Hg contributed from core processing, storage in LDPE bottles, and the analytical procedure yielded a blank value of 0.10 pg Hg/g equivalent. This was used to

  2. Plasma heating for containerless and microgravity materials processing

    NASA Technical Reports Server (NTRS)

    Leung, Emily W. (Inventor); Man, Kin F. (Inventor)

    1994-01-01

    A method for plasma heating of levitated samples to be used in containerless microgravity processing is disclosed. A sample is levitated by electrostatic, electromagnetic, aerodynamic, or acoustic systems, as is appropriate for the physical properties of the particular sample. The sample is heated by a plasma torch at atmospheric pressure. A ground plate is provided to help direct the plasma towards the sample. In addition, Helmholtz coils are provided to produce a magnetic field that can be used to spiral the plasma around the sample. The plasma heating system is oriented such that it does not interfere with the levitation system.

  3. Membranes produced by plasma enhanced chemical vapor deposition technique for low temperature fuel cell applications

    NASA Astrophysics Data System (ADS)

    Ennajdaoui, Aboubakr; Roualdes, Stéphanie; Brault, Pascal; Durand, Jean

    A plasma polymerization process using a continuous glow discharge has been implemented for preparing proton conducting membranes from trifluoromethane sulfonic acid and styrene. The chemical and physical structure of plasma membranes has been investigated using FTIR and SEM. The films are homogeneous with a good adhesion on commercial gas diffusion layer (E-Tek ®). Their deposition rate can be increased with increasing flow rate and input power. The thermogravimetric analysis under air of plasma polymers has showed a thermal stability up to 140 °C. Compared to the pulsed glow discharge studied in a previous paper, the continuous glow discharge has enabled to enhance the proton conductivity of membranes by a factor 3 (up to 1.7 mS cm -1). Moreover, the low methanol permeability (methanol diffusion coefficient down to 5 × 10 -13 m 2 s -1) of membranes has been confirmed by this study. In an industrial context, a reactor prototype has been developed to manufacture by plasma processes all active layers of fuel cell cores to be integrated in original compact PEMFC or DMFC.

  4. Removal of dimethyl sulfide by the combination of non-thermal plasma and biological process.

    PubMed

    Wei, Z S; Li, H Q; He, J C; Ye, Q H; Huang, Q R; Luo, Y W

    2013-10-01

    A bench scale system integrated with a non-thermal plasma (NTP) and a biotricking filtration (BTF) unit for the treatment of gases containing dimethyl sulfide (DMS) was investigated. DMS removal efficiency in the integrated system was up to 96%. Bacterial communities in the BTF were assessed by PCR-DGGE, which play the dominant role in the biological processes of metabolism, sulfur oxidation, sulfate-reducing and carbon oxidation. The addition of ozone from NTP made microbial community in BTF more complicated and active for DMS removal. The NTP oxidize DMS to simple compounds such as methanol and carbonyl sulfide; the intermediate organic products and DMS are further oxidized to sulfate, carbon dioxide, water vapors by biological degradation. These results show that NTP-BTF is achievable and open new possibilities for applying the integrated with NTP and BTF to odour gas treatment. Copyright © 2013 The Authors. Published by Elsevier Ltd.. All rights reserved.

  5. Method and system for nanoscale plasma processing of objects

    DOEpatents

    Oehrlein, Gottlieb S [Clarksville, MD; Hua, Xuefeng [Hyattsville, MD; Stolz, Christian [Baden-Wuerttemberg, DE

    2008-12-30

    A plasma processing system includes a source of plasma, a substrate and a shutter positioned in close proximity to the substrate. The substrate/shutter relative disposition is changed for precise control of substrate/plasma interaction. This way, the substrate interacts only with a fully established, stable plasma for short times required for nanoscale processing of materials. The shutter includes an opening of a predetermined width, and preferably is patterned to form an array of slits with dimensions that are smaller than the Debye screening length. This enables control of the substrate/plasma interaction time while avoiding the ion bombardment of the substrate in an undesirable fashion. The relative disposition between the shutter and the substrate can be made either by moving the shutter or by moving the substrate.

  6. D.C. - ARC plasma generator for nonequilibrium plasmachemical processes

    NASA Astrophysics Data System (ADS)

    Kvaltin, J.

    1990-06-01

    The analysis of conditions for generation of nonequilibrium plasma to plasmachemical processes is made and the design of d.c.-arc plasma generator on the base of integral criterion is suggested. The measurement of potentials on the plasma column of that generator is presented.

  7. Analysis of plasma-controlled laser evaporation of Al target in vacuum

    NASA Astrophysics Data System (ADS)

    Mazhukin, Vladimir I.; Nossov, Vadim V.; Smurov, Igor Y.

    2004-04-01

    The plasma-controlled evaporation of the Al target induced by the laser pulse with intensity of 8 x 108 W/cm2 and wavelength of 1.06 μm is analyzed with account for the two-dimensional effects. The self consistent model is applied, consisting of the heat transfer equation in condensed medium, the system of radiation gas dynamics in evaporated substance, and the Knudsen layer model at the two media boundary. It is established that the phase transition of the target surface is controlled by the two factors: the surface temperature that depends on the transmitted radiation intensity and the plasma pressure, governed by the expansion regime. The process comes through three characteristics stages -- the sonic evaporation at the beginning, the condensation during the period of plasma formation and initial expansion and, finally, the recommence of evaporation in subsonic regime after the partial brightening of the plasma. During the subsonic evaporation stage the vapor flow and the mass removal rate is much higher near the beam boundaries than in the center due to smaller plasma counter-pressure. The vapor plasma pattern is characterized by the dense hot zone near the surface where the deposition of laser energy occurs, and rapid decrease of density outside the zone due to three-dimensional expansion. The application of the laser beam of smaller radius at the same intensity leads to the formation of more rarefied and more transparent plasma, that allows to improve the mass removal efficiency.

  8. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  9. Spectrocopic measurements of water vapor plasmas at high resolution: The optical transition probabilities for OH (A 2 Sigma - X 2 Pi)

    NASA Technical Reports Server (NTRS)

    Klein, L.

    1972-01-01

    Emission and absorption spectra of water vapor plasmas generated in a wall-stabilized arc at atmospheric pressure and 4 current, and at 0.03 atm and 15 to 50 A, were measured at high spatial and spectral resolution. The gas temperature was determined from the shape of Doppler-broadened rotational lines of OH. The observed nonequilibrium population distributions over the energy levels of atoms are interpreted in terms of a theoretical state model for diffusion-controlled arc plasmas. Excellent correlation is achieved between measured and predicted occupation of hydrogen energy levels. It is shown that the population distribution over the nonpredissociating rotational-vibrational levels of the A 2 Sigma state of OH is close to an equilibrium distribution at the gas temperature, although the total density of this state is much higher than its equilibrium density. The reduced intensities of the rotational lines originating in these levels yielded Boltzmann plots that were strictly linear.

  10. Removal of hydrogen sulfide as ammonium sulfate from hydropyrolysis product vapors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marker, Terry L.; Felix, Larry G.; Linck, Martin B.

    A system and method for processing biomass into hydrocarbon fuels that includes processing a biomass in a hydropyrolysis reactor resulting in hydrocarbon fuels and a process vapor stream and cooling the process vapor stream to a condensation temperature resulting in an aqueous stream. The aqueous stream is sent to a catalytic reactor where it is oxidized to obtain a product stream containing ammonia and ammonium sulfate. A resulting cooled product vapor stream includes non-condensable process vapors comprising H.sub.2, CH.sub.4, CO, CO.sub.2, ammonia and hydrogen sulfide.

  11. Removal of hydrogen sulfide as ammonium sulfate from hydropyrolysis product vapors

    DOEpatents

    Marker, Terry L; Felix, Larry G; Linck, Martin B; Roberts, Michael J

    2014-10-14

    A system and method for processing biomass into hydrocarbon fuels that includes processing a biomass in a hydropyrolysis reactor resulting in hydrocarbon fuels and a process vapor stream and cooling the process vapor stream to a condensation temperature resulting in an aqueous stream. The aqueous stream is sent to a catalytic reactor where it is oxidized to obtain a product stream containing ammonia and ammonium sulfate. A resulting cooled product vapor stream includes non-condensable process vapors comprising H.sub.2, CH.sub.4, CO, CO.sub.2, ammonia and hydrogen sulfide.

  12. Runaway electrons as a source of impurity and reduced fusion yield in the dense plasma focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lerner, Eric J.; Yousefi, Hamid R.

    2014-10-15

    Impurities produced by the vaporization of metals in the electrodes may be a major cause of reduced fusion yields in high-current dense plasma focus devices. We propose here that a major, but hitherto-overlooked, cause of such impurities is vaporization by runaway electrons during the breakdown process at the beginning of the current pulse. This process is sufficient to account for the large amount of erosion observed in many dense plasma focus devices on the anode very near to the insulator. The erosion is expected to become worse with lower pressures, typical of machines with large electrode radii, and would explainmore » the plateauing of fusion yield observed in such machines at higher peak currents. Such runaway electron vaporization can be eliminated by the proper choice of electrode material, by reducing electrode radii and thus increasing fill gas pressure, or by using pre-ionization to eliminate the large fields that create runaway electrons. If these steps are combined with monolithic electrodes to eliminate arcing erosion, large reductions in impurities and large increases in fusion yield may be obtained, as the I{sup 4} scaling is extended to higher currents.« less

  13. Sensitive determination of Hg together with Mn, Fe, Cu by combined photochemical vapor generation and pneumatic nebulization in the programmable temperature spray chamber and inductively coupled plasma optical emission spectrometry.

    PubMed

    Giersz, Jacek; Bartosiak, Magdalena; Jankowski, Krzysztof

    2017-05-15

    Continuous photo-induced generation of mercury cold vapor has been successfully coupled with conventional pneumatic nebulization in programmable temperature spray chamber (PCVG-PN-PTSC) allowing fast, sensitive and easy multi-element analysis. The applied technique enabled simultaneous determination of non-volatile forming elements (Fe, Cu, Mn) and volatile Hg, while 15% v/v formic acid is present in the sample. PTSC elevated temperature (40°C) causes partial conversion of sample matrix into vapor form, thus improving plasma robustness. The efficiency of Hg vapor generation and its transport to the plasma is close to 100%. Moreover, spray chamber temperature stabilization improved the precision of the measurements (Hg signal RSD below 0.5%). The achieved limit of detection for Hg (90pgmL -1 ) at 194.23nm with no monochromator purge is better by almost two orders of magnitude than that obtained by conventional PN-ICP-OES. On the other hand, LODs for non-vapor forming elements are comparable to those obtained with pneumatic nebulization. The linear dynamic ranges for all examined elements are at least three orders of magnitude up to 1000ngmL -1 . None mutual interference between examined analytes (Hg, Fe, Cu, Mn) has been observed. The method was validated by the analysis of two CRM materials of different matrix composition (waste water ERM CA713 and estuarine sediment ERM CC580) giving satisfactory results. As low as 2 ppb of Hg can he directly determined in waste water. The proposed procedure uses mild reagents and allows for fast multi-element analysis, and matches green chemistry requirements. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Water-Vapor Sorption Processes in Nanoporous MgO-Al2O3 Ceramics: the PAL Spectroscopy Study

    NASA Astrophysics Data System (ADS)

    Klym, Halyna; Ingram, Adam; Shpotyuk, Oleh; Hadzaman, Ivan; Solntsev, Viacheslav

    2016-03-01

    The water-vapor sorption processes in nanoporous MgO-Al2O3 ceramics are studied with positron annihilation lifetime (PAL) spectroscopy employing positron trapping and positronium (Ps)-decaying modes. It is demonstrated that the longest-lived components in the four-term reconstructed PAL spectra with characteristic lifetimes near 2 and 60-70 ns can be, respectively, attributed to ortho-positronium (o-Ps) traps in nanopores with 0.3- and 1.5-1.8-nm radii. The first o-Ps decaying process includes "pick-off" annihilation in the "bubbles" of liquid water, while the second is based on o-Ps interaction with physisorbed water molecules at the walls of the pores. In addition, the water vapor modifies structural defects located at the grain boundaries in a vicinity of pores, this process being accompanied by void fragmentation during water adsorption and agglomeration during water desorption after drying.

  15. Water-Vapor Sorption Processes in Nanoporous MgO-Al2O3 Ceramics: the PAL Spectroscopy Study.

    PubMed

    Klym, Halyna; Ingram, Adam; Shpotyuk, Oleh; Hadzaman, Ivan; Solntsev, Viacheslav

    2016-12-01

    The water-vapor sorption processes in nanoporous MgO-Al2O3 ceramics are studied with positron annihilation lifetime (PAL) spectroscopy employing positron trapping and positronium (Ps)-decaying modes. It is demonstrated that the longest-lived components in the four-term reconstructed PAL spectra with characteristic lifetimes near 2 and 60-70 ns can be, respectively, attributed to ortho-positronium (o-Ps) traps in nanopores with 0.3- and 1.5-1.8-nm radii. The first o-Ps decaying process includes "pick-off" annihilation in the "bubbles" of liquid water, while the second is based on o-Ps interaction with physisorbed water molecules at the walls of the pores. In addition, the water vapor modifies structural defects located at the grain boundaries in a vicinity of pores, this process being accompanied by void fragmentation during water adsorption and agglomeration during water desorption after drying.

  16. Upcycling Waste Lard Oil into Vertical Graphene Sheets by Inductively Coupled Plasma Assisted Chemical Vapor Deposition.

    PubMed

    Wu, Angjian; Li, Xiaodong; Yang, Jian; Du, Changming; Shen, Wangjun; Yan, Jianhua

    2017-10-12

    Vertical graphene (VG) sheets were single-step synthesized via inductively coupled plasma (ICP)-enhanced chemical vapor deposition (PECVD) using waste lard oil as a sustainable and economical carbon source. Interweaved few-layer VG sheets, H₂, and other hydrocarbon gases were obtained after the decomposition of waste lard oil. The influence of parameters such as temperature, gas proportion, ICP power was investigated to tune the nanostructures of obtained VG, which indicated that a proper temperature and H₂ concentration was indispensable for the synthesis of VG sheets. Rich defects of VG were formed with a high I D / I G ratio (1.29), consistent with the dense edges structure observed in electron microscopy. Additionally, the morphologies, crystalline degree, and wettability of nanostructure carbon induced by PECVD and ICP separately were comparatively analyzed. The present work demonstrated the potential of our PECVD recipe to synthesize VG from abundant natural waste oil, which paved the way to upgrade the low-value hydrocarbons into advanced carbon material.

  17. IN SITU SOIL VAPOR EXTRACTION TREATMENT

    EPA Science Inventory

    Soil vapor extraction (SVE) is designed to physically remove volatile compounds, generally from the vadose or unsaturated zone. t is an in situ process employing vapor extraction wells alone or in combination with air injection wells. acuum blowers supply the motive force, induci...

  18. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    PubMed

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  19. Evaluation of pressure in a plasma produced by laser ablation of steel

    NASA Astrophysics Data System (ADS)

    Hermann, Jörg; Axente, Emanuel; Craciun, Valentin; Taleb, Aya; Pelascini, Frédéric

    2018-05-01

    We investigated the time evolution of pressure in the plume generated by laser ablation with ultraviolet nanosecond laser pulses in a near-atmospheric argon atmosphere. These conditions were previously identified to produce a plasma of properties that facilitate accurate spectroscopic diagnostics. Using steel as sample material, the present investigations benefit from the large number of reliable spectroscopic data available for iron. Recording time-resolved emission spectra with an echelle spectrometer, we were able to perform accurate measurements of electron density and temperature over a time interval from 200 ns to 12 μs. Assuming local thermodynamic equilibrium, we computed the plasma composition within the ablated vapor material and the corresponding kinetic pressure. The time evolution of plume pressure is shown to reach a minimum value below the pressure of the background gas. This indicates that the process of vapor-gas interdiffusion has a negligible influence on the plume expansion dynamics in the considered timescale. Moreover, the results promote the plasma pressure as a control parameter in calibration-free laser-induced breakdown spectroscopy.

  20. Physical processes associated with current collection by plasma contactors

    NASA Technical Reports Server (NTRS)

    Katz, Ira; Davis, Victoria A.

    1990-01-01

    Recent flight data confirms laboratory observations that the release of neutral gas increases plasma sheath currents. Plasma contactors are devices which release a partially ionized gas in order to enhance the current flow between a spacecraft and the space plasma. Ionization of the expellant gas and the formation of a double layer between the anode plasma and the space plasma are the dominant physical processes. A theory is presented of the interaction between the contactor plasma and the background plasma. The conditions for formation of a double layer between the two plasmas are derived. Double layer formation is shown to be a consequence of the nonlinear response of the plasmas to changes in potential. Numerical calculations based upon this model are compared with laboratory measurements of current collection by hollow cathode-based plasma contactors.

  1. Water-assisted growth of graphene-carbon nanotube hybrids in plasma

    NASA Astrophysics Data System (ADS)

    Tewari, Aarti; Ghosh, Santanu; Srivastava, Pankaj

    2018-04-01

    The enhanced growth of graphene-carbon nanotube (CNT) hybrids in a hydrocarbon and hydrogen plasma assisted by water is numerically formulated. The catalyst activity and agglomeration of catalyst particles are the rate determining factors in the growth of hybrids and their constituents, i.e., the CNT and graphene. The water vapor concentration is varied to investigate its effect on the growth process. The enhanced catalyst activity on account of oxidation by hydroxyl ions of water to impede the agglomeration of catalyst particles and the removal of amorphous carbon through etching by hydrogen ions of water are seen to be the main driving forces behind the many fold increase in the dimensions of constituent nanostructures and the hybrids with water vapor concentration. Importantly, beyond a certain specific water vapor concentration, the growth rates dropped due to active oxidation of the catalyst particle.

  2. The expansion of a plasma into a vacuum - Basic phenomena and processes and applications to space plasma physics

    NASA Technical Reports Server (NTRS)

    Wright, K. H., Jr.; Stone, N. H.; Samir, U.

    1983-01-01

    In this review attention is called to basic phenomena and physical processes involved in the expansion of a plasma into a vacuum, or the expansion of a plasma into a more tenuous plasma, in particular the fact that upon the expansion, ions are accelerated and reach energies well above their thermal energy. Also, in the process of the expansion a rarefaction wave propagates into the ambient plasma, an ion front moves into the expansion volume, and discontinuities in plasma parameters occur. The physical processes which cause the above phenomena are discussed, and their possible application is suggested for the case of the distribution of ions and electrons (hence plasma potential and electric fields) in the wake region behind artificial and natural obstacles moving supersonically in a rarefied space plasma. To illustrate this, some in situ results are reexamined. Directions for future work in this area via the utilization of the Space Shuttle and laboratory work are also mentioned.

  3. Fractal growth mechanism of sp3-bonded 5H-BN microcones by plasma-assisted pulsed-laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Komatsu, Shojiro; Kazami, Daisuke; Tanaka, Hironori; Moriyoshi, Yusuke; Shiratani, Masaharu; Okada, Katsuyuki

    2006-08-01

    Here we propose a repetitive photochemical reaction and diffusion model for the fractal pattern formation of sp3-bonded 5H-BN microcones in laser-assisted plasma chemical vapor deposition, which was observed experimentally and reported previously. This model describing the behavior of the surface density of precursor species gave explanations to (1) the "line-drawing" nature of the patterns, (2) the origin of the scale-invariant self-similarity (fractality) of the pattern, and (3) the temperature-dependent uniform to fractal transition. The results have implications for controlling the self-organized arrangements of electron-emitter cones at the micro-and nanoscale by adjusting macroscopically the boundary condition (LX,LY) for the deposition, which will be very effective in improving the electron field emission properties.

  4. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tomatsu, Masakazu; Hiramatsu, Mineo; Foord, John S.; Kondo, Hiroki; Ishikawa, Kenji; Sekine, Makoto; Takeda, Keigo; Hori, Masaru

    2017-06-01

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O2) detection was demonstrated. H2O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

  5. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  6. An evaluation of the vapor phase catalytic ammonia removal process for use in a Mars transit vehicle.

    PubMed

    Flynn, M; Borchers, B

    1998-01-01

    This article describes the design specification of the Vapor Phase Catalytic Ammonia Removal (VPCAR) process and the relative benefits of its utilization in a Mars Transit Vehicle application. The VPCAR process is a wastewater treatment technology that combines distillation with high-temperature catalytic oxidation of volatile impurities such as ammonia and organic compounds.

  7. In-situ plasma processing to increase the accelerating gradients of SRF cavities

    DOE PAGES

    Doleans, Marc; Afanador, Ralph; Barnhart, Debra L.; ...

    2015-12-31

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipactingmore » issues. This article discusses the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus.« less

  8. Spectroscopic diagnostics of plasma during laser processing of aluminium

    NASA Astrophysics Data System (ADS)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  9. Piezoelectric trace vapor calibrator

    NASA Astrophysics Data System (ADS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-08-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10°C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver—on demand—continuous vapor concentrations across more than six orders of magnitude (nominally 290fg/lto1.05μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process.

  10. Research on chemical vapor deposition processes for advanced ceramic coatings

    NASA Technical Reports Server (NTRS)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  11. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    NASA Astrophysics Data System (ADS)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  12. Direct determination of cadmium in foods by solid sampling electrothermal vaporization inductively coupled plasma mass spectrometry using a tungsten coil trap

    NASA Astrophysics Data System (ADS)

    Zhang, Ying; Mao, Xuefei; Liu, Jixin; Wang, Min; Qian, Yongzhong; Gao, Chengling; Qi, Yuehan

    2016-04-01

    In this work, a solid sampling device consisting of a tungsten coil trap, porous carbon vaporizer and on-line ashing furnace of a Ni-Cr coil was interfaced with inductively coupled plasma mass spectrometry (ICP-MS). A modified double gas circuit system was employed that was composed of carrier and supplemental gas lines controlled by separate gas mass flow controllers. For Cd determination in food samples using the assembled solid sampling ICP-MS, the optimal ashing and vaporization conditions, flow rate of the argon-hydrogen (Ar/H2) (v:v = 24:1) carrier gas and supplemental gas, and minimum sampling mass were investigated. Under the optimized conditions, the limit of quantification was 0.5 pg and the relative standard deviation was within a 10.0% error range (n = 10). Furthermore, the mean spiked recoveries for various food samples were 99.4%-105.9% (n = 6). The Cd concentrations measured by the proposed method were all within the certified values of the reference materials or were not significantly different (P > 0.05) from those of the microwave digestion ICP-MS method, demonstrating the good accuracy and precision of the solid sampling ICP-MS method for Cd determination in food samples.

  13. Modeling the Transport Phenomena in the Solution Precursor Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Shan, Yanguang

    2008-10-01

    Solution precursor plasma spraying has been used to produce finely structured ceramic coatings with nano- and sub-micrometric features. This process involves the injection of a solution spray of ceramic salts into a DC plasma jet under atmospheric condition. During the process, the solvent vaporizes as the droplet travel downstream. Solid particles are finally formed due to the precipitation of the solute, and the particle are heated up and accelerated to the substrate to generate the coating. This work describes a 3D model to simulate the transport phenomena and the trajectory and heating of the solution spray in the process. The jet-spray two-way interactions are considered. A simplified model is employed to simulate the evolution process and the formation of the solid particle from the solution droplet in the plasma jet. O'Rourke's droplet collision model is used to take into account of the influence of droplet collision. The influence of droplet breakup is also considered by implementing TAB droplet breakup models into the plasma jet model. The temperature and velocity fields of the jet are obtained and validated. The particle size, velocity, temperature and position distribution on the substrate are predicted.

  14. Application of atmospheric-pressure plasma jet processed carbon nanotubes to liquid and quasi-solid-state gel electrolyte supercapacitors

    NASA Astrophysics Data System (ADS)

    Kuok, Fei-Hong; Kan, Ken-Yuan; Yu, Ing-Song; Chen, Chieh-Wen; Hsu, Cheng-Che; Cheng, I.-Chun; Chen, Jian-Zhang

    2017-12-01

    We use a dc-pulse nitrogen atmospheric-pressure plasma jet (APPJ) to calcine carbon nanotubes (CNTs) pastes that are screen-printed on carbon cloth. 30-s APPJ treatment can efficiently oxidize and vaporize the organic binders, thereby forming porous structures. As indicated by X-ray photoelectron spectroscopy (XPS) and electron probe microanalysis (EPMA), the oxygen content decreases after APPJ treatment owing to the oxidation and vaporization of ethyl cellulose, terpineol, and ethanol. Nitrogen doping was introduced to the materials by the nitrogen APPJ. APPJ-calcination improves the wettability of the CNTs printed on carbon cloth, as evidenced by water contact angle measurement. Raman spectroscopy indicates that reactive species of nitrogen APPJ react violently with CNTs in only 30-s APPJ processing time and introduce defects and/or surface functional groups on CNTs. Carbon cloths with calcined CNT layers are used as electrodes for liquid and quasi-solid-state electrolyte supercapacitors. Under a cyclic voltammetry test with a 2 mV/s potential scan rate, the specific capacitance is 73.84 F/g (areal capacitance = 5.89 mF/cm2) with a 2 M KCl electrolyte and 66.47 F/g (areal capacitance = 6.10 mF/cm2) with a H2SO4/polyvinyl alcohol (PVA) gel electrolyte.

  15. Remote sensing of water vapor features

    NASA Technical Reports Server (NTRS)

    Fuelberg, Henry E.

    1993-01-01

    Water vapor plays a critical role in the atmosphere. It is an important medium of energy exchange between air, land, and water; it is a major greenhouse gas, providing a crucial radiative role in the global climate system; and it is intimately involved in many regional scale atmospheric processes. Our research has been aimed at improving satellite remote sensing of water vapor and better understanding its role in meteorological processes. Our early studies evaluated the current GOES VAS system for measuring water vapor and have used VAS-derived water vapor data to examine pre-thunderstorm environments. Much of that research was described at the 1991 Research Review. A second research component has considered three proposed sensors--the High resolution Interferometer Sounder (HIS), the Multispectral Atmospheric Mapping Sensor (MAMS), and the Advanced Microwave Sounding Unit (AMSU). We have focused on MAMS and AMSU research during the past year and the accomplishments made in this effort are presented.

  16. Study of Nanodispersed Iron Oxides Produced in Steel Drilling by Contracted Electric-Arc Air Plasma Torch

    NASA Astrophysics Data System (ADS)

    Stefanov, P.; Galanov, D.; Vissokov, G.; Paneva, D.; Kunev, B.; Mitov, I.

    2008-06-01

    The optimal conditions on the plasma-forming gas flowrate, discharge current and voltage, distance between the plasma-torch nozzle and the metal plate surface for the process of penetration in and vaporization of steel plates by the contracted electric-arc air plasma torch accompanied by water quenching, were determined. The X-ray structural and phase studies as well as Mössbauer and electron microscope studies on the samples treated were performed. It was demonstrated that the vaporized elemental iron was oxidized by the oxygen present in the air plasma jet to form iron oxides (wüstite, magnetite, hematite), which, depending on their mass ratios, determined the color of the iron oxide pigments, namely, beginning from light yellow, through deep yellow, light brown, deep brown, violet, red-violet, to black. A high degree of dispersity of the iron oxides is thus produced, with an averaged diameter of the particles below 500 nm, and their defective crystal structure form the basis of their potential application as components of iron-containing catalysts and pigments.

  17. Nanoscale plasma chemistry enables fast, size-selective nanotube nucleation.

    PubMed

    Ostrikov, Kostya Ken; Mehdipour, Hamid

    2012-03-07

    The possibility of fast, narrow-size/chirality nucleation of thin single-walled carbon nanotubes (SWCNTs) at low, device-tolerant process temperatures in a plasma-enhanced chemical vapor deposition (CVD) is demonstrated using multiphase, multiscale numerical experiments. These effects are due to the unique nanoscale reactive plasma chemistry (NRPC) on the surfaces and within Au catalyst nanoparticles. The computed three-dimensional process parameter maps link the nanotube incubation times and the relative differences between the incubation times of SWCNTs of different sizes/chiralities to the main plasma- and precursor gas-specific parameters and explain recent experimental observations. It is shown that the unique NRPC leads not only to much faster nucleation of thin nanotubes at much lower process temperatures, but also to better selectivity between the incubation times of SWCNTs with different sizes and chiralities, compared to thermal CVD. These results are used to propose a time-programmed kinetic approach based on fast-responding plasmas which control the size-selective, narrow-chirality nucleation and growth of thin SWCNTs. This approach is generic and can be used for other nanostructure and materials systems. © 2012 American Chemical Society

  18. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    NASA Astrophysics Data System (ADS)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  19. Electron-Driven Processes: From Single Collision Experiments to High-Pressure Discharge Plasmas

    NASA Astrophysics Data System (ADS)

    Becker, Kurt

    2001-10-01

    Plasmas are complex systems which consist of various groups of interacting particles (neutral atoms and molecules in their ground states and in excite states, electrons, and positive and negative ions). In principle, one needs to understand and describe all interactions between these particles in order to model the properties of the plasma and to predict its behavior. However, two-body interactions are often the only processes of relevance and only a subset of all possible collisional interactions are important. The focus of this talk is on collisional and radiative processes in low-temperature plasmas, both at low and high pressures. We will limit the discussion (i) to ionization and dissociation processes in molecular low-pressure plasmas and (ii) to collisional and radiative processes in high-pressure plasmas in rare gases and mixtures of rare gases and N2, O2, and H2. Electron-impact dissociation processes can be divided into dissociative excitation and dissociation into neutral ground-state fragments. Neutral molecular dissociation has only recently received attention from experimentalists and theorists because of the serious difficulties associated with the investigation of these processes. Collisional and radiative processes in high-pressure plasmas provide a fertile environment to the study of interactions that go beyond binary collisions involving ground-state species. Step-wise processes and three-body collisions begin to dominate the behavior of such plasmas. We will discuss examples of such processes as they relate to high-pressure rare gas discharge plasmas. Work supported by NSF, DOE, DARPA, NASA, and ABA Inc.

  20. Core/shell silicon/polyaniline particles via in-flight plasma-induced polymerization

    NASA Astrophysics Data System (ADS)

    Yasar-Inceoglu, Ozgul; Zhong, Lanlan; Mangolini, Lorenzo

    2015-08-01

    Although silicon nanoparticles have potential applications in many relevant fields, there is often the need for post-processing steps to tune the property of the nanomaterial and to optimize it for targeted applications. In particular surface modification is generally necessary to both tune dispersibility of the particles in desired solvents to achieve optimal coating conditions, and to interface the particles with other materials to realize functional heterostructures. In this contribution we discuss the realization of core/shell silicon/polymer nanoparticles realized using a plasma-initiated in-flight polymerization process. Silicon particles are produced in a non-thermal plasma reactor using silane as a precursor. After synthesis they are aerodynamically injected into a second plasma reactor into which aniline vapor is introduced. The second plasma initiates the polymerization reactor leading to the formation of a 3-4 nm thick polymer shell surrounding the silicon core. The role of processing conditions on the properties of the polymeric shell is discussed. Preliminary results on the testing of this material as an anode for lithium ion batteries are presented.

  1. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    NASA Astrophysics Data System (ADS)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  2. Role of Co-Vapors in Vapor Deposition Polymerization

    PubMed Central

    Lee, Ji Eun; Lee, Younghee; Ahn, Ki-Jin; Huh, Jinyoung; Shim, Hyeon Woo; Sampath, Gayathri; Im, Won Bin; Huh, Yang–Il; Yoon, Hyeonseok

    2015-01-01

    Polypyrrole (PPy)/cellulose (PPCL) composite papers were fabricated by vapor phase polymerization. Importantly, the vapor-phase deposition of PPy onto cellulose was assisted by employing different co-vapors namely methanol, ethanol, benzene, water, toluene and hexane, in addition to pyrrole. The resulting PPCL papers possessed high mechanical flexibility, large surface-to-volume ratio, and good redox properties. Their main properties were highly influenced by the nature of the co-vaporized solvent. The morphology and oxidation level of deposited PPy were tuned by employing co-vapors during the polymerization, which in turn led to change in the electrochemical properties of the PPCL papers. When methanol and ethanol were used as co-vapors, the conductivities of PPCL papers were found to have improved five times, which was likely due to the enhanced orientation of PPy chain by the polar co-vapors with high dipole moment. The specific capacitance of PPCL papers obtained using benzene, toluene, water and hexane co-vapors was higher than those of the others, which is attributed to the enlarged effective surface area of the electrode material. The results indicate that the judicious choice and combination of co-vapors in vapor-deposition polymerization (VDP) offers the possibility of tuning the morphological, electrical, and electrochemical properties of deposited conducting polymers. PMID:25673422

  3. Fabrication of lightweight ceramic mirrors by means of a chemical vapor deposition process

    NASA Technical Reports Server (NTRS)

    Goela, Jitendra S. (Inventor); Taylor, Raymond L. (Inventor)

    1991-01-01

    A process to fabricate lightweigth ceramic mirrors, and in particular, silicon/silicon carbide mirrors, involves three chemical vapor deposition steps: one to produce the mirror faceplate, the second to form the lightweight backstructure which is deposited integral to the faceplate, and the third and final step which results in the deposition of a layer of optical grade material, for example, silicon, onto the front surface of the faceplate. The mirror figure and finish are fabricated into this latter material.

  4. Columnar-Structured Mg-Al-Spinel Thermal Barrier Coatings (TBCs) by Suspension Plasma Spraying (SPS)

    NASA Astrophysics Data System (ADS)

    Schlegel, N.; Ebert, S.; Mauer, G.; Vaßen, R.

    2015-01-01

    The suspension plasma spraying (SPS) process has been developed to permit the feeding of sub-micrometer-sized powder into the plasma plume. In contrast to electron beam-physical vapor deposition and plasma spray-physical vapor deposition, SPS enables the cost-efficient deposition of columnar-structured coatings. Due to their strain tolerance, these coatings play an important role in the field of thermal barrier coatings (TBCs). In addition to the cost-efficient process, attention was turned to the TBC material. Nowadays, yttria partially stabilized zirconia (YSZ) is used as standard TBC material. However, its long-term application at temperatures higher than 1200 °C is problematic. At these high temperatures, phase transitions and sintering effects lead to the degradation of the TBC system. To overcome those deficits of YSZ, Mg-Al-spinel was chosen as TBC material. Even though it has a lower melting point (~2135 °C) and a higher thermal conductivity (~2.5 W/m/K) than YSZ, Mg-Al-spinel provides phase stability at high temperatures in contrast to YSZ. The Mg-Al-spinel deposition by SPS resulted in columnar-structured coatings, which have been tested for their thermal cycling lifetime. Furthermore, the influence of substrate cooling during the spraying process on thermal cycling behavior, phase composition, and stoichiometry of the Mg-Al-spinel has been investigated.

  5. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  6. Vacuum vapor deposition: A spinoff of space welding development

    NASA Technical Reports Server (NTRS)

    Poorman, R. M.

    1991-01-01

    A vapor deposition process has been defined through a spinoff effort of space welding development. In this development for welding in a space environment, a hollow electrode was used to add gas precisely at the welding arc. This provides gas for ionization which carries the welding arc current. During this welding development metal vapor coatings were observed. These coatings are unique in that they are produced by a new process. Some coatings produced and the potential of this new and innovative vapor deposition process are characterized. Advantages over prior art are discussed.

  7. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    DTIC Science & Technology

    2000-01-01

    constituent A Crucible with constituent B Electron beam AB Substrate Deposit Flux of A Flux of B Composition "Skull" melt Electron beam Coolant Copper ... crucible Evaporation target Evaporant material Vapor flux Fibrous Coating Surface a) b) sharp (0.5 mm) beam focussing. When used with multisource

  8. Analysis of benzoquinone decomposition in solution plasma process

    NASA Astrophysics Data System (ADS)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  9. Apparatus and method for plasma processing of SRF cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  10. Synthesis of Diamond-Like Carbon Films on Planar and Non-Planar Geometries by the Atmospheric Pressure Plasma Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Noborisaka, Mayui; Hirako, Tomoaki; Shirakura, Akira; Watanabe, Toshiyuki; Morikawa, Masashi; Seki, Masaki; Suzuki, Tetsuya

    2012-09-01

    Diamond-like carbon (DLC) films were synthesized by the dielectric barrier discharge-based plasma deposition at atmospheric pressure and their hardness and gas barrier properties were measured. A decrease in size of grains and heating substrate temperature improved nano-hardness up to 3.3 GPa. The gas barrier properties of DLC-coated poly(ethylene terephthalate) (PET) sheets were obtained by 3-5 times of non-coated PET with approximately 0.5 µm in film thickness. The high-gas-barrier DLC films deposited on PET sheets are expected to wrap elevated bridge of the super express and prevent them from neutralization of concrete. We also deposited DLC films inside PET bottles by the microwave surface-wave plasma chemical vapor deposition (CVD) method at near-atmospheric pressure. Under atmospheric pressure, the films were coated uniformly inside the PET bottles, but did not show high gas barrier properties. In this paper, we summarize recent progress of DLC films synthesized at atmospheric pressure with the aimed of food packaging and concrete pillar.

  11. Nonthermal Radiation Processes in Interplanetary Plasmas

    NASA Astrophysics Data System (ADS)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  12. Signal processing methods for MFE plasma diagnostics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL.

  13. Growth of carbon nanotubes at low powers by impedance-matched microwave plasma enhanced chemical vapor deposition method.

    PubMed

    Chen, S Y; Chang, L W; Peng, C W; Miao, H Y; Lue, Juh-Tzeng

    2005-11-01

    A solo carbon nanotube (CNT) was successfully grown on nickel electrodes by a microwave plasma enhanced chemical vapor deposition (MPECVD) method equipped with an impedance-matched substrate holder with the reaction gases composed of hydrogen (H2), carbon dioxide (CO2), and methane (CH4) mixtures. An introduction of carbon dioxide gas before CNTs growth, the substrate temperature can easily be reached above 610 degrees C even heated at a low microwave power. This can be enunciated from fact that carbon dioxide inherits with higher bond energy for molecular dissociation, lower thermal conductivity, and higher heat capacity in comparing to other gases. The electron field emissions for randomly aligned CNTs and well-aligned CNTs grown by MPECVD and by radio frequency assisted hot-filament methods, respectively, are measured and compared. The higher field emission characteristic of the randomly aligned CNTs is presumed to be due to the protruded CNTs, which inheriting with less screening effect and manifesting with defects are crucial to play the effective emission sites.

  14. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  15. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    PubMed

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  16. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    DOEpatents

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  17. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    DOEpatents

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  18. Collisional and radiative processes in high-pressure discharge plasmas

    NASA Astrophysics Data System (ADS)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  19. The Breathing Snowpack: Pressure-induced Vapor Flux of Temperate Snow

    NASA Astrophysics Data System (ADS)

    Drake, S. A.; Selker, J. S.; Higgins, C. W.

    2017-12-01

    As surface air pressure increases, hydrostatic compression of the air column forces atmospheric air into snowpack pore space. Likewise, as surface air pressure decreases, the atmospheric air column decompresses and saturated air exits the snow. Alternating influx and efflux of air can be thought of as a "breathing" process that produces an upward vapor flux when air above the snow is not saturated. The impact of pressure-induced vapor exchange is assumed to be small and is thus ignored in model parameterizations of surface processes over snow. Rationale for disregarding this process is that large amplitude pressure changes as caused by synoptic weather patterns are too infrequent to credibly impact vapor flux. The amplitude of high frequency pressure changes is assumed to be too small to affect vapor flux, however, the basis for this hypothesis relies on pressure measurements collected over an agricultural field (rather than snow). Resolution of the impact of pressure changes on vapor flux over seasonal cycles depends on an accurate representation of the magnitude of pressure changes caused by changes in wind as a function of the frequency of pressure changes. High precision in situ pressure measurements in a temperature snowpack allowed us to compute the spectra of pressure changes vs. wind forcing. Using a simplified model for vapor exchange we then computed the frequency of pressure changes that maximize vapor exchange. We examine and evaluate the seasonal impact of pressure-induced vapor exchange relative to other snow ablation processes.

  20. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  1. Control of flow through a vapor generator

    DOEpatents

    Radcliff, Thomas D.

    2005-11-08

    In a Rankine cycle system wherein a vapor generator receives heat from exhaust gases, provision is made to avoid overheating of the refrigerant during ORC system shut down while at the same time preventing condensation of those gases within the vapor generator when its temperature drops below a threshold temperature by diverting the flow of hot gases to ambient and to thereby draw ambient air through the vapor generator in the process. In one embodiment, a bistable ejector is adjustable between one position, in which the hot gases flow through the vapor generator, to another position wherein the gases are diverted away from the vapor generator. Another embodiment provides for a fixed valve ejector with a bias towards discharging to ambient, but with a fan on the downstream side of said vapor generator for overcoming this bias.

  2. Towards a Lithium Radiative / Vapor-Box Divertor

    NASA Astrophysics Data System (ADS)

    Goldston, Robert; Constantin, Marius; Jaworski, Michael; Myers, Rachel; Ono, Masayuki; Schwartz, Jacob; Scotti, Filippo; Qu, Zhaonan

    2014-10-01

    Recent research has indicated that the peak perpendicular heat flux on reactor divertor targets will be hundreds of MW/m2 in the absence of dissipation and/or spatial spreading. Thus we are attracted to both enhanced radiative cooling and continuous vapor shielding. Lithium particle lifetimes <=100 micro-sec enhance radiation efficiency at T < 10 eV, while lithium charge-exchange with neutral hydrogen may enhance radiative efficiency for T > 10 eV and n0/ni > 0.1. We are examining if the latter mechanism plays a role in the narrowing of the heat-flux footprint in lithiated NSTX discharges. In parallel we are investigating the possibility of immersing a reactor divertor leg in a channel of lithium vapor. If we approximate the vapor channel as in local equilibrium with lithium-wetted walls ranging from 300 oC at the entrance point to 950 oC 10m downstream in the parallel direction, we find that the vapor can both balance reactor levels of upstream plasma pressure and stop energetic ions and electrons with energies up to at least 25 keV, as might be produced in ELMs. Each 10 l/sec of lithium evaporated deep in the channel and recondensed in cooler regions spreads 100 MW over a much wider area than the original strike point. This work supported by US DOE Contract DE-AC02-09CH11466.

  3. Electrodeposition of thin yttria-stabilized zirconia layers using glow-discharge plasma

    NASA Astrophysics Data System (ADS)

    Ogumi, Zempachi; Uchimoto, Yoshiharu; Tsuji, Yoichiro; Takehara, Zen-ichiro

    1992-08-01

    A novel process for preparation of thin yttria-stabilized zirconia (YSZ) layers was developed. This process differs from other vapor-phase deposition methods in that a dc bias circuit, separate from the plasma-generation circuit, is used for the electrodeposition process. The YSZ layer was electrodeposited from ZrCl4 and YCl3 on a nonporous calcia-stabilized zirconia substrate. Scanning electron microscopy, electron probe microanalysis, electron spectroscopy for chemical analysis, and x-ray-diffraction measurements confirmed the electrodeposition of a smooth, pinhole-free yttria-stabilized zirconia film of about 3 μm thickness.

  4. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries.

    PubMed

    Homma, Keiichiro; Kambara, Makoto; Yoshida, Toyonobu

    2014-04-01

    Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD) at a material throughput of 480 g h -1 . The powders are fundamentally an aggregate of primary ∼20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH 4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g -1 after 100 cycles at the same time.

  5. Strong Turbulence in Alkali Halide Negative Ion Plasmas

    NASA Astrophysics Data System (ADS)

    Sheehan, Daniel

    1999-11-01

    Negative ion plasmas (NIPs) are charge-neutral plasmas in which the negative charge is dominated by negative ions rather than electrons. They are found in laser discharges, combustion products, semiconductor manufacturing processes, stellar atmospheres, pulsar magnetospheres, and the Earth's ionosphere, both naturally and man-made. They often display signatures of strong turbulence^1. Development of a novel, compact, unmagnetized alkali halide (MX) NIP source will be discussed, it incorporating a ohmically-heated incandescent (2500K) tantulum solenoid (3cm dia, 15 cm long) with heat shields. The solenoid ionizes the MX vapor and confines contaminant electrons, allowing a very dry (electron-free) source. Plasma densities of 10^10 cm-3 and positive to negative ion mass ratios of 1 <= fracm_+m- <= 20 are achievable. The source will allow tests of strong turbulence theory^2. 1 Sheehan, D.P., et al., Phys. Fluids B5, 1593 (1993). 2 Tsytovich, V. and Wharton, C.W., Comm. Plasma Phys. Cont. Fusion 4, 91 (1978).

  6. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  7. Feasibility of Rare Earth Element Determination in Low Concentration in Crude Oil: Direct Sampling Electrothermal Vaporization-Inductively Coupled Plasma Mass Spectrometry.

    PubMed

    Silva, Jussiane Souza; Schneider Henn, Alessandra; Dressler, Valderi Luiz; Mello, Paola Azevedo; Flores, Erico Marlon Moraes

    2018-06-05

    A comprehensive study was developed showing the feasibility of determination of rare earth elements (REE) in low concentration in crude oil by using direct sampling electrothermal vaporization system coupled to inductively coupled plasma mass spectrometry (ETV-ICP-MS). The effect of organic modifier on the REE signal was evaluated and the use of 6 mg of citric acid allowed calibration using aqueous reference solutions (selected pyrolysis and vaporization temperatures were 700 and 2200 °C, respectively). Because of the facility of REE in forming refractory compounds inside the graphite furnace during the heating step, the use of a modifier gas (Freon R-12, 3.0 mL min -1 ) was necessary to allow quantitative vaporization of these elements. A flow rate of 0.40 L min -1 was selected for both bypass and carrier gases. Under optimized conditions, the influence of sample mass was evaluated, and even using a relatively high mass of crude oil (up to 18 mg), accurate results were obtained. The accuracy was evaluated by the comparison of results by ETV-ICP-MS with those obtained by ICP-MS with ultrasonic nebulizer (USN) after high-pressure microwave-assisted wet digestion (MAWD) and microwave-induced combustion (MIC) and no statistical difference was observed between the results. The limits of quantification for REE by ETV-ICP-MS were lower (0.02-0.8 ng g -1 ) than those obtained by USN-ICP-MS after MAWD and MIC (0.6-5.1 ng g -1 ). Negligible blank values and relative standard deviations lower than 12% show the feasibility of the proposed ETV-ICP-MS method for routine analysis of crude oil.

  8. Proteolytic Processing of Angiotensin-I in Human Blood Plasma

    PubMed Central

    Hildebrand, Diana; Merkel, Philipp; Eggers, Lars Florian; Schlüter, Hartmut

    2013-01-01

    In mammalian species, except humans, N-terminal processing of the precursor peptide angiotensin I (ANG-1-10) into ANG-2-10 or ANG-3-10 was reported. Here we hypothesize that aminopeptidase-generated angiotensins bearing the same C-terminus as ANG-1-10 are also present in humans. We demonstrate the time dependent generation of ANG-2-10, ANG-3-10, ANG-4-10, ANG-5-10 and ANG-6-10 from the precursor ANG-1-10 by human plasma proteins. The endogenous presence of ANG-4-10, ANG-5-10 and ANG-6-10 in human plasma was confirmed by an immuno-fluorescence assay. Generation of ANG-2-10, ANG-3-10 and ANG-4-10 from ANG-1-10 by immobilized human plasma proteins was sensitive to the cysteine/serine protease inhibitor antipain. The metal ion chelator EDTA inhibited Ang-6-10-generation. Incubation of the substrates ANG-3-10, ANG-4-10 and ANG-5-10 with recombinant aminopeptidase N (APN) resulted in a successive N-terminal processing, finally releasing ANG-6-10 as a stable end product, demonstrating a high similarity concerning the processing pattern of the angiotensin peptides compared to the angiotensin generating activity in plasma. Recombinant ACE-1 hydrolyzed the peptides ANG-2-10, ANG-3-10, ANG-4-10 and ANG-5-10 into ANG-2-8, ANG-3-8, ANG-4-8 and ANG-5-8. Since ANG-2-10 was processed into ANG-2-8, ANG-4-8 and ANG-5-8 by plasma proteases the angiotensin peptides bearing the same C-terminus as ANG-1-10 likely have a precursor function in human plasma. Our results confirm the hypothesis of aminopeptidase mediated processing of ANG-1-10 in humans. We show the existence of an aminopeptidase mediated pathway in humans that bypasses the known ANG-1-8-carboxypeptidase pathway. This expands the knowledge about the known human renin angiotensin system, showing how efficiently the precursor ANG-1-10 is used by nature. PMID:23724017

  9. Plasma assisted surface coating/modification processes - An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  10. Plasma assisted surface coating/modification processes: An emerging technology

    NASA Technical Reports Server (NTRS)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  11. 14th High-Tech Plasma Processes Conference (HTPP 14)

    NASA Astrophysics Data System (ADS)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  12. An Evaluation of the Vapor Phase Catalytic Ammonia Removal Process for Use in a Mars Transit Vehicle

    NASA Technical Reports Server (NTRS)

    Flynn, Michael; Borchers, Bruce

    1998-01-01

    An experimental program has been developed to evaluate the potential of the Vapor Phase Catalytic Ammonia Reduction (VPCAR) technology for use as a Mars Transit Vehicle water purification system. Design modifications which will be required to ensure proper operation of the VPCAR system in reduced gravity are also evaluated. The VPCAR system is an integrated wastewater treatment technology that combines a distillation process with high temperature catalytic oxidation. The distillation portion of the system utilizes a vapor compression distillation process to provide an energy efficient phase change separation. This portion of the system removes any inorganic salts and large molecular weight, organic contaminates, i.e., non-volatile, from the product water stream and concentrates these contaminates into a byproduct stream. To oxidize the volatile organic compounds and ammonia, a vapor phase, high temperature catalytic oxidizer is used. This catalytic system converts these compounds along with the aqueous product into CO2, H2O, and N2O. A secondary catalytic bed can then be used to reduce the N2O to nitrogen and oxygen (although not evaluated in this study). This paper describes the design specification of the VPCAR process, the relative benefits of its utilization in a Mars Transit Vehicle, and the design modification which will be required to ensure its proper operation in reduced gravity. In addition, the results of an experimental evaluation of the processors is presented. This evaluation presents the processors performance based upon product water purity, water recovery rates, and power.

  13. Bioeffects due to acoustic droplet vaporization

    NASA Astrophysics Data System (ADS)

    Bull, Joseph

    2015-11-01

    Encapsulated micro- and nano-droplets can be vaporized via ultrasound, a process termed acoustic droplet vaporization. Our interest is primarily motivated by a developmental gas embolotherapy technique for cancer treatment. In this methodology, infarction of tumors is induced by selectively formed vascular gas bubbles that arise from the acoustic vaporization of vascular microdroplets. Additionally, the microdroplets may be used as vehicles for localized drug delivery, with or without flow occlusion. In this talk, we examine the dynamics of acoustic droplet vaporization through experiments and theoretical/computational fluid mechanics models, and investigate the bioeffects of acoustic droplet vaporization on endothelial cells and in vivo. Early timescale vaporization events, including phase change, are directly visualized using ultra-high speed imaging, and the influence of acoustic parameters on droplet/bubble dynamics is discussed. Acoustic and fluid mechanics parameters affecting the severity of endothelial cell bioeffects are explored. These findings suggest parameter spaces for which bioeffects may be reduced or enhanced, depending on the objective of the therapy. This work was supported by NIH grant R01EB006476.

  14. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  15. Method for atmospheric pressure reactive atom plasma processing for surface modification

    DOEpatents

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  16. Wall ablation of heated compound-materials into non-equilibrium discharge plasmas

    NASA Astrophysics Data System (ADS)

    Wang, Weizong; Kong, Linghan; Geng, Jinyue; Wei, Fuzhi; Xia, Guangqing

    2017-02-01

    The discharge properties of the plasma bulk flow near the surface of heated compound-materials strongly affects the kinetic layer parameters modeled and manifested in the Knudsen layer. This paper extends the widely used two-layer kinetic ablation model to the ablation controlled non-equilibrium discharge due to the fact that the local thermodynamic equilibrium (LTE) approximation is often violated as a result of the interaction between the plasma and solid walls. Modifications to the governing set of equations, to account for this effect, are derived and presented by assuming that the temperature of the electrons deviates from that of the heavy particles. The ablation characteristics of one typical material, polytetrafluoroethylene (PTFE) are calculated with this improved model. The internal degrees of freedom as well as the average particle mass and specific heat ratio of the polyatomic vapor, which strongly depends on the temperature, pressure and plasma non-equilibrium degree and plays a crucial role in the accurate determination of the ablation behavior by this model, are also taken into account. Our assessment showed the significance of including such modifications related to the non-equilibrium effect in the study of vaporization of heated compound materials in ablation controlled arcs. Additionally, a two-temperature magneto-hydrodynamic (MHD) model accounting for the thermal non-equilibrium occurring near the wall surface is developed and applied into an ablation-dominated discharge for an electro-thermal chemical launch device. Special attention is paid to the interaction between the non-equilibrium plasma and the solid propellant surface. Both the mass exchange process caused by the wall ablation and plasma species deposition as well as the associated momentum and energy exchange processes are taken into account. A detailed comparison of the results of the non-equilibrium model with those of an equilibrium model is presented. The non-equilibrium results

  17. Enhanced bulk heterojunction devices prepared by thermal and solvent vapor annealing processes

    DOEpatents

    Forrest, Stephen R.; Thompson, Mark E.; Wei, Guodan; Wang, Siyi

    2017-09-19

    A method of preparing a bulk heterojunction organic photovoltaic cell through combinations of thermal and solvent vapor annealing are described. Bulk heterojunction films may prepared by known methods such as spin coating, and then exposed to one or more vaporized solvents and thermally annealed in an effort to enhance the crystalline nature of the photoactive materials.

  18. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    NASA Astrophysics Data System (ADS)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  19. The influence of surface properties of plasma-etched polydimethylsiloxane (PDMS) on cell growth and morphology.

    PubMed

    Pennisi, Cristian P; Zachar, Vladimir; Gurevich, Leonid; Patriciu, Andrei; Struijk, Johannes J

    2010-01-01

    Polydimethylsiloxane (PDMS) or silicone rubber is a widely used implant material. Approaches to promote tissue integration to PDMS are desirable to avoid clinical problems associated with sliding and friction between tissue and implant. Plasma-etching is a useful way to control cell behavior on PDMS without additional coatings. In this work, different plasma processing conditions were used to modify the surface properties of PDMS substrates. Surface nanotopography and wettability were measured to study their effect on in vitro growth and morphology of fibroblasts. While fluorinated plasma treatments produced nanorough hydrophobic and superhydrophobic surfaces that had negative or little influences on cellular behavior, water vapor/oxygen plasma produced smooth hydrophillic surfaces that enhanced cell growth.

  20. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  1. Review of microscopic plasma processes of occurring during refilling of the plasmasphere

    NASA Technical Reports Server (NTRS)

    Singh, N.; Torr, D. G.

    1988-01-01

    Refilling of the plasmashere after geomagnetic storms involves both macroscopic and microscopic plasma processes. The latter types of processes facilitate the refilling by trapping the plasma in the flux tube and by thermalizing the interhemispheric flow. A review of studies on microscopic processes is presented. The primary focus in this review is on the processes when the density is low and the plasma is collisionless. The discussion includes electrostatic shock formation, pitch angle scatterring extended ion heating and localized ion heating in the equatorial region.

  2. A novel close-circulating vapor stripping-vapor permeation technique for boosting biobutanol production and recovery.

    PubMed

    Zhu, Chao; Chen, Lijie; Xue, Chuang; Bai, Fengwu

    2018-01-01

    Butanol derived from renewable resources by microbial fermentation is considered as one of not only valuable platform chemicals but alternative advanced biofuels. However, due to low butanol concentration in fermentation broth, butanol production is restricted by high energy consumption for product recovery. For in situ butanol recovery techniques, such as gas stripping and pervaporation, the common problem is their low efficiency in harvesting and concentrating butanol. Therefore, there is a necessity to develop an advanced butanol recovery technique for cost-effective biobutanol production. A close-circulating vapor stripping-vapor permeation (VSVP) process was developed with temperature-difference control for single-stage butanol recovery. In the best scenario, the highest butanol separation factor of 142.7 reported to date could be achieved with commonly used polydimethylsiloxane membrane, when temperatures of feed solution and membrane surroundings were 70 and 0 °C, respectively. Additionally, more ABE (31.2 vs. 17.7 g/L) were produced in the integrated VSVP process, with a higher butanol yield (0.21 vs. 0.17 g/g) due to the mitigation of butanol inhibition. The integrated VSVP process generated a highly concentrated permeate containing 212.7 g/L butanol (339.3 g/L ABE), with the reduced energy consumption of 19.6 kJ/g-butanol. Therefore, the present study demonstrated a well-designed energy-efficient technique named by vapor stripping-vapor permeation for single-stage butanol removal. The butanol separation factor was multiplied by the temperature-difference control strategy which could double butanol recovery performance. This advanced VSVP process can completely eliminate membrane fouling risk for fermentative butanol separation, which is superior to other techniques.

  3. Gas Separation Using Organic-Vapor-Resistent Membranes In Conjunctin With Organic-Vapor-Selective Membranes

    DOEpatents

    Baker, Richard W.; Pinnau, Ingo; He, Zhenjie; Da Costa, Andre R.; Daniels, Ramin; Amo, Karl D.; Wijmans, Johannes G.

    2003-06-03

    A process for treating a gas mixture containing at least an organic compound gas or vapor and a second gas, such as natural gas, refinery off-gas or air. The process uses two sequential membrane separation steps, one using membrane selective for the organic compound over the second gas, the other selective for the second gas over the organic vapor. The second-gas-selective membranes use a selective layer made from a polymer having repeating units of a fluorinated polymer, and demonstrate good resistance to plasticization by the organic components in the gas mixture under treatment, and good recovery after exposure to liquid aromatic hydrocarbons. The membrane steps can be combined in either order.

  4. Acoustically-Enhanced Direct Contact Vapor Bubble Condensation

    NASA Astrophysics Data System (ADS)

    Boziuk, Thomas; Smith, Marc; Glezer, Ari

    2017-11-01

    Rate-limited, direct contact vapor condensation of vapor bubbles that are formed by direct steam injection through a nozzle in a quiescent subcooled liquid bath is accelerated using ultrasonic (MHz-range) actuation. A submerged, low power actuator produces an acoustic beam whose radiation pressure deforms the liquid-vapor interface, leading to the formation of a liquid spear that penetrates the vapor bubble to form a vapor torus with a significantly larger surface area and condensation rate. Ultrasonic focusing along the spear leads to the ejection of small, subcooled droplets through the vapor volume that impact the vapor-liquid interface and further enhance the condensation. High-speed Schlieren imaging of the formation and collapse of the vapor bubbles in the absence and presence of actuation shows that the impulse associated with the collapse of the toroidal volume leads to the formation of a turbulent vortex ring in the liquid phase. Liquid motions near the condensing vapor volume are investigated in the absence and presence of acoustic actuation using high-magnification PIV and show the evolution of a liquid jet through the center of the condensing toroidal volume and the formation and advection of vortex ring structures whose impulse appear to increase with temperature difference between the liquid and vapor phases. High-speed image processing is used to assess the effect of the actuation on the temporal and spatial variations in the characteristic scales and condensation rates of the vapor bubbles.

  5. Phase transitions, interparticle correlations, and elementary processes in dense plasmas

    NASA Astrophysics Data System (ADS)

    Ichimaru, Setsuo

    2017-12-01

    Astrophysical dense plasmas are those we find in the interiors, surfaces, and outer envelopes of stellar objects such as neutron stars, white dwarfs, the Sun, and giant planets. Condensed plasmas in the laboratory settings include those in ultrahigh-pressure metal-physics experiments undertaken for realization of metallic hydrogen. We review basic physics issues studied in the past 60 some years on the phase transitions, the interparticle correlations, and the elementary processes in dense plasmas, through survey on scattering of electromagnetic waves, equations of state, phase diagrams, transport processes, stellar and planetary magnetisms, and thermo- and pycnonuclear reactions.

  6. Plasma Shield for In-Air and Under-Water Beam Processes

    NASA Astrophysics Data System (ADS)

    Hershcovitch, Ady

    2007-11-01

    As the name suggests, the Plasma Shield is designed to chemically and thermally shield a target object by engulfing an area subjected to beam treatment with inert plasma. The shield consists of a vortex-stabilized arc that is employed to shield beams and workpiece area of interaction from atmospheric or liquid environment. A vortex-stabilized arc is established between a beam generating device (laser, ion or electron gun) and the target object. The arc, which is composed of a pure noble gas (chemically inert), engulfs the interaction region and shields it from any surrounding liquids like water or reactive gases. The vortex is composed of a sacrificial gas or liquid that swirls around and stabilizes the arc. In current art, many industrial processes like ion material modification by ion implantation, dry etching, and micro-fabrication, as well as, electron beam processing, like electron beam machining and electron beam melting is performed exclusively in vacuum, since electron guns, ion guns, their extractors and accelerators must be kept at a reasonably high vacuum, and since chemical interactions with atmospheric gases adversely affect numerous processes. Various processes involving electron ion and laser beams can, with the Plasma Shield be performed in practically any environment. For example, electron beam and laser welding can be performed under water, as well as, in situ repair of ship and nuclear reactor components. The plasma shield results in both thermal (since the plasma is hotter than the environment) and chemical shielding. The latter feature brings about in-vacuum process purity out of vacuum, and the thermal shielding aspect results in higher production rates. Recently plasma shielded electron beam welding experiments were performed resulting in the expected high quality in-air electron beam welding. Principle of operation and experimental results are to be discussed.

  7. Enhancement in volatile organic compound sensitivity of aged Ag nanoparticle aggregates by plasma exposure

    NASA Astrophysics Data System (ADS)

    Hosomi, Kei; Ozaki, Koichi; Nishiyama, Fumitaka; Takahiro, Katsumi

    2018-01-01

    Silver nanoparticles (Ag NPs) tarnish easily upon exposure to ambient air, and eventually lose their ability as a plasmonic sensor via weakened localized surface plasmon resonance (LSPR). We have demonstrated the enhancement in plasmonic sensitivity of tarnished Ag NP aggregates to vapors of volatile organic compounds (VOCs) such as ethanol and butanol by Ar plasma exposure. The response of Ag NP aggregates to the VOC vapors was examined by measuring the change in optical extinction spectra before and after exposure to the vapors. The sensitivity of Ag NP aggregates decreased gradually when stored in ambient air. The performance of tarnished Ag NPs for ethanol sensing was recovered by exposure to argon (Ar) plasma for 15 s. The reduction from oxidized Ag to metallic one was recognized, while morphological change was hardly noticeable after the plasma exposure. We conclude, therefore, that a compositional change rather than a morphological change occurred on Ag NP surfaces enhances the sensing ability of tarnished Ag NP aggregates to the VOC vapors.

  8. Nonstationary plasma-thermo-fluid dynamics and transition in processes of deep penetration laser beam-matter interaction

    NASA Astrophysics Data System (ADS)

    Golubev, Vladimir S.; Banishev, Alexander F.; Azharonok, V. V.; Zabelin, Alexandre M.

    1994-09-01

    A qualitative analysis of the role of some hydrodynamic flows and instabilities by the process of laser beam-metal sample deep penetration interaction is presented. The forces of vapor pressure, melt surface tension and thermocapillary forces can determined a number of oscillatory and nonstationary phenomena in keyhole and weld pool. Dynamics of keyhole formation in metal plates has been studied under laser beam pulse effect ((lambda) equals 1.06 micrometers ). Velocities of the keyhole bottom motion have been determined at 0.5 X 105 - 106 W/cm2 laser power densities. Oscillatory regime of plate break- down has been found out. Small-dimensional structures with d-(lambda) period was found on the frozen cavity walls, which, in our opinion, can contribute significantly to laser beam absorption. A new form of periodic structure on the frozen pattern being a helix-shaped modulation of the keyhole walls and bottom relief has been revealed. Temperature oscillations related to capillary oscillations in the melt layer were discovered in the cavity. Interaction of the CW CO2 laser beam and the matter by beam penetration into a moving metal sample has been studied. The pulsed and thermodynamic parameters of the surface plasma were investigated by optical and spectroscopic methods. The frequencies of plasma jets pulsations (in 10 - 105 Hz range) are related to possible melt surface instabilities of the keyhole.

  9. The Surface Interface Characteristics of Vertically Aligned Carbon Nanotube and Graphitic Carbon Fiber Arrays Grown by Thermal and Plasma Enhanced Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Delzeit, Lance; Nguyen, Cattien; Li, Jun; Han, Jie; Meyyappan, M.

    2002-01-01

    The development of nano-arrays for sensors and devices requires the growth of arrays with the proper characteristics. One such application is the growth of vertically aligned carbon nanotubes (CNTs) and graphitic carbon fibers (GCFs) for the chemical attachment of probe molecules. The effectiveness of such an array is dependent not only upon the effectiveness of the probe and the interface between that probe and the array, but also the array and the underlaying substrate. If that array is a growth of vertically aligned CNTs or GCFs then the attachment of that array to the surface is of the utmost importance. This attachment provides the mechanical stability and durability of the array, as well as, the electrical properties of that array. If the detection is to be acquired through an electrical measurement, then the appropriate resistance between the array and the surface need to be fabricated into the device. I will present data on CNTs and GCFs grown from both thermal and plasma enhanced chemical vapor deposition. The focus will be on the characteristics of the metal film from which the CNTs and GCFs are grown and the changes that occur due to changes within the growth process.

  10. Effective utilization of ozone in plasma-based advanced oxidation process

    NASA Astrophysics Data System (ADS)

    Takeuchi, Nozomi; Ishibashi, Naoto; Sugiyama, Tsuyoshi; Kim, Hyun-Ha

    2018-05-01

    Decomposition of acetic acid in water was conducted using multiple plasmas generated within oxygen bubbles. Ballast capacitors were used to control the plasma input power, allowing hydrogen peroxide and ozone to be produced at different rates in each plasma by adjusting the capacitance. By using an ozone absorber connected to the plasma reactor, OH radicals, both generated by the plasmas directly and reproduced from hydrogen peroxide through reactions with ozone, could be effectively utilized for the reduction of total organic carbon (TOC). Under the condition with the highest ozone production rate, higher processing speed and energy efficiency for the TOC reduction were achieved compared with other plasma methods.

  11. Reactive hydroxyl radical-driven oral bacterial inactivation by radio frequency atmospheric plasma

    NASA Astrophysics Data System (ADS)

    Kang, Sung Kil; Choi, Myeong Yeol; Koo, Il Gyo; Kim, Paul Y.; Kim, Yoonsun; Kim, Gon Jun; Mohamed, Abdel-Aleam H.; Collins, George J.; Lee, Jae Koo

    2011-04-01

    We demonstrated bacterial (Streptococcus mutans) inactivation by a radio frequency power driven atmospheric pressure plasma torch with H2O2 entrained in the feedstock gas. Optical emission spectroscopy identified substantial excited state •OH generation inside the plasma and relative •OH formation was verified by optical absorption. The bacterial inactivation rate increased with increasing •OH generation and reached a maximum 5-log10 reduction with 0.6% H2O2 vapor. Generation of large amounts of toxic ozone is drawback of plasma bacterial inactivation, thus it is significant that the ozone concentration falls within recommended safe allowable levels with addition of H2O2 vapor to the plasma.

  12. The production of metallocarbohedrenes by the direct laser vaporization of the carbides of titanium and zirconium

    NASA Astrophysics Data System (ADS)

    Cartier, S. F.; May, B. D.; Toleno, B. J.; Purnell, J.; Wei, S.; Castleman, A. W., Jr.

    1994-03-01

    Metallocarbohedrenes (Met-Cars) of titanium and zirconium have been produced by the direct laser vaporization of their respective pure carbides. Time-of-flight mass spectra of both ionic and neutral metallocarbohedrenes formed in the laser-induced plasma are presented and compared to spectra of the same systems generated under laser vaporization/molecular beam conditions. Potential mechanisms of formation of these clusters are presented and discussed.

  13. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    NASA Astrophysics Data System (ADS)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  14. Hydrodynamic and Chemical Modeling of a Chemical Vapor Deposition Reactor for Zirconia Deposition

    NASA Astrophysics Data System (ADS)

    Belmonte, T.; Gavillet, J.; Czerwiec, T.; Ablitzer, D.; Michel, H.

    1997-09-01

    Zirconia is deposited on cylindrical substrates by flowing post-discharge enhanced chemical vapor deposition. In this paper, a two dimensional hydrodynamic and chemical modeling of the reactor is described for given plasma characteristics. It helps in determining rate constants of the synthesis reaction of zirconia in gas phase and on the substrate which is ZrCl4 hydrolysis. Calculated deposition rate profiles are obtained by modeling under various conditions and fits with a satisfying accuracy the experimental results. The role of transport processes and the mixing conditions of excited gases with remaining ones are studied. Gas phase reaction influence on the growth rate is also discussed.

  15. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  16. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process

    NASA Astrophysics Data System (ADS)

    Suzuki, Haruka; Nakano, Suguru; Itoh, Hitoshi; Sekine, Makoto; Hori, Masaru; Toyoda, Hirotaka

    2015-09-01

    Atmospheric pressure (AP) plasmas have been given much attention because of its high cost benefit and a variety of possibilities for industrial applications. In various kinds of plasma production technique, pulsed-microwave discharge plasma using slot antenna is attractive due to its ability of high-density and stable plasma production. In this plasma source, however, size of the plasma has been limited up to a few cm in length due to standing wave inside a waveguide. To solve this, we have proposed a newly-developed AP microwave plasma source that utilizes not standing wave but travelling wave. By using this plasma source, spatially-uniform AP line plasma with 40 cm in length was realized by pure helium discharge in 60 cm slot and with nitrogen gas additive of 1%. Furthermore, gas temperature as low as 400 K was realized in this device. In this study, as an example of low temperature processes, hydrophilic treatment of PET films was performed. Processing speed increased with pulse frequency and a water contact angle of ~20° was easily obtained within 5 s with no thermal damage to the substrate. To evaluate treatment-uniformity of long line length, PET films were treated by 90 cm slot-antenna plasma and uniform treatment performance was confirmed.

  17. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    NASA Astrophysics Data System (ADS)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  18. The Validation of Vapor Phase Hydrogen Peroxide Microbial Reduction for Planetary Protection and a Proposed Vacuum Process Specification

    NASA Technical Reports Server (NTRS)

    Chung, Shirley; Barengoltz, Jack; Kern, Roger; Koukol, Robert; Cash, Howard

    2006-01-01

    The Jet Propulsion Laboratory, in conjunction with the NASA Planetary Protection Officer, has selected the vapor phase hydrogen peroxide sterilization process for continued development as a NASA approved sterilization technique for spacecraft subsystems and systems. The goal is to include this technique, with an appropriate specification, in NPR 8020.12C as a low temperature complementary technique to the dry heat sterilization process.To meet microbial reduction requirements for all Mars in-situ life detection and sample return missions, various planetary spacecraft subsystems will have to be exposed to a qualified sterilization process. This process could be the elevated temperature dry heat sterilization process (115 C for 40 hours) which was used to sterilize the Viking lander spacecraft. However, with utilization of such elements as highly sophisticated electronics and sensors in modern spacecraft, this process presents significant materials challenges and is thus an undesirable bioburden reduction method to design engineers. The objective of this work is to introduce vapor hydrogen peroxide (VHP) as an alternative to dry heat microbial reduction to meet planetary protection requirements.The VHP process is widely used by the medical industry to sterilize surgical instruments and biomedical devices, but high doses of VHP may degrade the performance of flight hardware, or compromise material properties. Our goal for this study was to determine the minimum VHP process conditions to achieve microbial reduction levels acceptable for planetary protection.

  19. Microwave processes in the SPD-ATON stationary plasma thruster

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kirdyashev, K. P., E-mail: kpk@ms.ire.rssi.ru

    2016-09-15

    Results of experimental studies of microwave processes accompanying plasma acceleration in the SPD-ATON stationary plasma thruster are presented. Specific features of the generation of microwave oscillations in both the acceleration channel and the plasma flow outgoing from the thruster are analyzed on the basis of local measurements of the spectra of the plasma wave fields. Mechanisms for generation of microwave oscillations are considered with allowance for the inhomogeneity of the electron density and magnetic field behind the edge of the acceleration channel. The effect of microwave oscillations on the electron transport and the formation of the discharge current in themore » acceleration channel is discussed.« less

  20. On the Validity of Continuum Computational Fluid Dynamics Approach Under Very Low-Pressure Plasma Spray Conditions

    NASA Astrophysics Data System (ADS)

    Ivchenko, Dmitrii; Zhang, Tao; Mariaux, Gilles; Vardelle, Armelle; Goutier, Simon; Itina, Tatiana E.

    2018-01-01

    Plasma spray physical vapor deposition aims to substantially evaporate powders in order to produce coatings with various microstructures. This is achieved by powder vapor condensation onto the substrate and/or by deposition of fine melted powder particles and nanoclusters. The deposition process typically operates at pressures ranging between 10 and 200 Pa. In addition to the experimental works, numerical simulations are performed to better understand the process and optimize the experimental conditions. However, the combination of high temperatures and low pressure with shock waves initiated by supersonic expansion of the hot gas in the low-pressure medium makes doubtful the applicability of the continuum approach for the simulation of such a process. This work investigates (1) effects of the pressure dependence of thermodynamic and transport properties on computational fluid dynamics (CFD) predictions and (2) the validity of the continuum approach for thermal plasma flow simulation under very low-pressure conditions. The study compares the flow fields predicted with a continuum approach using CFD software with those obtained by a kinetic-based approach using a direct simulation Monte Carlo method (DSMC). It also shows how the presence of high gradients can contribute to prediction errors for typical PS-PVD conditions.

  1. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hong, Woo-Pyo; Jung, Young-Dae, E-mail: ydjung@hanyang.ac.kr; Department of Applied Physics and Department of Bionanotechnology, Hanyang University, Ansan, Kyunggi-Do 426-791

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunnelingmore » resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed.« less

  2. Modelling of plasma processes in cometary and planetary atmospheres

    NASA Astrophysics Data System (ADS)

    Campbell, L.; Brunger, M. J.

    2013-02-01

    Electrons from the Sun, often accelerated by magnetospheric processes, produce low-density plasmas in the upper atmospheres of planets and their satellites. The secondary electrons can produce further ionization, dissociation and excitation, leading to enhancement of chemical reactions and light emission. Similar processes are driven by photoelectrons produced by sunlight in upper atmospheres during daytime. Sunlight and solar electrons drive the same processes in the atmospheres of comets. Thus for both understanding of planetary atmospheres and in predicting emissions for comparison with remote observations it is necessary to simulate the processes that produce upper atmosphere plasmas. In this review, we describe relevant models and their applications and address the importance of electron-impact excitation cross sections, towards gaining a quantitative understanding of the phenomena in question.

  3. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    NASA Astrophysics Data System (ADS)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  4. Investigation of Recombination Processes In A Magnetized Plasma

    NASA Technical Reports Server (NTRS)

    Chavers, Greg; Chang-Diaz, Franklin; Rodgers, Stephen L. (Technical Monitor)

    2002-01-01

    Interplanetary travel requires propulsion systems that can provide high specific impulse (Isp), while also having sufficient thrust to rapidly accelerate large payloads. One such propulsion system is the Variable Specific Impulse Magneto-plasma Rocket (VASIMR), which creates, heats, and exhausts plasma to provide variable thrust and Isp, optimally meeting the mission requirements. A large fraction of the energy to create the plasma is frozen in the exhaust in the form of ionization energy. This loss mechanism is common to all electromagnetic plasma thrusters and has an impact on their efficiency. When the device operates at high Isp, where the exhaust kinetic energy is high compared to the ionization energy, the frozen flow component is of little consequence; however, at low Isp, the effect of the frozen flow may be important. If some of this energy could be recovered through recombination processes, and re-injected as neutral kinetic energy, the efficiency of VASIMR, in its low Isp/high thrust mode may be improved. In this operating regime, the ionization energy is a large portion of the total plasma energy. An experiment is being conducted to investigate the possibility of recovering some of the energy used to create the plasma. This presentation will cover the progress and status of the experiment involving surface recombination of the plasma.

  5. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    NASA Technical Reports Server (NTRS)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  6. Direct synthesis of graphene on silicon oxide by low temperature plasma enhanced chemical vapor deposition.

    PubMed

    Muñoz, Roberto; Martínez, Lidia; López-Elvira, Elena; Munuera, Carmen; Huttel, Yves; García-Hernández, Mar

    2018-06-27

    Direct graphene growth on silicon with a native oxide using plasma enhanced chemical vapour deposition at low temperatures [550 °C-650 °C] is demonstrated for the first time. It is shown that the fine-tuning of a two-step synthesis with gas mixtures C2H2/H2 yields monolayer and few layer graphene films with a controllable domain size from 50 nm to more than 300 nm and the sheet resistance ranging from 8 kΩ sq-1 to less than 1.8 kΩ sq-1. Differences are understood in terms of the interaction of the plasma species - chiefly atomic H - with the deposited graphene and the native oxide layer. The proposed low temperature direct synthesis on an insulating substrate does not require any transfer processes and improves the compatibility with the current industrial processes.

  7. Synthesis of silane and silicon in a non-equilibrium plasma jet

    NASA Technical Reports Server (NTRS)

    Calcote, H. F.; Felder, W.

    1977-01-01

    The feasibility of using a non-equilibrium hydrogen plasma jet as a chemical synthesis tool was investigated. Four possible processes were identified for further study: (1) production of polycrystalline silicon photovoltaic surfaces, (2) production of SiHCl3 from SiCl4, (3) production of SiH4 from SiHCl3, and (4) purification of SiCl4 by metal impurity nucleation. The most striking result was the recognition that the strongly adhering silicon films, amorphous or polycrystalline, produced in our studies could be the basis for preparing a photovoltaic surface directly; this process has potential advantages over other vapor deposition processes.

  8. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    NASA Astrophysics Data System (ADS)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  9. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition

    PubMed Central

    Zhan, Hualin; Garrett, David J.; Apollo, Nicholas V.; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-01

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm3, were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail. PMID:26805546

  10. Direct fabrication of 3D graphene on nanoporous anodic alumina by plasma-enhanced chemical vapor deposition.

    PubMed

    Zhan, Hualin; Garrett, David J; Apollo, Nicholas V; Ganesan, Kumaravelu; Lau, Desmond; Prawer, Steven; Cervenka, Jiri

    2016-01-25

    High surface area electrode materials are of interest for a wide range of potential applications such as super-capacitors and electrochemical cells. This paper describes a fabrication method of three-dimensional (3D) graphene conformally coated on nanoporous insulating substrate with uniform nanopore size. 3D graphene films were formed by controlled graphitization of diamond-like amorphous carbon precursor films, deposited by plasma-enhanced chemical vapour deposition (PECVD). Plasma-assisted graphitization was found to produce better quality graphene than a simple thermal graphitization process. The resulting 3D graphene/amorphous carbon/alumina structure has a very high surface area, good electrical conductivity and exhibits excellent chemically stability, providing a good material platform for electrochemical applications. Consequently very large electrochemical capacitance values, as high as 2.1 mF for a sample of 10 mm(3), were achieved. The electrochemical capacitance of the material exhibits a dependence on bias voltage, a phenomenon observed by other groups when studying graphene quantum capacitance. The plasma-assisted graphitization, which dominates the graphitization process, is analyzed and discussed in detail.

  11. Experimental validation of a phenomenological model of the plasma contacting process

    NASA Technical Reports Server (NTRS)

    Williams, John D.; Wilbur, Paul J.; Monheiser, Jeff M.

    1988-01-01

    A preliminary model of the plasma coupling process is presented which describes the phenomena observed in ground-based experiments using a hollow cathode plasma contactor to collect electrons from a dilute ambient plasma under conditions where magnetic field effects can be neglected. The locations of the double-sheath region boundaries are estimated and correlated with experimental results. Ion production mechanisms in the plasma plume caused by discharge electrons from the contactor cathode and by electrons streaming into the plasma plume through the double-sheath from the ambient plasma are also discussed.

  12. Processing of extraterrestrial materials by high temperature vacuum vaporization

    NASA Technical Reports Server (NTRS)

    Grimley, R. T.; Lipschutz, M. E.

    1983-01-01

    It is noted that problems associated with the extraction and concentration of elements and commpounds important for the construction and operation of space habitats have received little attention. High temperature vacuum vaporization is considered a promising approach; this is a technique for which the space environment offers advantages in the form of low ambient pressures and temperatures and the possibility of sustained high temperatures via solar thermal energy. To establish and refine this new technology, experimental determinations must be made of the material release profiles as a function of temperature, of the release kinetics and chemical forms of material being transported, and of the various means of altering release kinetics. Trace element data determined by neutron activation analysis of meteorites heated to 1400 C in vacuum is summarized. The principal tool, high temperature spectrometry, is used to examine the vaporization thermodynamics and kinetics of major and minor elements from complex multicomponent extraterrestrial materials.

  13. Mercury determination in non- and biodegradable materials by cold vapor capacitively coupled plasma microtorch atomic emission spectrometry.

    PubMed

    Frentiu, Tiberiu; Mihaltan, Alin I; Ponta, Michaela; Darvasi, Eugen; Frentiu, Maria; Cordos, Emil

    2011-10-15

    A new analytical system consisting of a low power capacitively coupled plasma microtorch (20 W, 13.56 MHz, 150 ml min(-1) Ar) and a microspectrometer was investigated for the Hg determination in non- and biodegradable materials by cold-vapor generation, using SnCl(2) reductant, and atomic emission spectrometry. The investigated miniaturized system was used for Hg determination in recyclable plastics from electronic equipments and biodegradable materials (shopping bags of 98% biodegradable polyethylene and corn starch) with the advantages of easy operation and low analysis costs. Samples were mineralized in HNO(3)-H(2)SO(4) mixture in a high-pressure microwave system. The detection limits of 0.05 ng ml(-1) or 0.08 μg g(-1) in solid sample were compared with those reported for other analytical systems. The method precision was 1.5-9.4% for Hg levels of 1.37-13.9 mg kg(-1), while recovery in two polyethylene certified reference materials in the range 98.7 ± 4.5% (95% confidence level). Copyright © 2011 Elsevier B.V. All rights reserved.

  14. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Imhoff, Seth D.; Aikin, Jr., Robert M.; Swenson, Hunter

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The currentmore » TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.« less

  15. Properties of thermal air plasma with admixing of copper and carbon

    NASA Astrophysics Data System (ADS)

    Fesenko, S.; Veklich, A.; Boretskij, V.; Cressault, Y.; Gleizes, A.; Teulet, Ph

    2014-11-01

    This paper deals with investigations of air plasma with admixing of copper and carbon. Model plasma source unit with real breaking arc was used for the simulation of real discharges, which can be occurred during sliding of Cu-C composite electrodes on copper wire at electromotive vehicles. The complex technique of plasma property studies is developed. From one hand, the radial profiles of temperature and electron density in plasma of electric arc discharge in air between Cu-C composite and copper electrodes in air flow were measured by optical spectroscopy techniques. From another hand, the radial profiles of electric conductivity of plasma mixture were calculated by solution of energy balance equation. It was assumed that the thermal conductivity of air plasma is not depending on copper or carbon vapor admixtures. The electron density is obtained from electric conductivity profiles by calculation in assumption of local thermodynamic equilibrium in plasma. Computed in such way radial profiles of electron density in plasma of electric arc discharge in air between copper electrodes were compared with experimentally measured profiles. It is concluded that developed techniques of plasma diagnostics can be reasonably used in investigations of thermal plasma with copper and carbon vapors.

  16. Impulse Plasma In Surface Engineering - a review

    NASA Astrophysics Data System (ADS)

    Zdunek, K.; Nowakowska-Langier, K.; Chodun, R.; Okrasa, S.; Rabinski, M.; Dora, J.; Domanowski, P.; Halarowicz, J.

    2014-11-01

    The article describes the view of the plasma surface engineering, assuming the role of non-thermal energy effects in the synthesis of materials and coatings deposition. In the following study it was underlined that the vapor excitation through the application of an electric field during coatings deposition gives new possibilities for coatings formation. As an example the IPD method was chosen. During the IPD (Impulse Plasma Deposition) the impulse plasma is generated in the coaxial accelerator by strong periodic electrical pulses. The impulse plasma is distributed in the form of energetic plasma pockets. Due to the almost completely ionization of gas, the nucleation of new phases takes place on ions directly in the plasma itself. As a result the coatings of metastable materials with nano-amorphous structure and excellent adhesion to the non-heated intentionally substrates could be deposited. Recently the novel way of impulse plasma generation during the coatings deposition was proposed and developed by our group. An efficient tool for plasma process control, the plasma forming gas injection to the interelectrode space was used. Periodic changing the gas pressure results in increasing both the degree of dispersion and the dynamics of the plasma pulses. The advantage of the new technique in deposition of coatings with exceptionally good properties has been demonstrated in the industrial scale not only in the case of the IPD method but also in the case of very well known magnetron sputtering method.

  17. Analysis of the correlation between plasma plume and keyhole behavior in laser metal welding for the modeling of the keyhole geometry

    NASA Astrophysics Data System (ADS)

    Tenner, F.; Brock, C.; Klämpfl, F.; Schmidt, M.

    2015-01-01

    The process of laser metal welding is widely used in industry. Nevertheless, there is still a lack of complete process understanding and control. For analyzing the process we used two high-speed cameras. Therefore, we could image the plasma plume (which is directly accessible by a camera) and the keyhole (where most of the process instabilities occur) during laser welding isochronously. Applying different image processing steps we were able to find a correlation between those two process characteristics. Additionally we imaged the plasma plume from two directions and were able to calculate a volume with respect to the vaporized material the plasma plume carries. Due to these correlations we are able to conclude the keyhole stability from imaging the plasma plume and vice versa. We used the found correlation between the keyhole behavior and the plasma plume to explain the effect of changing laser power and feed rate on the keyhole geometry. Furthermore, we tried to outline the phenomena which have the biggest effect on the keyhole geometry during changes of feed rate and laser power.

  18. Plasma processes in water under effect of short duration pulse discharges

    NASA Astrophysics Data System (ADS)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  19. Low temperature vapor phase digestion of graphite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pierce, Robert A.

    2017-04-18

    A method for digestion and gasification of graphite for removal from an underlying surface is described. The method can be utilized to remove graphite remnants of a formation process from the formed metal piece in a cleaning process. The method can be particularly beneficial in cleaning castings formed with graphite molding materials. The method can utilize vaporous nitric acid (HNO.sub.3) or vaporous HNO.sub.3 with air/oxygen to digest the graphite at conditions that can avoid damage to the underlying surface.

  20. Catalytic Reactor For Oxidizing Mercury Vapor

    DOEpatents

    Helfritch, Dennis J.

    1998-07-28

    A catalytic reactor (10) for oxidizing elemental mercury contained in flue gas is provided. The catalyst reactor (10) comprises within a flue gas conduit a perforated corona discharge plate (30a, b) having a plurality of through openings (33) and a plurality of projecting corona discharge electrodes (31); a perforated electrode plate (40a, b, c) having a plurality of through openings (43) axially aligned with the through openings (33) of the perforated corona discharge plate (30a, b) displaced from and opposing the tips of the corona discharge electrodes (31); and a catalyst member (60a, b, c, d) overlaying that face of the perforated electrode plate (40a, b, c) opposing the tips of the corona discharge electrodes (31). A uniformly distributed corona discharge plasma (1000) is intermittently generated between the plurality of corona discharge electrode tips (31) and the catalyst member (60a, b, c, d) when a stream of flue gas is passed through the conduit. During those periods when corona discharge (1000) is not being generated, the catalyst molecules of the catalyst member (60a, b, c, d) adsorb mercury vapor contained in the passing flue gas. During those periods when corona discharge (1000) is being generated, ions and active radicals contained in the generated corona discharge plasma (1000) desorb the mercury from the catalyst molecules of the catalyst member (60a, b, c, d), oxidizing the mercury in virtually simultaneous manner. The desorption process regenerates and activates the catalyst member molecules.

  1. Improvement of chemical vapor deposition process for production of large diameter carbon base monofilaments

    NASA Technical Reports Server (NTRS)

    Hough, R. L.; Richmond, R. D.

    1971-01-01

    Research was conducted to develop large diameter carbon monofilament, containing 25 to 35 mole % element boron, in the 2.0 to 10.0 mil diameter range using the chemical vapor deposition process. The objective of the program was to gain an understanding of the critical process variables and their effect on fiber properties. Synthesis equipment was modified to allow these variables to be studied. Improved control of synthesis variables permitted reduction in scatter of properties of the monofilaments. Monofilaments have been synthesized in the 3.0 to nearly 6.0 mil diameter range having measured values up to 552,000 psi for ultimate tensile strength and up to 30 million psi for elastic modulus.

  2. Three Dimensional Vapor Intrusion Modeling: Model Validation and Uncertainty Analysis

    NASA Astrophysics Data System (ADS)

    Akbariyeh, S.; Patterson, B.; Rakoczy, A.; Li, Y.

    2013-12-01

    Volatile organic chemicals (VOCs), such as chlorinated solvents and petroleum hydrocarbons, are prevalent groundwater contaminants due to their improper disposal and accidental spillage. In addition to contaminating groundwater, VOCs may partition into the overlying vadose zone and enter buildings through gaps and cracks in foundation slabs or basement walls, a process termed vapor intrusion. Vapor intrusion of VOCs has been recognized as a detrimental source for human exposures to potential carcinogenic or toxic compounds. The simulation of vapor intrusion from a subsurface source has been the focus of many studies to better understand the process and guide field investigation. While multiple analytical and numerical models were developed to simulate the vapor intrusion process, detailed validation of these models against well controlled experiments is still lacking, due to the complexity and uncertainties associated with site characterization and soil gas flux and indoor air concentration measurement. In this work, we present an effort to validate a three-dimensional vapor intrusion model based on a well-controlled experimental quantification of the vapor intrusion pathways into a slab-on-ground building under varying environmental conditions. Finally, a probabilistic approach based on Monte Carlo simulations is implemented to determine the probability distribution of indoor air concentration based on the most uncertain input parameters.

  3. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  4. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources duemore » to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.« less

  5. [Removal of SO2 from flue gas by water vapor DC corona discharge].

    PubMed

    Sun, Ming; Wu, Yan

    2006-07-01

    The influence of several factors on removal rate of SO2 from flue gas in unsaturated water vapor DC corona discharge was researched. Furthermore, the experiments of the removal rate of SO2 in pulsed discharge increased by water vapor DC corona discharge plasma were conducted. The experiment system is supplied with multi-nozzle-plate electrodes and the flow of simulated flue gas is under 70 m3/h. The results show that removal rate of SO2 can be improved by increasing the concentration of water vapor, intensity of electric field or decreasing flow of simulated flue gas. In unsaturated water vapor DC corona discharge, removal rate of SO2 can be improved by 10%, when NH3 is added as NH3 and SO2 is in a mole ratio of two to one, it can reach 60%. The removal rate of SO2 can be increased by 5% in pulsed corona discharge and reach above 90%.

  6. Crystallization process of a three-dimensional complex plasma

    NASA Astrophysics Data System (ADS)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  7. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    DOEpatents

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  8. Fractal structure of low-temperature plasma of arc discharge as a consequence of the interaction of current sheets

    NASA Astrophysics Data System (ADS)

    Smolanov, N. A.

    2016-01-01

    The structure of the particles deposited from the plasma arc discharge were studied. The flow of plasma spreading from the cathode spot to the walls of the vacuum chamber. Electric and magnetic fields to influence the plasma flow. The fractal nature of the particles from the plasma identified by small-angle X-ray scattering. Possible cause of their formation is due to the instability of the growth front and nonequilibrium conditions for their production - a high speed transition of the vapor-liquid-solid or vapor - crystal. The hypothesis of a plasma arc containing dust particles current sheets was proposed.

  9. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    PubMed

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  10. Processing Research on Chemically Vapor Deposited Silicon Nitride.

    DTIC Science & Technology

    1979-12-01

    34 sea urchins ") predominated, suggesting that formation was primarily from the vapor phase with little of the nodular growths seen at only slightly...Specimen HW-4-200-10 .................................. 3-38 3-17 Fracture Stress: Grain Size Correlation 3-39 3-18 SEM Fractographs of Flexure...4-202-10 ........ 3-42 3-21 SEM Fractographs of Flexure Specimen HW-4-200-4 ......... 3-43 3-22 SEM Fractographs of Compression Side of Flexure

  11. Cirrus and Water Vapor Transport in the Tropical Tropopause Layer

    NASA Astrophysics Data System (ADS)

    Dinh, Tra Phuong

    Simulations of tropical-tropopause-layer (TTL) cirrus under the influence of a large-scale equatorial Kelvin wave have been performed in two dimensions. These simulations show that, even under the influence of the large-scale wave, radiatively induced dynamics in TTL cirrus plays an important role in the transport of water vapor in the vertical direction. In a typical TTL cirrus, the heating that results from absorption of radiation by ice crystals induces a mesoscale circulation. Advection of ice and water vapor by the radiatively induced circulation leads to the persistence of the cloud and upward advection of the cloudy air. Upward advection of the cloudy air is equivalent to upward transport of water vapor when the air above the cloud is drier than the cloudy air, and downward transport otherwise. In TTL cirrus, microphysical processes also contribute to transport of water vapor in the vertical direction. Ice nucleation and growth, followed by sedimentation and sublimation, always lead to downward transport of water vapor. The magnitude of the downward transport by microphysical processes increases with the relative humidity of the air surrounding the cloud. Moisture in the surrounding environment is important because there is continuous interactions between the cloudy and environmental air throughout the cloud boundary. In our simulations, when the air surrounding the cloud is subsaturated, hence drier than the cloudy air, the magnitude of the downward transport due to microphysical processes is smaller than that of the upward transport due to the radiatively induced advection of water vapor. The net result is upward transport of water vapor, and equivalently hydration of the lower stratosphere. On the other hand, when the surrounding air is supersaturated, hence moister than the cloudy air, microphysical and radiatively induced dynamical processes work in concert to induce downward transport of water vapor, that is dehydration of the lower stratosphere. TTL

  12. Artificial plasma experiments. Chemical release observations associated with the CRRES program

    NASA Technical Reports Server (NTRS)

    Mende, Stephen B.

    1994-01-01

    This report submitted is the final report and covers work performed under the contract for the period Apr. 12, 1985 - Dec. 23, 1993. The CRRES program investigated earth plasma environment by active experiments in which metal vapors were injected into the upper atmosphere and magnetosphere. The vapor clouds perturb the ambient ionospheric / magnetospheric environment and the effects could be monitored by passive observing instruments. Our part of the CRRES program, the Artificial Plasma Experiment program, was a ground based and aircraft based investigation to observe artificial chemical releases by optical techniques.

  13. Metal Vapor Arcing Risk Assessment Tool

    NASA Technical Reports Server (NTRS)

    Hill, Monika C.; Leidecker, Henning W.

    2010-01-01

    The Tin Whisker Metal Vapor Arcing Risk Assessment Tool has been designed to evaluate the risk of metal vapor arcing and to help facilitate a decision toward a researched risk disposition. Users can evaluate a system without having to open up the hardware. This process allows for investigating components at risk rather than spending time and money analyzing every component. The tool points to a risk level and provides direction for appropriate action and documentation.

  14. Propagation of detonations in hydrazine vapor

    NASA Technical Reports Server (NTRS)

    Heinrich, H. J.

    1985-01-01

    In the range of greater hydrazine vapor pressure, detonation speed depends exclusively on the extent of the ammonia decomposition in the second reaction stage. As vapor pressure decreases, the ammonia disintegration speed becomes increasingly slower and the reaction reached in the reaction zone increasingly decreases until finally, in the vapor pressure range between 53 and 16 Torr, the contribution of the second stage to detonation propagation disappears, and only the first stage remains active. Since the disintegration speed of the hydrazine in this pressure range has decreased markedly as well, no level, but rather only spinning, detonations occur. Temporary separations of the impact front and the reaction zone in the process lead to fluctuations of the detonation speed.

  15. Automated Plasma Spray (APS) process feasibility study

    NASA Technical Reports Server (NTRS)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1981-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal barrier coatings to aircraft and stationary gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical positioning subsystem incorporating two interlaced six degree of freedom assemblies (one for coating deposition and one for coating thickness monitoring); a noncoherent optical metrology subsystem (for in process gaging of the coating thickness buildup at specified points on the specimen); a microprocessor based adaptive system controller (to achieve the desired overall thickness profile on the specimen); and commerical plasma spray equipment. Over fifty JT9D first stage aircraft turbine blade specimens, ten W501B utility turbine blade specimens and dozens of cylindrical specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary turbine blade specimens achieved an overall coating thickness uniformity of 53 micrometers (2.1 mils), much better than is achievable manually. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were performed. One of the preliminary turbine blade evaluation specimens was subjected to a torch test and metallographic evaluation. Some cylindrical specimens coated with the APS process survived up to 2000 cycles in subsequent burner rig testing.

  16. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    NASA Astrophysics Data System (ADS)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  17. Trapping of water vapor from an atmosphere by condensed silicate matter formed by high-temperature pulse vaporization

    NASA Technical Reports Server (NTRS)

    Gerasimov, M. V.; Dikov, Yu. P.; Yakovlev, O. I.; Wlotzka, F.

    1993-01-01

    The origin of planetary atmospheres is thought to be the result of bombardment of a growing planet by massive planetesimals. According to some models, the accumulation of released water vapor and/or carbon dioxide can result in the formation of a dense and hot primordial atmosphere. Among source and sink processes of atmospheric water vapor the formation of hydroxides was considered mainly as rehydration of dehydrated minerals (foresterite and enstatite). From our point of view, the formation of hydroxides is not limited to rehydration. Condensation of small silicate particles in a spreading vapor cloud and their interaction with a wet atmosphere can also result in the origin of hydrated phases which have no genetic connections with initial water bearing minerals. We present results of two experiments of a simulated interaction of condensed silicate matter which originated during vaporization of dry clinopyroxene in a wet helium atmosphere.

  18. Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

    NASA Astrophysics Data System (ADS)

    Grill, A.; Patel, V.; Rodbell, K. P.; Huang, E.; Baklanov, M. R.; Mogilnikov, K. P.; Toney, M.; Kim, H.-C.

    2003-09-01

    The low dielectric constant (k) of plasma enhanced chemical vapor deposited SiCOH films has been attributed to porosity in the films. We have shown previously that the dielectric constant of such materials can be extended from the typical k values of 2.7-2.9 to ultralow-k values of k=2.0. The reduction in the dielectric constants has been achieved by enhancing the porosity in the films through the addition of an organic material to the SiCOH precursor and annealing the films to remove the thermally less-stable organic fractions. In order to confirm the relation between dielectric constant and film porosity the latter has been evaluated for SiCOH films with k values from 2.8 to 2.05 using positron annihilation spectroscopy, positron annihilation lifetime spectroscopy, small angle x-ray scattering, specular x-ray reflectivity, and ellipsometric porosimetry measurements. It has been found that the SiCOH films with k=2.8 had no detectable porosity, however the porosity increased with decreasing dielectric constant reaching values of 28%-39% for k values of 2.05. The degree of porosity and the pore size determined by the dissimilar techniques agreed within reasonable limits, especially when one takes into account the small pore size in these films and the different assumptions used by the different techniques. The pore size increases with decreasing k, however the diameter remains below 5 nm for k=2.05, most of the pores being smaller than 2.5 nm.

  19. Local mass and energy transports in evaporation processes from a vapor-liquid interface in a slit pore based on molecular dynamics

    NASA Astrophysics Data System (ADS)

    Fujiwara, K.; Shibahara, M.

    2018-02-01

    Molecular evaporation processes from a vapor-liquid interface formed in a slit-like pore were examined based on the classical molecular dynamics method, in order to elucidate a molecular mechanism of local mass and energy transports in a slit. The calculation system consisted of monatomic molecules and atoms which interact through the 12-6 Lennard-Jones potential. At first, a liquid was situated in a slit with a vapor-liquid interface, and instantaneous amounts of the mass and energy fluxes defined locally in the slit were obtained in two dimensions to reveal local fluctuation properties of the fluid in equilibrium states. Then, imposing a temperature gradient in the calculation system, non-equilibrium evaporation processes in the slit were investigated in details based on the local mass and energy fluxes. In this study, we focused on the fluid which is in the vicinity of the solid surface and in contact with the vapor phase. In the non-equilibrium evaporation processes, the results revealed that the local energy transport mechanism in the vicinity of the solid surface is different from that of the vapor phase, especially in the case of the relatively strong fluid-solid interaction. The results also revealed that the local mass transport in the vicinity of the solid surface can be interpreted based on the mechanism of the local energy transport, and the mechanism provides valuable information about pictures of the evaporation phenomena especially in the vicinity of the hydrophilic surfaces. It suggests that evaluating and changing this mechanism of the local energy transport are necessary to control the local mass flux more precisely in the vicinity of the solid surface.

  20. Large improvement of phosphorus incorporation efficiency in n-type chemical vapor deposition of diamond

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohtani, Ryota; Yamamoto, Takashi; Janssens, Stoffel D.

    2014-12-08

    Microwave plasma enhanced chemical vapor deposition is a promising way to generate n-type, e.g., phosphorus-doped, diamond layers for the fabrication of electronic components, which can operate at extreme conditions. However, a deeper understanding of the doping process is lacking and low phosphorus incorporation efficiencies are generally observed. In this work, it is shown that systematically changing the internal design of a non-commercial chemical vapor deposition chamber, used to grow diamond layers, leads to a large increase of the phosphorus doping efficiency in diamond, produced in this device, without compromising its electronic properties. Compared to the initial reactor design, the dopingmore » efficiency is about 100 times higher, reaching 10%, and for a very broad doping range, the doping efficiency remains highly constant. It is hypothesized that redesigning the deposition chamber generates a higher flow of active phosphorus species towards the substrate, thereby increasing phosphorus incorporation in diamond and reducing deposition of phosphorus species at reactor walls, which additionally reduces undesirable memory effects.« less

  1. Calibrated vapor generator source

    DOEpatents

    Davies, John P.; Larson, Ronald A.; Goodrich, Lorenzo D.; Hall, Harold J.; Stoddard, Billy D.; Davis, Sean G.; Kaser, Timothy G.; Conrad, Frank J.

    1995-01-01

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet.

  2. Calibrated vapor generator source

    DOEpatents

    Davies, J.P.; Larson, R.A.; Goodrich, L.D.; Hall, H.J.; Stoddard, B.D.; Davis, S.G.; Kaser, T.G.; Conrad, F.J.

    1995-09-26

    A portable vapor generator is disclosed that can provide a controlled source of chemical vapors, such as, narcotic or explosive vapors. This source can be used to test and calibrate various types of vapor detection systems by providing a known amount of vapors to the system. The vapor generator is calibrated using a reference ion mobility spectrometer. A method of providing this vapor is described, as follows: explosive or narcotic is deposited on quartz wool, placed in a chamber that can be heated or cooled (depending on the vapor pressure of the material) to control the concentration of vapors in the reservoir. A controlled flow of air is pulsed over the quartz wool releasing a preset quantity of vapors at the outlet. 10 figs.

  3. Numerical simulation of plasma processes driven by transverse ion heating

    NASA Technical Reports Server (NTRS)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  4. Simulations of chemical vapor deposition diamond film growth using a kinetic Monte Carlo model and two-dimensional models of microwave plasma and hot filament chemical vapor deposition reactors

    NASA Astrophysics Data System (ADS)

    May, P. W.; Harvey, J. N.; Allan, N. L.; Richley, J. C.; Mankelevich, Yu. A.

    2010-12-01

    A one-dimensional kinetic Monte Carlo (KMC) model has been developed to simulate the chemical vapor deposition of a diamond (100) surface under conditions used to grow single-crystal diamond (SCD), microcrystalline diamond (MCD), nanocrystalline diamond (NCD), and ultrananocrystalline diamond (UNCD) films. The model considers adsorption, etching/desorption, lattice incorporation and surface migration but not defect formation or renucleation processes. Two methods have been devised for estimation of the gas phase concentrations of species at the growing diamond surface, and are used to determine adsorption rates for C1Hx hydrocarbons for the different conditions. The rate of migration of adsorbed carbon species is governed by the availability of neighboring radical sites, which, in turn, depend upon the rates of H abstraction and of surface-radical migration. The KMC model predicts growth rates and surface roughness for each of diamond types consistent with experiment. In the absence of defect formation and renucleation the average surface diffusion length, ℓ, is a key parameter controlling surface morphology. When ℓ <2, surface migration is limited by the lack of availability of surface radical sites, and the migrating surface species simply hop back and forth between two adjacent sites but do not travel far beyond their initial adsorption site. Thus, Eley-Rideal processes dominate the growth, leading to the rough surfaces seen in NCD and UNCD. The maximum or "intrinsic" surface roughness occurs for nominally zero-migration conditions (ℓ =0) with an rms value of approximately five carbon atoms. Conversely, when migration occurs over greater distances (ℓ >2), Langmuir-Hinshelwood processes dominate the growth producing the smoother surfaces of MCD and SCD. By extrapolation, we predict that atomically smooth surfaces over large areas should occur once migrating species can travel approximately five sites (ℓ ˜5). β-scission processes are found to be

  5. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization whichmore » have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.« less

  6. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    NASA Astrophysics Data System (ADS)

    Locke, Bruce R.; Shih, Kai-Yuan

    2011-06-01

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 × 10-2 to 80 g kWh-1. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  7. Electrical Breakdown in Water Vapor

    NASA Astrophysics Data System (ADS)

    Škoro, N.; Marić, D.; Malović, G.; Graham, W. G.; Petrović, Z. Lj.

    2011-11-01

    In this paper investigations of the voltage required to break down water vapor are reported for the region around the Paschen minimum and to the left of it. In spite of numerous applications of discharges in biomedicine, and recent studies of discharges in water and vapor bubbles and discharges with liquid water electrodes, studies of the basic parameters of breakdown are lacking. Paschen curves have been measured by recording voltages and currents in the low-current Townsend regime and extrapolating them to zero current. The minimum electrical breakdown voltage for water vapor was found to be 480 V at a pressure times electrode distance (pd) value of around 0.6 Torr cm (˜0.8 Pa m). The present measurements are also interpreted using (and add additional insight into) the developing understanding of relevant atomic and particularly surface processes associated with electrical breakdown.

  8. Highly Efficient 2D/3D Hybrid Perovskite Solar Cells via Low-Pressure Vapor-Assisted Solution Process.

    PubMed

    Li, Ming-Hsien; Yeh, Hung-Hsiang; Chiang, Yu-Hsien; Jeng, U-Ser; Su, Chun-Jen; Shiu, Hung-Wei; Hsu, Yao-Jane; Kosugi, Nobuhiro; Ohigashi, Takuji; Chen, Yu-An; Shen, Po-Shen; Chen, Peter; Guo, Tzung-Fang

    2018-06-08

    The fabrication of multidimensional organometallic halide perovskite via a low-pressure vapor-assisted solution process is demonstrated for the first time. Phenyl ethyl-ammonium iodide (PEAI)-doped lead iodide (PbI 2 ) is first spin-coated onto the substrate and subsequently reacts with methyl-ammonium iodide (MAI) vapor in a low-pressure heating oven. The doping ratio of PEAI in MAI-vapor-treated perovskite has significant impact on the crystalline structure, surface morphology, grain size, UV-vis absorption and photoluminescence spectra, and the resultant device performance. Multiple photoluminescence spectra are observed in the perovskite film starting with high PEAI/PbI 2 ratio, which suggests the coexistence of low-dimensional perovskite (PEA 2 MA n -1 Pb n I 3 n +1 ) with various values of n after vapor reaction. The dimensionality of the as-fabricated perovskite film reveals an evolution from 2D, hybrid 2D/3D to 3D structure when the doping level of PEAI/PbI 2 ratio varies from 2 to 0. Scanning electron microscopy images and Kelvin probe force microscopy mapping show that the PEAI-containing perovskite grain is presumably formed around the MAPbI 3 perovskite grain to benefit MAPbI 3 grain growth. The device employing perovskite with PEAI/PbI 2 = 0.05 achieves a champion power conversion efficiency of 19.10% with an open-circuit voltage of 1.08 V, a current density of 21.91 mA cm -2 , and a remarkable fill factor of 80.36%. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  10. Vapor-liquid nucleation: the solid touch.

    PubMed

    Yarom, Michal; Marmur, Abraham

    2015-08-01

    Vapor-liquid nucleation is a ubiquitous process that has been widely researched in many disciplines. Yet, case studies are quite scattered in the literature, and the implications of some of its basic concepts are not always clearly stated. This is especially noticeable for heterogeneous nucleation, which involves a solid surface in touch with the liquid and vapor. The current review attempts to offer a comprehensive, though concise, thermodynamic discussion of homogeneous and heterogeneous nucleation in vapor-liquid systems. The fundamental concepts of nucleation are detailed, with emphasis on the role of the chemical potential, and on intuitive explanations whenever possible. We review various types of nucleating systems and discuss the effect of the solid geometry on the characteristics of the new phase formation. In addition, we consider the effect of mixing on the vapor-liquid equilibrium. An interesting sub-case is that of a non-volatile solute that modifies the chemical potential of the liquid, but not of the vapor. Finally, we point out topics that need either further research or more exact, accurate presentation. Copyright © 2014 Elsevier B.V. All rights reserved.

  11. Controlled vaporized cannabis, with and without alcohol: subjective effects and oral fluid-blood cannabinoid relationships.

    PubMed

    Hartman, Rebecca L; Brown, Timothy L; Milavetz, Gary; Spurgin, Andrew; Gorelick, David A; Gaffney, Gary; Huestis, Marilyn A

    2016-07-01

    Vaporized cannabis and concurrent cannabis and alcohol intake are commonplace. We evaluated the subjective effects of cannabis, with and without alcohol, relative to blood and oral fluid (OF, advantageous for cannabis exposure screening) cannabinoid concentrations and OF/blood and OF/plasma vaporized-cannabinoid relationships. Healthy adult occasional-to-moderate cannabis smokers received a vaporized placebo or active cannabis (2.9% and 6.7% Δ(9) -tetrahydrocannabinol, THC) with or without oral low-dose alcohol (~0.065g/210L peak breath alcohol concentration [BrAC]) in a within-subjects design. Blood and OF were collected up to 8.3 h post-dose and subjective effects measured at matched time points with visual-analogue scales and 5-point Likert scales. Linear mixed models evaluated subjective effects by THC concentration, BrAC, and interactions. Effects by time point were evaluated by dose-wise analysis of variance (ANOVA). OF versus blood or plasma cannabinoid ratios and correlations were evaluated in paired-positive specimens. Nineteen participants (13 men) completed the study. Blood THC concentration or BrAC significantly associated with subjective effects including 'high', while OF contamination prevented significant OF concentration associations <1.4 h post-dose. Subjective effects persisted through 3.3-4.3 h, with alcohol potentiating the duration of the cannabis effects. Effect-versus-THC concentration and effect-versus-alcohol concentration hystereses were counterclockwise and clockwise, respectively. OF/blood and OF/plasma THC significantly correlated (all Spearman r≥0.71), but variability was high. Vaporized cannabis subjective effects were similar to those previously reported after smoking, with duration extended by concurrent alcohol. Cannabis intake was identified by OF testing, but OF concentration variability limited interpretation. Blood THC concentrations were more consistent across subjects and more accurate at predicting cannabis' subjective

  12. Vapor crystal growth technology development: Application to cadmium telluride

    NASA Technical Reports Server (NTRS)

    Rosenberger, Franz; Banish, Michael; Duval, Walter M. B.

    1991-01-01

    Growth of bulk crystals by physical vapor transport was developed and applied to cadmium telluride. The technology makes use of effusive ampoules, in which part of the vapor contents escapes to a vacuum shroud through defined leaks during the growth process. This approach has the advantage over traditional sealed ampoule techniques that impurity vapors and excess vapor constituents are continuously removed from the vicinity of the growing crystal. Thus, growth rates are obtained routinely at magnitudes that are rather difficult to achieve in closed ampoules. Other advantages of this effusive ampoule physical vapor transport (EAPVT) technique include the predetermination of transport rates based on simple fluid dynamics and engineering considerations, and the growth of the crystal from close to congruent vapors, which largely alleviates the compositional nonuniformities resulting from buoyancy driven convective transport. After concisely reviewing earlier work on improving transport rates, nucleation control, and minimization of crystal wall interactions in vapor crystal growth, a detail account is given of the largely computer controlled EAPVT experimentation.

  13. Physicochemical mechanisms of plasma-liquid interactions within plasma channels in liquid

    NASA Astrophysics Data System (ADS)

    Franclemont, Joshua; Fan, Xiangru; Mededovic Thagard, Selma

    2015-10-01

    The goal of this study is to advance the fundamental understanding of the physical and chemical mechanisms by which excited radical species produced by electrical plasmas directly in water, OH radicals especially, induce chemical changes in aqueous organic compounds and to exploit this for the development and optimization of drinking and wastewater plasma-based treatment systems. To achieve this goal, this study measured and correlated the production rate of hydrogen peroxide (H2O2) with physicochemical properties of 11 organic compounds. The observed individual correlations between the investigated physicochemical properties and the resulting H2O2 concentrations were used to develop an equation that would allow predicting the measured H2O2 concentration from physicochemical properties of a compound. Results reveal that the production rate of H2O2 directly depends on the surface tension of the solution and compounds’ bulk liquid concentration, hydrophobicity (K ow value), and molecular volume. Other properties such as vapor pressure, Henry’s constant, enthalpy of vaporization, ionization energy, electron affinity, and molecular dipole moment do not affect the H2O2 chemistry. K ow value and surface tension of the solution determine the compound’s concentration at the plasma interface. Once at the interface, the molecular volume determines the rate at which the molecule will react with OH radicals.

  14. Fuel injector utilizing non-thermal plasma activation

    DOEpatents

    Coates, Don M [Santa Fe, NM; Rosocha, Louis A [Los Alamos, NM

    2009-12-01

    A non-thermal plasma assisted combustion fuel injector that uses an inner and outer electrode to create an electric field from a high voltage power supply. A dielectric material is operatively disposed between the two electrodes to prevent arcing and to promote the formation of a non-thermal plasma. A fuel injector, which converts a liquid fuel into a dispersed mist, vapor, or aerosolized fuel, injects into the non-thermal plasma generating energetic electrons and other highly reactive chemical species.

  15. Hybrid Vapor Stripping-Vapor Permeation Process for Recovery and Dehydration of 1-Butanol and Acetone/Butanol/Ethanol from Dilute Aqueous Solutions. Part 1. Process Simulations

    EPA Science Inventory

    BACKGROUND: Fermentative production of butanol is limited to low concentrations, typically less than 2 wt% solvent, due to product inhibition. The result is high separation energy demand by conventional distillation approaches, despite favorable vapor-liquid equilibrium and parti...

  16. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiO{sub x} layers for application in solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klingsporn, M.; Costina, I.; Kirner, S.

    2016-06-14

    Nanocrystalline silicon suboxides (nc-SiO{sub x}) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO{sub 0.8}:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressuremore » from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.« less

  17. Infrared Signature Masking by Air Plasma Radiation

    NASA Technical Reports Server (NTRS)

    Kruger, Charles H.; Laux, C. O.

    2001-01-01

    This report summarizes the results obtained during a research program on the infrared radiation of air plasmas conducted in the High Temperature Gasdynamics Laboratory at Stanford University under the direction of Professor Charles H. Kruger, with Dr. Christophe O. Laux as Associate Investigator. The goal of this research was to investigate the masking of infrared signatures by the air plasma formed behind the bow shock of high velocity missiles. To this end, spectral measurements and modeling were made of the radiation emitted between 2.4 and 5.5 micrometers by an atmospheric pressure air plasma in chemical and thermal equilibrium at a temperature of approximately 3000 K. The objective was to examine the spectral emission of air species including nitric oxide, atomic oxygen and nitrogen lines, molecular and atomic continua, as well as secondary species such as water vapor or carbon dioxide. The cold air stream injected in the plasma torch contained approximately 330 parts per million of CO2, which is the natural CO2 concentration in atmospheric air at room temperatures, and a small amount of water vapor with an estimated mole fraction of 3.8x10(exp -4).

  18. Atomic Processes and Diagnostics of Low Pressure Krypton Plasma

    NASA Astrophysics Data System (ADS)

    Srivastava, Rajesh; Goyal, Dipti; Gangwar, Reetesh; Stafford, Luc

    2015-03-01

    Optical emission spectroscopy along with suitable collisional-radiative (CR) model is used in plasma diagnostics. Importance of reliable cross-sections for various atomic processes is shown for low pressure argon plasma. In the present work, radially-averaged Kr emission lines from the 2pi --> 1sj were recorded as a function of pressure from 1 to 50mTorr. We have developed a CR model using our fine-structure relativistic-distorted wave cross sections. The various processes considered are electron-impact excitation, ionization and their reverse processes. The required rate coefficients have been calculated from these cross-sections assuming Maxwellian energy distribution. Electron temperature obtained from the CR model is found to be in good agreement with the probe measurements. Work is supported by IAEA Vienna, DAE-BRNS Mumbai and CSIR, New Delhi.

  19. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  20. Modeling the Enceladus Plasma and Neutral Torus in Saturn's Inner Magnetosphere

    NASA Astrophysics Data System (ADS)

    Jia, Yingdong; Russell, C. T.; Khurana, K. K.; Gombosi, T. I.

    2010-10-01

    Saturn's moon Enceladus, produces hundreds of kilograms of water vapor every second. These water molecules form a neutral torus which is comparable to the Io torus in the Jovian system. These molecules become ionized producing a plasma disk in the inner magnetosphere of Saturn which exchanges momentum with the "corotating” magnetospheric plasma. To balance the centripetal force of this plasma disk, Saturn's magnetic field is stretched in the radial direction and to accelerate the azimuthal speed to corotational values, the field is stretched in the azimuthal direction. At Enceladus the massive pickup of new ions from its plume slows down the corotating flow and breaks this force balance, causing plasma flows in the radial direction. Such radial flows in the inner magnetosphere of Saturn are supported by Cassini observations using various particle and field instruments. In this study we develop a global model of the inner magnetosphere of Saturn in an attempt to reproduce such processes.

  1. Enthalpy of Vaporization and Vapor Pressures: An Inexpensive Apparatus

    ERIC Educational Resources Information Center

    Battino, Rubin; Dolson, David A.; Hall, Michael A.; Letcher, Trevor M.

    2007-01-01

    A simple and inexpensive method to determine the enthalpy of vaporization of liquids by measuring vapor pressure as a function of temperature is described. The vapor pressures measured with the stopcock cell were higher than the literature values and those measured with the sidearm rubber septum cell were both higher and lower than literature…

  2. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  3. Plasma processing of large curved surfaces for superconducting rf cavity modification

    DOE PAGES

    Upadhyay, J.; Im, Do; Popović, S.; ...

    2014-12-15

    In this study, plasma based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. The development of the technology based on Cl 2/Ar plasma etching has to address several crucial parameters which influence the etching rate and surface roughness, and eventually, determine cavity performance. This includes dependence of the process on the frequency of the RF generator, gas pressure, power level, the driven (inner) electrode configuration, and the chlorine concentration in the gas mixture during plasma processing. To demonstrate surface layer removal in the asymmetric non-planar geometry, we are using a simplemore » cylindrical cavity with 8 ports symmetrically distributed over the cylinder. The ports are used for diagnosing the plasma parameters and as holders for the samples to be etched. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (RF) circuit elements, chlorine concentration in the Cl 2/Ar gas mixtures, residence time of reactive species and temperature of the cavity. Using cylindrical electrodes with variable radius, large-surface ring-shaped samples and d.c. bias implementation in the external circuit we have demonstrated substantial average etching rates and outlined the possibility to optimize plasma properties with respect to maximum surface processing effect.« less

  4. Atomic vapor quantum memory for a photonic polarization qubit.

    PubMed

    Cho, Young-Wook; Kim, Yoon-Ho

    2010-12-06

    We report an experimental realization of an atomic vapor quantum memory for the photonic polarization qubit. The performance of the quantum memory for the polarization qubit, realized with electromagnetically-induced transparency in two spatially separated ensembles of warm Rubidium atoms in a single vapor cell, has been characterized with quantum process tomography. The process fidelity better than 0.91 for up to 16 μs of storage time has been achieved.

  5. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    PubMed

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  6. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    NASA Astrophysics Data System (ADS)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  7. Water Vapor Corrosion in EBC Constituent Materials

    NASA Technical Reports Server (NTRS)

    Kowalski, Benjamin; Fox, Dennis; Jacobson, Nathan S.

    2017-01-01

    Environmental Barrier Coating (EBC) materials are sought after to protect ceramic matrix composites (CMC) in high temperature turbine engines. CMCs are particularly susceptible to degradation from oxidation, Ca-Al-Mg-Silicate (CMAS), and water vapor during high temperature operation which necessitates the use of EBCs. However, the work presented here focuses on water vapor induced recession in EBC constituent materials. For example, in the presence of water vapor, silica will react to form Si(OH)4 (g) which will eventually corrode the material away. To investigate the recession rate in EBC constituent materials under high temperature water vapor conditions, thermal gravimetric analysis (TGA) is employed. The degradation process can then be modeled through a simple boundary layer expression. Ultimately, comparisons are made between various single- and poly-crystalline materials (e.g. TiO2, SiO2) against those found in literature.

  8. Simulation of the dc Plasma in Carbon Nanotube Growth

    NASA Technical Reports Server (NTRS)

    Hash, David; Bose, Deepak; Govindan, T. R.; Meyyappan, M.; Biegel, Bryan (Technical Monitor)

    2003-01-01

    A model for the dc plasma used in carbon nanotube growth is presented, and one-dimensional simulations of an acetylene/ammonia/argon system are performed. The effect of dc bias is illustrated by examining electron temperature, electron and ion densities, and neutral densities. Introducing a tungsten filament in the dc plasma, as in hot filament chemical vapor deposition with plasma assistance, shows negligible influence on the system characteristics.

  9. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J.; Börner, K.

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steelmore » samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.« less

  10. Shock vaporization of carbonate and sulfate minerals

    NASA Astrophysics Data System (ADS)

    Shen, A. H.; Ahrens, T. J.; O'Keefe, J. D.

    2001-12-01

    Strong shock waves induced by impacts can cause vaporization of rocks and minerals. The products of such process play important roles in planetary differentiation (Yakovlev et al., Geochem. International, 38, 1027, 2000) and in effecting the planetary climate. Many experiments and computer simulations have been performed to simulate the Chicxulub impact at Cretaceous/Tertiary boundary (see, for example, Pierazzo et al., J. Geophys. Res., 103, 28607, 1998 and Pope et al., J. Geophys. Res., 102, 21645, 1997). However, the pressure range for incipient and complete vaporization of carbonates and sulfates are not well constrained, especially, for minerals with various initial porosities. Furthermore, evidence for chemical species in the products of vaporized carbonate and sulfate minerals is almost non-existing. In this study, we employed published Hugoniot data for carbonate and sulfate minerals. By using the methods described in Ahrens (J. Appl. Phys., 43, 2443, 1972) and Ahrens and O'Keefe (The Moon, 4, 214, 1972), we calculated the entropy associated with the thermodynamic states produced by hypervelocity impacts at various velocities for carbonate and sulfate minerals with different initial porosities. The results were compared with the entropy of incipient vaporization and complete vaporization of these minerals to determine the degree of vaporization due to impacts. Moreover, these results are utilized to guide our experimental study in speciation reactions in shock-induced vaporization of carbonates and sulfates.

  11. Complex (dusty) plasmas-kinetic studies of strong coupling phenomena

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morfill, Gregor E.; Ivlev, Alexei V.; Thomas, Hubertus M.

    2012-05-15

    'Dusty plasmas' can be found almost everywhere-in the interstellar medium, in star and planet formation, in the solar system in the Earth's atmosphere, and in the laboratory. In astrophysical plasmas, the dust component accounts for only about 1% of the mass, nevertheless this component has a profound influence on the thermodynamics, the chemistry, and the dynamics. Important physical processes are charging, sputtering, cooling, light absorption, and radiation pressure, connecting electromagnetic forces to gravity. Surface chemistry is another important aspect. In the laboratory, there is great interest in industrial processes (e.g., etching, vapor deposition) and-at the fundamental level-in the physics ofmore » strong coupling phenomena. Here, the dust (or microparticles) are the dominant component of the multi-species plasma. The particles can be observed in real time and space, individually resolved at all relevant length and time scales. This provides an unprecedented means for studying self-organisation processes in many-particle systems, including the onset of cooperative phenomena. Due to the comparatively large mass of the microparticles (10{sup -12}to10{sup -9}g), precision experiments are performed on the ISS. The following topics will be discussed: Phase transitions, phase separation, electrorheology, flow phenomena including the onset of turbulence at the kinetic level.« less

  12. Structure Formation in Complex Plasma

    DTIC Science & Technology

    2011-08-24

    Dewer bottle (upper figures) or in the vapor of liquid helium (lower figures). Liq. He Ring electrode Particles Green Laser RF Plasma ... Ring electrode CCD camera Prism mirror Liq. He Glass Tube Liq. N2 Glass Dewar Acrylic particles Gas Helium Green Laser CCD camera Pressure

  13. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    NASA Astrophysics Data System (ADS)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  14. Metal carbonyl vapor generation coupled with dielectric barrier discharge to avoid plasma quench for optical emission spectrometry.

    PubMed

    Cai, Yi; Li, Shao-Hua; Dou, Shuai; Yu, Yong-Liang; Wang, Jian-Hua

    2015-01-20

    The scope of dielectric barrier discharge (DBD) microplasma as a radiation source for optical emission spectrometry (OES) is extended by nickel carbonyl vapor generation. We proved that metal carbonyl completely avoids the extinguishing of plasma, and it is much more suitable for matching the DBD excitation and OES detection with respect to significant DBD quenching by concomitant hydrogen when hydride generation is used. A concentric quartz UV reactor allows sample solution to flow through the central channel wherein to efficiently receive the uniformly distributed UV irradiation in the confined cylindrical space between the concentric tubes, which facilitates effective carbonyl generation in a nickel solution. The carbonyl is transferred into the DBD excitation chamber by an argon stream for nickel excitation, and the characteristic emission of nickel at 232.0 nm is detected by a charge-coupled device (CCD) spectrometer. A 1.0 mL sample solution results in a linear range of 5-100 μg L(-1) along with a detection limit of 1.3 μg L(-1) and a precision of 2.4% RSD at 50 μg L(-1). The present DBD-OES system is validated by nickel in certified reference materials.

  15. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    NASA Astrophysics Data System (ADS)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  16. Petroleum Vapor Intrusion

    EPA Pesticide Factsheets

    One type of vapor intrusion is PVI, in which vapors from petroleum hydrocarbons such as gasoline, diesel, or jet fuel enter a building. Intrusion of contaminant vapors into indoor spaces is of concern.

  17. Fastest Formation Routes of Nanocarbons in Solution Plasma Processes.

    PubMed

    Morishita, Tetsunori; Ueno, Tomonaga; Panomsuwan, Gasidit; Hieda, Junko; Yoshida, Akihito; Bratescu, Maria Antoaneta; Saito, Nagahiro

    2016-11-14

    Although solution-plasma processing enables room-temperature synthesis of nanocarbons, the underlying mechanisms are not well understood. We investigated the routes of solution-plasma-induced nanocarbon formation from hexane, hexadecane, cyclohexane, and benzene. The synthesis rate from benzene was the highest. However, the nanocarbons from linear molecules were more crystalline than those from ring molecules. Linear molecules decomposed into shorter olefins, whereas ring molecules were reconstructed in the plasma. In the saturated ring molecules, C-H dissociation proceeded, followed by conversion into unsaturated ring molecules. However, unsaturated ring molecules were directly polymerized through cation radicals, such as benzene radical cation, and were converted into two- and three-ring molecules at the plasma-solution interface. The nanocarbons from linear molecules were synthesized in plasma from small molecules such as C 2 under heat; the obtained products were the same as those obtained via pyrolysis synthesis. Conversely, the nanocarbons obtained from ring molecules were directly synthesized through an intermediate, such as benzene radical cation, at the interface between plasma and solution, resulting in the same products as those obtained via polymerization. These two different reaction fields provide a reasonable explanation for the fastest synthesis rate observed in the case of benzene.

  18. Vapor-fed bio-hybrid fuel cell.

    PubMed

    Benyamin, Marcus S; Jahnke, Justin P; Mackie, David M

    2017-01-01

    Concentration and purification of ethanol and other biofuels from fermentations are energy-intensive processes, with amplified costs at smaller scales. To circumvent the need for these processes, and to potentially reduce transportation costs as well, we have previously investigated bio-hybrid fuel cells (FCs), in which a fermentation and FC are closely coupled. However, long-term operation requires strictly preventing the fermentation and FC from harming each other. We introduce here the concept of the vapor-fed bio-hybrid FC as a means of continuously extracting power from ongoing fermentations at ambient conditions. By bubbling a carrier gas (N 2 ) through a yeast fermentation and then through a direct ethanol FC, we protect the FC anode from the catalyst poisons in the fermentation (which are non-volatile), and also protect the yeast from harmful FC products (notably acetic acid) and from build-up of ethanol. Since vapor-fed direct ethanol FCs at ambient conditions have never been systematically characterized (in contrast to vapor-fed direct methanol FCs), we first assess the effects on output power and conversion efficiency of ethanol concentration, vapor flow rate, and FC voltage. The results fit a continuous stirred-tank reactor model. Over a wide range of ethanol partial pressures (2-8 mmHg), power densities are comparable to those for liquid-fed direct ethanol FCs at the same temperature, with power densities >2 mW/cm 2 obtained. We then demonstrate the continuous operation of a vapor-fed bio-hybrid FC with fermentation for 5 months, with no indication of performance degradation due to poisoning (of either the FC or the fermentation). It is further shown that the system is stable, recovering quickly from disturbances or from interruptions in maintenance. The vapor-fed bio-hybrid FC enables extraction of power from dilute bio-ethanol streams without costly concentration and purification steps. The concept should be scalable to both large and small

  19. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    NASA Astrophysics Data System (ADS)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  20. Vapor compression distiller and membrane technology for water revitalization

    NASA Technical Reports Server (NTRS)

    Ashida, A.; Mitani, K.; Ebara, K.; Kurokawa, H.; Sawada, I.; Kashiwagi, H.; Tsuji, T.; Hayashi, S.; Otsubo, K.; Nitta, K.

    1987-01-01

    Water revitalization for a space station can consist of membrane filtration processes and a distillation process. Water recycling equipment using membrane filtration processes was manufactured for ground testing. It was assembled using commercially available components. Two systems for the distillation are studied: one is absorption type thermopervaporation cell and the other is a vapor compression distiller. Absorption type thermopervaporation, able to easily produce condensed water under zero gravity, was investigated experimentally and through simulated calculation. The vapor compression distiller was studied experimentally and it offers significant energy savings for evaporation of water.