Sample records for vapor-deposited thin films

  1. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  2. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  3. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  5. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  6. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  7. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  8. Water-Assisted Vapor Deposition of PEDOT Thin Film.

    PubMed

    Goktas, Hilal; Wang, Xiaoxue; Ugur, Asli; Gleason, Karen K

    2015-07-01

    The synthesis and characterization of poly(3,4-ethylenedioxythiophene) (PEDOT) using water-assisted vapor phase polymerization (VPP) and oxidative chemical vapor deposition (oCVD) are reported. For the VPP PEDOT, the oxidant, FeCl3 , is sublimated onto the substrate from a heated crucible in the reactor chamber and subsequently exposed to 3,4-ethylenedioxythiophene (EDOT) monomer and water vapor in the same reactor. The oCVD PEDOT was produced by introducing the oxidant, EDOT monomer, and water vapor simultaneously to the reactor. The enhancement of doping and crystallinity is observed in the water-assisted oCVD thin films. The high doping level observed at UV-vis-NIR spectra for the oCVD PEDOT, suggests that water acts as a solubilizing agent for oxidant and its byproducts. Although the VPP produced PEDOT thin films are fully amorphous, their conductivities are comparable with that of the oCVD produced ones. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Chemical Vapor Deposition of Aluminum Oxide Thin Films

    ERIC Educational Resources Information Center

    Vohs, Jason K.; Bentz, Amy; Eleamos, Krystal; Poole, John; Fahlman, Bradley D.

    2010-01-01

    Chemical vapor deposition (CVD) is a process routinely used to produce thin films of materials via decomposition of volatile precursor molecules. Unfortunately, the equipment required for a conventional CVD experiment is not practical or affordable for many undergraduate chemistry laboratories, especially at smaller institutions. In an effort to…

  10. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  11. Low Temperature Chemical Vapor Deposition Of Thin Film Magnets

    DOEpatents

    Miller, Joel S.; Pokhodnya, Kostyantyn I.

    2003-12-09

    A thin-film magnet formed from a gas-phase reaction of tetracyanoetheylene (TCNE) OR (TCNQ), 7,7,8,8-tetracyano-P-quinodimethane, and a vanadium-containing compound such as vanadium hexcarbonyl (V(CO).sub.6) and bis(benzene)vanalium (V(C.sub.6 H.sub.6).sub.2) and a process of forming a magnetic thin film upon at least one substrate by chemical vapor deposition (CVD) at a process temperature not exceeding approximately 90.degree. C. and in the absence of a solvent. The magnetic thin film is particularly suitable for being disposed upon rigid or flexible substrates at temperatures in the range of 40.degree. C. and 70.degree. C. The present invention exhibits air-stable characteristics and qualities and is particularly suitable for providing being disposed upon a wide variety of substrates.

  12. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  13. Exploration of plasma-enhanced chemical vapor deposition as a method for thin-film fabrication with biological applications.

    PubMed

    Vasudev, Milana C; Anderson, Kyle D; Bunning, Timothy J; Tsukruk, Vladimir V; Naik, Rajesh R

    2013-05-22

    Chemical vapor deposition (CVD) has been used historically for the fabrication of thin films composed of inorganic materials. But the advent of specialized techniques such as plasma-enhanced chemical vapor deposition (PECVD) has extended this deposition technique to various monomers. More specifically, the deposition of polymers of responsive materials, biocompatible polymers, and biomaterials has made PECVD attractive for the integration of biotic and abiotic systems. This review focuses on the mechanisms of thin-film growth using low-pressure PECVD and current applications of classic PECVD thin films of organic and inorganic materials in biological environments. The last part of the review explores the novel application of low-pressure PECVD in the deposition of biological materials.

  14. Differential AC chip calorimeter for in situ investigation of vapor deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, Mathias; Schick, Christoph; Huth, Heiko; Schoifet, Evgeni; Ediger, Mark; Whitaker, Katie

    2012-02-01

    Physical vapor deposition (PVD) can be used to produce thin films with particular material properties like extraordinarily stable glasses of organic molecules. We describe an AC chip calorimeter for in-situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential AC chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling (FEM) of the sensor sample arrangement. A layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fits the experimental data.

  15. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  16. Development of plasma assisted thermal vapor deposition technique for high-quality thin film.

    PubMed

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10 -3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq -1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  17. Development of plasma assisted thermal vapor deposition technique for high-quality thin film

    NASA Astrophysics Data System (ADS)

    Lee, Kang-Il; Choi, Yong Sup; Park, Hyun Jae

    2016-12-01

    The novel technique of Plasma-Assisted Vapor Deposition (PAVD) is developed as a new deposition method for thin metal films. The PAVD technique yields a high-quality thin film without any heating of the substrate because evaporated particles acquire energy from plasma that is confined to the inside of the evaporation source. Experiments of silver thin film deposition have been carried out in conditions of pressure lower than 10-3 Pa. Pure silver plasma generation is verified by the measurement of the Ag-I peak using optical emission spectroscopy. A four point probe and a UV-VIS spectrophotometer are used to measure the electrical and optical properties of the silver film that is deposited by PAVD. For an ultra-thin silver film with a thickness of 6.5 nm, we obtain the result of high-performance silver film properties, including a sheet resistance <20 Ω sq-1 and a visible-range transmittance >75%. The PAVD-film properties show a low sheet resistance of 30% and the same transmittance with conventional thermal evaporation film. In the PAVD source, highly energetic particles and UV from plasma do not reach the substrate because the plasma is completely shielded by the optimized nozzle of the crucible. This new PAVD technique could be a realistic solution to improve the qualities of transparent electrodes for organic light emission device fabrication without causing damage to the organic layers.

  18. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  19. Differential alternating current chip calorimeter for in situ investigation of vapor-deposited thin films

    NASA Astrophysics Data System (ADS)

    Ahrenberg, M.; Shoifet, E.; Whitaker, K. R.; Huth, H.; Ediger, M. D.; Schick, C.

    2012-03-01

    Physical vapor deposition can be used to produce thin films with interesting material properties including extraordinarily stable organic glasses. We describe an ac chip calorimeter for in situ heat capacity measurements of as-deposited nanometer thin films of organic glass formers. The calorimetric system is based on a differential ac chip calorimeter which is placed in the vacuum chamber for physical vapor deposition. The sample is directly deposited onto one calorimetric chip sensor while the other sensor is protected against deposition. The device and the temperature calibration procedure are described. The latter makes use of the phase transitions of cyclopentane and the frequency dependence of the dynamic glass transition of toluene and ethylbenzene. Sample thickness determination is based on a finite element modeling of the sensor sample arrangement. In the modeling, a layer of toluene was added to the sample sensor and its thickness was varied in an iterative way until the model fit the experimental data.

  20. Method of forming ultra thin film devices by vacuum arc vapor deposition

    NASA Technical Reports Server (NTRS)

    Schramm, Harry F. (Inventor)

    2005-01-01

    A method for providing an ultra thin electrical circuit integral with a portion of a surface of an object, including using a focal Vacuum Arc Vapor Deposition device having a chamber, a nozzle and a nozzle seal, depressing the nozzle seal against the portion of the object surface to create an airtight compartment in the chamber and depositing one or more ultra thin film layer(s) only on the portion of the surface of the object, the layers being of distinct patterns such that they form the circuit.

  1. Method and apparatus for fabricating a thin-film solar cell utilizing a hot wire chemical vapor deposition technique

    DOEpatents

    Wang, Qi; Iwaniczko, Eugene

    2006-10-17

    A thin-film solar cell is provided. The thin-film solar cell comprises an a-SiGe:H (1.6 eV) n-i-p solar cell having a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer by hot wire chemical vapor deposition. A method for fabricating a thin film solar cell is also provided. The method comprises depositing a n-i-p layer at a deposition rate of at least ten (10) .ANG./second for the a-SiGe:H intrinsic layer.

  2. Femtosecond to nanosecond excited state dynamics of vapor deposited copper phthalocyanine thin films.

    PubMed

    Caplins, Benjamin W; Mullenbach, Tyler K; Holmes, Russell J; Blank, David A

    2016-04-28

    Vapor deposited thin films of copper phthalocyanine (CuPc) were investigated using transient absorption spectroscopy. Exciton-exciton annihilation dominated the kinetics at high exciton densities. When annihilation was minimized, the observed lifetime was measured to be 8.6 ± 0.6 ns, which is over an order of magnitude longer than previous reports. In comparison with metal free phthalocyanine (H2Pc), the data show evidence that the presence of copper induces an ultrafast relaxation process taking place on the ca. 500 fs timescale. By comparison to recent time-resolved photoemission studies, this is assigned as ultrafast intersystem crossing. As the intersystem crossing occurs ca. 10(4) times faster than lifetime decay, it is likely that triplets are the dominant excitons in vapor deposited CuPc films. The exciton lifetime of CuPc thin films is ca. 35 times longer than H2Pc thin films, while the diffusion lengths reported in the literature are typically quite similar for the two materials. These findings suggest that despite appearing to be similar materials at first glance, CuPc and H2Pc may transport energy in dramatically different ways. This has important implications on the design and mechanistic understanding of devices where phthalocyanines are used as an excitonic material.

  3. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  4. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  5. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  6. Atmospheric-Pressure Chemical Vapor Deposition of Iron Pyrite Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berry, Nicholas; Cheng, Ming; Perkins, Craig L.

    2012-10-23

    Iron pyrite (cubic FeS{sub 2}) is a promising candidate absorber material for earth-abundant thin-film solar cells. In this report, single-phase, large-grain, and uniform polycrystalline pyrite thin films are fabricated on glass and molybdenum-coated glass substrates by atmospheric-pressure chemical vapor deposition (AP-CVD) using the reaction of iron(III) acetylacetonate and tert-butyl disulfide in argon at 300 C, followed by sulfur annealing at 500--550 C to convert marcasite impurities to pyrite. The pyrite-marcasite phase composition depends strongly on the concentration of sodium in the growth substrate and the sulfur partial pressure during annealing. Phase and elemental composition of the films are characterized bymore » X-ray diffraction, Raman spectroscopy, Auger electron spectroscopy, secondary ion mass spectrometry, Rutherford backscattering spectrometry, and X-ray photoelectron spectroscopy. The in-plane electrical properties are surprisingly insensitive to phase and elemental impurities, with all films showing p-type, thermally activated transport with a small activation energy ({approx}30 meV), a room- temperature resistivity of {approx}1 {Omega} cm, and low mobility. These ubiquitous electrical properties may result from robust surface effects. These CVD pyrite thin films are well suited to fundamental electrical studies and the fabrication of pyrite photovoltaic device stacks.« less

  7. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, David K.

    1992-01-01

    Method and apparatus for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure.

  8. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films

    DOEpatents

    Liu, D.K.

    1992-12-15

    Method and apparatus are described for formation of an alloy thin film, or a mixed metal oxide thin film, on a substrate at relatively low temperatures. Precursor vapor(s) containing the desired thin film constituents is positioned adjacent to the substrate and irradiated by light having wavelengths in a selected wavelength range, to dissociate the gas(es) and provide atoms or molecules containing only the desired constituents. These gases then deposit at relatively low temperatures as a thin film on the substrate. The precursor vapor(s) is formed by vaporization of one or more precursor materials, where the vaporization temperature(s) is selected to control the ratio of concentration of metals present in the precursor vapor(s) and/or the total precursor vapor pressure. 7 figs.

  9. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    NASA Astrophysics Data System (ADS)

    Li, Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-04-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al2O3, ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al2O3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  10. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    PubMed

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  11. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    NASA Technical Reports Server (NTRS)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  12. Synthesis of thin films in boron-carbon-nitrogen ternary system by microwave plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kukreja, Ratandeep Singh

    The Boron Carbon Nitorgen (B-C-N) ternary system includes materials with exceptional properties such as wide band gap, excellent thermal conductivity, high bulk modulus, extreme hardness and transparency in the optical and UV range that find application in most fields ranging from micro-electronics, bio-sensors, and cutting tools to materials for space age technology. Interesting materials that belong to the B-C-N ternary system include Carbon nano-tubes, Boron Carbide, Boron Carbon Nitride (B-CN), hexagonal Boron Nitride ( h-BN), cubic Boron Nitride (c-BN), Diamond and beta Carbon Nitride (beta-C3N4). Synthesis of these materials requires precisely controlled and energetically favorable conditions. Chemical vapor deposition is widely used technique for deposition of thin films of ceramics, metals and metal-organic compounds. Microwave plasma enhanced chemical vapor deposition (MPECVD) is especially interesting because of its ability to deposit materials that are meta-stable under the deposition conditions, for e.g. diamond. In the present study, attempt has been made to synthesize beta-carbon nitride (beta-C3N4) and cubic-Boron Nitride (c-BN) thin films by MPECVD. Also included is the investigation of dependence of residual stress and thermal conductivity of the diamond thin films, deposited by MPECVD, on substrate pre-treatment and deposition temperature. Si incorporated CNx thin films are synthesized and characterized while attempting to deposit beta-C3N4 thin films on Si substrates using Methane (CH4), Nitrogen (N2), and Hydrogen (H2). It is shown that the composition and morphology of Si incorporated CNx thin film can be tailored by controlling the sequence of introduction of the precursor gases in the plasma chamber. Greater than 100mum size hexagonal crystals of N-Si-C are deposited when Nitrogen precursor is introduced first while agglomerates of nano-meter range graphitic needles of C-Si-N are deposited when Carbon precursor is introduced first in the

  13. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  14. A study on the radiation resistance of CdWO4 thin-film scintillators deposited by using an electron-beam physical vapor deposition method

    NASA Astrophysics Data System (ADS)

    Park, Seyong; Yoon, Young Soo

    2016-09-01

    In this paper, we report the first successful fabrication of CdWO4 thin film scintillators deposited on quartz glass substrates by using an electron-beam physical vapor deposition method. The films were dense, uniform, and crack-free. CdWO4 thin-film samples of varying thicknesses were investigated by using structural and optical characterization techniques. An optimized thickness for the CdWO4 thin-film scintillators was discovered. The scintillation and the optical properties were found to depend strongly on the annealing process. The annealing process resulted in thin films with a distinct crystal structure and with improved transparency and scintillation properties. For potential applications in gamma-ray energy storage systems, photoluminescence measurements were performed using gamma rays at a dose rate of 10 kGy h-1.

  15. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    NASA Astrophysics Data System (ADS)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  16. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J.; Halpern, B.L.

    1994-10-18

    A method and apparatus are disclosed for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases. 8 figs.

  17. Evaporation system and method for gas jet deposition of thin film materials

    DOEpatents

    Schmitt, Jerome J.; Halpern, Bret L.

    1994-01-01

    A method and apparatus for depositing thin films of materials such as metals, oxides and nitrides at low temperature relies on a supersonic free jet of inert carrier gas to transport vapor species generated from an evaporation source to the surface of a substrate. Film deposition vapors are generated from solid film precursor materials, including those in the form of wires or powders. The vapor from these sources is carried downstream in a low pressure supersonic jet of inert gas to the surface of a substrate where the vapors deposit to form a thin film. A reactant gas can be introduced into the gas jet to form a reaction product with the evaporated material. The substrate can be moved from the gas jet past a gas jet containing a reactant gas in which a discharge has been generated, the speed of movement being sufficient to form a thin film which is chemically composed of the evaporated material and reactant gases.

  18. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    NASA Astrophysics Data System (ADS)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  19. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  20. Hybrid Physical-Chemical Vapor Deposition of Bi2Se3 Thin films on Sapphire

    NASA Astrophysics Data System (ADS)

    Brom, Joseph; Ke, Yue; Du, Renzhong; Gagnon, Jarod; Li, Qi; Redwing, Joan

    2012-02-01

    High quality thin films of topological insulators continue to garner much interest. We report on the growth of highly-oriented thin films of Bi2Se3 on c-plane sapphire using hybrid physical-chemical vapor deposition (HPCVD). The HPCVD process utilizes the thermal decomposition of trimethyl bismuth (TMBi) and evaporation of elemental selenium in a hydrogen ambient to deposit Bi2Se3. Growth parameters including TMBi flow rate and decomposition temperature and selenium evaporation temperature were optimized, effectively changing the Bi:Se ratio, to produce high quality films. Glancing angle x- ray diffraction measurements revealed that the films were c-axis oriented on sapphire. Trigonal crystal planes were observed in atomic force microscopy images with an RMS surface roughness of 1.24 nm over an area of 2μmx2μm. Variable temperature Hall effect measurements were also carried out on films that were nominally 50-70 nm thick. Over the temperature range from 300K down to 4.2K, the carrier concentration remained constant at approximately 6x10^18 cm-3 while the mobility increased from 480 cm^2/Vs to 900 cm^2/Vs. These results demonstrate that the HPCVD technique can be used to deposit Bi2Se3 films with structural and electrical properties comparable to films produced by molecular beam epitaxy.

  1. Preparation and characterization of epitaxial MgO thin film by atmospheric-pressure metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zeng, J. M.; Wang, H.; Shang, S. X.; Wang, Z.; Wang, M.

    1996-12-01

    Magnesium oxide (MgO) thin films have been prepared on Si(100), {SiO2(100) }/{Si} and {Pt(111) }/{Si} substrates by atmospheric-pressure metalorganic chemical vapor deposition (AP-MOCVD) for the first time. The relationship between the temperature of substrates ( Ts) and crystallographic orientations was also investigated. Magnesium acetylacetonate [Mg(CH 2COCH 2COCH 3) 2] was used as the metalorganic source. The relatively low temperature of substrates is about 480°C and the MgO thin films obtained were uniform, dense and well-ordered single crystal. X-ray diffraction experiments provided evidence that the MgO thin films on Si(100) ( Ts ≈ 400-680°C), {SiO2}/{Si} and {Pt}/{Si} were fully textured with (100) orientation. The deliquescent character of MgO thin films was also studied.

  2. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    NASA Technical Reports Server (NTRS)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  3. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    PubMed

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  4. Semiconducting Properties of Nanostructured Amorphous Carbon Thin Films Incorporated with Iodine by Thermal Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kamaruzaman, Dayana; Ahmad, Nurfadzilah; Annuar, Ishak; Rusop, Mohamad

    2013-11-01

    Nanostructured iodine-post doped amorphous carbon (a-C:I) thin films were prepared from camphor oil using a thermal chemical vapor deposition (TCVD) technique at different doping temperatures. The structural properties of the films were studied by field-emission scanning electron microscopy (FESEM), energy-dispersive spectroscopy (EDS), Raman, and Fourier transform infrared (FTIR) studies. FESEM and EDS studies showed successful iodine doping. FTIR and Raman studies showed that the a-C:I thin films consisted of a mixture of sp2- and sp3-bonded carbon atoms. The optical and electrical properties of a-C:I thin films were determined by UV-vis-NIR spectroscopy and current-voltage (I-V) measurement respectively. The optical band gap of a-C thin films decreased upon iodine doping. The highest electrical conductivity was found at 400 °C doping. Heterojunctions are confirmed by rectifying the I-V characteristics of an a-C:I/n-Si junction.

  5. Scalable high-mobility MoS2 thin films fabricated by an atmospheric pressure chemical vapor deposition process at ambient temperature

    NASA Astrophysics Data System (ADS)

    Huang, Chung-Che; Al-Saab, Feras; Wang, Yudong; Ou, Jun-Yu; Walker, John C.; Wang, Shuncai; Gholipour, Behrad; Simpson, Robert E.; Hewak, Daniel W.

    2014-10-01

    Nano-scale MoS2 thin films are successfully deposited on a variety of substrates by atmospheric pressure chemical vapor deposition (APCVD) at ambient temperature, followed by a two-step annealing process. These annealed MoS2 thin films are characterized with scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), micro-Raman, X-ray diffraction (XRD), transmission electron microscopy (TEM), UV-VIS-NIR spectrometry, photoluminescence (PL) and Hall Effect measurement. Key optical and electronic properties of APCVD grown MoS2 thin films are determined. This APCVD process is scalable and can be easily incorporated with conventional lithography as the deposition is taking place at room temperature. We also find that the substrate material plays a significant role in the crystalline structure formation during the annealing process and single crystalline MoS2 thin films can be achieved by using both c-plane ZnO and c-plane sapphire substrates. These APCVD grown nano-scale MoS2 thin films show great promise for nanoelectronic and optoelectronic applications.

  6. The Characteristics of an Antibacterial TiAgN Thin Film Coated by Physical Vapor Deposition Technique.

    PubMed

    Kang, Byeong-Mo; Jeong, Woon-Jo; Park, Gye-Choon; Yoon, Dong-Joo; Ahn, Ho-Geun; Lim, Yeong-Seog

    2015-08-01

    In this work, we found the characteristics of an antibacterial TiAgN thin film coated on the pure titanium specimen via the physical vapor deposition process (PVD). TiAgN thin films were coated using TiAg alloy targets by arc ion plating method. Changing the process parameters, the surface analysis of TiAgN thin film was observed by FE-SEM and the force of adhesion was measured with Scratch Tester. The proliferation of human gingival fibroblast (HGF) cells was examined by XTT test assay and the antibacterial properties were investigated by culturing Streptococus Mutans (KCTC 3065) using paper disk techniques. At the result of experiment, cytotoxic effects were not found and the antibacterial effects against Streptococus Mutans were appeared over 5 wt% TiAgN specimens.

  7. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  8. Study of nanostructure and ethanol vapor sensing performance of WO3 thin films deposited by e-beam evaporation method under different deposition angles: application in breath analysis devices

    NASA Astrophysics Data System (ADS)

    Amani, E.; Khojier, K.; Zoriasatain, S.

    2018-01-01

    This paper studies the effect of deposition angle on the crystallographic structure, surface morphology, porosity and subsequently ethanol vapor sensing performance of e-beam-evaporated WO3 thin films. The WO3 thin films were deposited by e-beam evaporation technique on SiO2/Si substrates under different deposition angles (0°, 30°, and 60°) and then post-annealed at 500 °C with a flow of oxygen for 4 h. Crystallographic structure and surface morphology of the samples were checked using X-ray diffraction method and atomic force microscopy, respectively. Physical adsorption isotherm was also used to measure the porosity and effective surface area of the samples. The electrical response of the samples was studied to different concentrations of ethanol vapor (10-50 ppm) at the temperature range of 140-260 °C and relative humidity of 80%. The results reveal that the WO3 thin film deposited under 30° angle shows more sensitivity to ethanol vapor than the other samples prepared in this work due to the more crystallinity, porosity, and effective surface area. The investigations also show that the sample deposited at 30° can be a good candidate as a breath analysis device at the operating temperature of 240 °C because of its high response, low detection limit, and reliability at high relative humidity.

  9. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  10. Chemical vapor deposition and characterization of polysilanes polymer based thin films and their applications in compound semiconductors and silicon devices

    NASA Astrophysics Data System (ADS)

    Oulachgar, El Hassane

    As the semiconductors industry is moving toward nanodevices, there is growing need to develop new materials and thin films deposition processes which could enable strict control of the atomic composition and structure of thin film materials in order to achieve precise control on their electrical and optical properties. The accurate control of thin film characteristics will become increasingly important as the miniaturization of semiconductor devices continue. There is no doubt that chemical synthesis of new materials and their self assembly will play a major role in the design and fabrication of next generation semiconductor devices. The objective of this work is to investigate the chemical vapor deposition (CVD) process of thin film using a polymeric precursor as a source material. This process offers many advantages including low deposition cost, hazard free working environment, and most importantly the ability to customize the polymer source material through polymer synthesis and polymer functionalization. The combination between polymer synthesis and CVD process will enable the design of new generation of complex thin film materials with a wide range of improved chemical, mechanical, electrical and optical properties which cannot be easily achieved through conventional CVD processes based on gases and small molecule precursors. In this thesis we mainly focused on polysilanes polymers and more specifically poly(dimethylsilanes). The interest in these polymers is motivated by their distinctive electronic and photonic properties which are attributed to the delocalization of the sigma-electron along the Si-Si backbone chain. These characteristics make polysilane polymers very promising in a broad range of applications as a dielectric, a semiconductor and a conductor. The polymer-based CVD process could be eventually extended to other polymer source materials such as polygermanes, as well as and a variety of other inorganic and hybrid organic-inorganic polymers

  11. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  12. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  13. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  14. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  15. Preparation of pentacene thin film deposited using organic material auto-feeding system for the fabrication of organic thin film transistor.

    PubMed

    Kim, Young Baek; Choi, Bum Ho; Lim, Yong Hwan; Yoo, Ha Na; Lee, Jong Ho; Kim, Jin Hyeok

    2011-02-01

    In this study, pentacene organic thin film was prepared using newly developed organic material auto-feeding system integrated with linear cell and characterized. The newly developed organic material auto-feeding system consists of 4 major parts: reservoir, micro auto-feeder, vaporizer, and linear cell. The deposition of organic thin film could be precisely controlled by adjusting feeding rate, main tube size, position and size of nozzle. 10 nm thick pentacene thin film prepared on glass substrate exhibited high uniformity of 3.46% which is higher than that of conventional evaporation method using point cell. The continuous deposition without replenishment of organic material can be performed over 144 hours with regulated deposition control. The grain size of pentacene film which affect to mobility of OTFT, was controlled as a function of the temperature.

  16. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  17. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  18. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  19. Chemical Vapor Deposition for Ultra-lightweight Thin-film Solar Arrays for Space

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Jin, Michael H.; Lau, Janice E.; Harris, Jerry D.; Cowen, Jonathan E.; Duraj, Stan A.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power, (W/kg). The use of a polycrystalline chalcopyrite absorber layer for thin film solar cells is considered as the next generation photovoltaic devices. A key technical issues outlined in the 2001 U.S. Photovoltaic Roadmap, is the need to develop low cost, high throughput manufacturing for high-efficiency thin film solar cells. At NASA GRC we have focused on the development of new single-source-precursors (SSPs) and their utility to deposit the chalcopyrite semi-conducting layer (CIS) onto flexible substrates for solar cell fabrication. The syntheses and thermal modulation of SSPs via molecular engineering is described. Thin-film fabrication studies demonstrate the SSPs can be used in a spray CVD process, for depositing CIS at reduced temperatures, which display good electrical properties, suitable for PV devices.

  20. Optical and compositional characterization of SiOxNy and SiOx thin films deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Roschuk, T.; Wojcik, J.; Tan, X.; Davies, J. A.; Mascher, P.

    2004-05-01

    Thin silicon oxynitride (SiOxNy) and silicon-rich silicon-oxide (SiOx,x<=2) films of varying composition have been deposited by electron cyclotron resonance plasma enhanced chemical vapor deposition. Films were deposited using various source gas flow rates while maintaining a constant chamber pressure. Thicknesses and refractive indices for these films were determined using ellipsometry. Bonding of the constituent atoms was analyzed using Fourier transform infrared (FTIR) spectroscopy. FTIR spectroscopy also allowed for the detection of bonded species such as hydrogen. Compositional characteristics were determined using various forms of ion beam analysis such as Rutherford backscattering and elastic recoil detection. These analysis techniques were used to determine the values of x and y, the molar fractions of oxygen and nitrogen, respectively, and the total amount of hydrogen present in the films. Using the results obtained from these methods the film characteristics were determined as a function of the deposition conditions. .

  1. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  2. Aerosol-assisted chemical vapor deposition of ultra-thin CuOx films as hole transport material for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Zhang, Zhixin; Chen, Shuqun; Li, Pingping; Li, Hongyi; Wu, Junshu; Hu, Peng; Wang, Jinshu

    This paper reports on the fabrication of CuOx films to be used as hole transporting layer (HTL) in CH3NH3PbI3 perovskite solar cells (PSCs). Ultra-thin CuOx coatings were grown onto FTO substrates for the first time via aerosol-assisted chemical vapor deposition (AACVD) of copper acetylacetonate in methanol. After incorporating into the PSCs prepared at ambient air, a highest power conversion efficiency (PCE) of 8.26% with HTL and of 3.34% without HTL were achieved. Our work represents an important step in the development of low-cost CVD technique for fabricating ultra-thin metal oxide functional layers in thin film photovoltaics.

  3. A review of melt and vapor growth techniques for polydiacetylene thin films for nonlinear optical applications

    NASA Technical Reports Server (NTRS)

    Penn, B. G.; Shields, A.; Frazier, D. O.

    1988-01-01

    Methods for the growth of polydiacetylene thin films by melt and vapor growth and their subsequent polymerization are summarized. Films with random orientations were obtained when glass or quartz were used as substrates in the vapor growth process. Oriented polydiacetylene films were fabricated by the vapor deposition of diacetylene monomer onto oriented polydiacetylene on a glass substrate and its subsequent polymerization by UV light. A method for the growth of oriented thin films by a melt-shear growth process as well as a method of film growth by seeded recrstallization from the melt between glass plates, that may be applied to the growth of polydiacetylene films, are described. Moreover, a method is presented for the fabrication of single crystal thin films of polyacetylenes by irradiation of the surface of diacetylene single crystals to a depth between 100 and 2000 angstroms.

  4. Model for the Vaporization of Mixed Organometallic Compounds in the Metalorganic Chemical Vapor Deposition of High Temperature Superconducting Films

    NASA Technical Reports Server (NTRS)

    Meng, Guangyao; Zhou, Gang; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1993-01-01

    A model of the vaporization and mass transport of mixed organometallics from a single source for thin film metalorganic chemical vapor deposition is presented. A stoichiometric gas phase can be obtained from a mixture of the organometallics in the desired mole ratios, in spite of differences in the volatilities of the individual compounds. Proper film composition and growth rates are obtained by controlling the velocity of a carriage containing the organometallics through the heating zone of a vaporizer.

  5. A kinetic model for the characteristic surface morphologies of thin films by directional vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Huang, Po-Yu

    2017-12-01

    In order to simulate a process of directional vapor deposition, in this study, a numerical approach was applied to model the growth and evolution of surface morphologies for the crystallographic structures of thin films. The critical factors affecting the surface morphologies in a deposition process, such as the crystallographic symmetry, anisotropic interfacial energy, shadowing effect, and deposition rate, were all enclosed in the theoretical model. By altering the parameters of crystallographic symmetry in the structures, the faceted nano-columns with rectangular and hexagonal shapes were established in the simulation results. Furthermore, for revealing the influences of the anisotropic strength and the deposition rate theoretically on the crystallographic structure formations, various parameters adjusted in the numerical calculations were also investigated. Not only the morphologies but also the surface roughnesses for different processing conditions were distinctly demonstrated with the quantitative analysis of the simulations.

  6. Structural and electrical characteristics of gallium tin oxide thin films prepared by electron cyclotron resonance-metal organic chemical vapor deposition.

    PubMed

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-08-01

    Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.

  7. The thermal conductivity of chemical-vapor-deposited diamond films on silicon

    NASA Astrophysics Data System (ADS)

    Graebner, J. E.; Mucha, J. A.; Seibles, L.; Kammlott, G. W.

    1992-04-01

    The thermal conductivity of chemical-vapor-deposited diamond films on silicon is measured for the case of heat flow parallel to the plane of the film. A new technique uses thin-film heaters and thermometers on a portion of the film which is made to be free standing by etching away the substrate. Effects of thermal radiation are carefully avoided by choosing the length scale properly. Data for several films yield thermal conductivities in the range 2-6 W/cm C. This is comparable to copper (4 W/cm C) and is in a range that would be useful as a thin-film dielectric material, provided that the interface thermal resistance can be minimized. The conductivity varies inversely with the growth rate and the Raman linewidth.

  8. In-space fabrication of thin-film structures

    NASA Technical Reports Server (NTRS)

    Lippman, M. E.

    1972-01-01

    A conceptual study of physical vapor-deposition processes for in-space fabrication of thin-film structures is presented. Potential advantages of in-space fabrication are improved structural integrity and surface reflectivity of free-standing ultra-thin films and coatings. Free-standing thin-film structures can find use as photon propulsion devices (solar sails). Other applications of the concept involve free-standing shadow shields, or thermal control coatings of spacecraft surfaces. Use of expendables (such as booster and interstage structures) as source material for the physical vapor deposition process is considered. The practicability of producing thin, textured, aluminum films by physical vapor deposition and subsequent separation from a revolving substrate is demonstrated by laboratory experiments. Heating power requirement for the evaporation process is estimated for a specific mission.

  9. Thin film solar cells with Si nanocrystallites embedded in amorphous intrinsic layers by hot-wire chemical vapor deposition.

    PubMed

    Park, Seungil; Parida, Bhaskar; Kim, Keunjoo

    2013-05-01

    We investigated the thin film growths of hydrogenated silicon by hot-wire chemical vapor deposition with different flow rates of SiH4 and H2 mixture ambient and fabricated thin film solar cells by implementing the intrinsic layers to SiC/Si heterojunction p-i-n structures. The film samples showed the different infrared absorption spectra of 2,000 and 2,100 cm(-1), which are corresponding to the chemical bonds of SiH and SiH2, respectively. The a-Si:H sample with the relatively high silane concentration provides the absorption peak of SiH bond, but the microc-Si:H sample with the relatively low silane concentration provides the absorption peak of SiH2 bond as well as SiH bond. Furthermore, the microc-Si:H sample showed the Raman spectral shift of 520 cm(-1) for crystalline phase Si bonds as well as the 480 cm(-1) for the amorphous phase Si bonds. These bonding structures are very consistent with the further analysis of the long-wavelength photoconduction tail and the formation of nanocrystalline Si structures. The microc-Si:H thin film solar cell has the photovoltaic behavior of open circuit voltage similar to crystalline silicon thin film solar cell, indicating that microc-Si:H thin film with the mixed phase of amorphous and nanocrystalline structures show the carrier transportation through the channel of nanocrystallites.

  10. Effect of Hydrogen in Zinc Oxide Thin-Film Transistor Grown by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik

    2007-04-01

    We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.

  11. Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment

    NASA Technical Reports Server (NTRS)

    Levy, Moises; Sarma, Bimal K.

    1994-01-01

    Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.

  12. Thin-film semiconductor rectifier has improved properties

    NASA Technical Reports Server (NTRS)

    1966-01-01

    Cadmium selenide-zinc selenide film is used as a thin film semiconductor rectifier. The film is vapor-deposited in a controlled concentration gradient into a glass substrate to form the required junctions between vapor-deposited gold electrodes.

  13. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  14. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated thatmore » a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.« less

  15. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  16. Growth of high-quality thin-film Ge single crystals by plasma-enhanced chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Outlaw, R. A.; Hopson, P., Jr.

    1986-01-01

    Thin-film Ge single crystals (approx. 10 microns) have been epitaxially grown on polished NaCl(100) substrates at 450C by using plasma-enhanced chemical vapor deposition. Films on approximately 1 sq cm and larger were separated from the NaCl by either melting the salt or by differential shear stress upon cooling to room temperature. The ordered growth of the Ge was found to be most sensitive to the initial plasma power and to the continuum flow dynamics within the carbon susceptor. The films were visually specular and exhibited a high degree of crysalline order when examined by X-ray diffraction. The films were found to be p-type with a carrier concentration of approximately 3 x 10 to the 16th power/cu cm, a resistivity of 0.11 ohm-cm, and a Hall hole mobility of 1820 sq cm/v/s at room temperature. Vacuum firing minimized the primary contaminant, Na, and corresponding lowered the carrier concentration to 4 x 10 to the 14th power/cu cm.

  17. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    Marshall Space Flight Center (MSFC) is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) to deposit hard thin film on stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  18. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    MSFC is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using electron cyclotron resonance chemical vapor deposition (ECRCVD) to deposit hard thin film an stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  19. On the influence of DC electric fields on the aerosol assisted chemical vapor deposition growth of photoactive titanium dioxide thin films.

    PubMed

    Romero, Luz; Binions, Russell

    2013-11-05

    Titanium dioxide thin films were deposited on fluorine doped tin oxide glass substrate from the electric field assisted aerosol chemical vapor deposition (EACVD) reaction of titanium isopropoxide (TTIP, Ti(OC3H7)4) in toluene on glass substrates at a temperature of 450 °C. DC electric fields were generated by applying a potential difference between the electrodes of the transparent coated oxide coated glass substrates during the deposition. The deposited films were characterized using scanning electron microscopy, X-ray diffraction, atomic force microscopy, Raman spectroscopy, and UV-vis spectroscopy. The photoactivity and hydrophilicity of the deposited films were also analyzed using a dye-ink test and water-contact angle measurements. The characterization work revealed that the incorporation of DC electric fields produced significant reproducible changes in the film microstructure, preferred crystallographic orientation, roughness, and film thickness. Photocatalytic activity was calculated from the half-time (t1/2) or time taken to degrade 50% of the initial resazurin dye concentration. A large improvement in photocatalytic activity was observed for films deposited using an electric field with a strong orientation in the (004) direction (t1/2 17 min) as compared to a film deposited with no electric field (t1/2 40 min).

  20. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  1. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    PubMed

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  2. Growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tokita, Koji; Okada, Fumio

    1996-12-01

    The growth of metal oxide thin films by laser-induced metalorganic chemical vapor deposition was investigated by changing wavelength, power, repetition rate, and irradiation angle of the excimer laser. When O2 was used as an oxidizing gas with 193 or 248 nm irradiation, amorphous TiO2 and crystalline PbO films were obtained in the laser-irradiated area of Si substrates from the parent metalorganic compounds, Ti(O-iC3H7)4 and (C2H5)3PbOCH2C(CH3)3, respectively. In contrast, no ZrO2 film could be formed from Zr(O-tC4H9)4. One-photon formation of TiO2 films was confirmed from laser power dependence measurements. The maximum growth rate of 0.05 Å per laser pulse was compared with that estimated by a simple surface reaction model, according to which the slow growth rate is due to the small absorption cross section of Ti(O-iC3H7)4 and mild fluence of laser irradiation. In experiments of ozone gas excitation by KrF laser, a SiO2 film was obtained by gas-phase reactions of the oxygen radical, O(1D), with Si(O-C2H5)4. The direct patterning of TiO2 and PbO films as well as the possibility of producing patterned PbTiO3 film was demonstrated. The growth of the patterned SiO2 film was prevented by gas-phase diffusion of intermediates.

  3. Idiosyncrasies of Physical Vapor Deposition Processes from Various Knudsen Cells for Quinacridone Thin Film Growth on Silicon Dioxide

    PubMed Central

    2015-01-01

    Thin films of quinacridone deposited by physical vapor deposition on silicon dioxide were investigated by thermal desorption spectroscopy (TDS), mass spectrometry (MS), atomic force microscopy (AFM), specular and grazing incidence X-ray diffraction (XRD, GIXD), and Raman spectroscopy. Using a stainless steel Knudsen cell did not allow the preparation of a pure quinacridone film. TDS and MS unambiguously showed that in addition to quinacridone, desorbing at about 500 K (γ-peak), significant amounts of indigo desorbed at about 420 K (β-peak). The existence of these two species on the surface was verified by XRD, GIXD, and Raman spectroscopy. The latter spectroscopies revealed that additional species are contained in the films, not detected by TDS. In the film mainly composed of indigo a species was identified which we tentatively attribute to carbazole. The film consisting of mainly quinacridone contained in addition p-sexiphenyl. The reason for the various decomposition species effusing from the metal Knudsen cell is the comparably high sublimation temperature of the hydrogen bonded quinacridone. With special experimental methods and by using glass Knudsen-type cells we were able to prepare films which exclusively consist of molecules either corresponding to the β-peak or the γ-peak. These findings are of relevance for choosing the proper deposition techniques in the preparation of quinacridone films in the context of organic electronic devices. PMID:26401189

  4. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chason, E.; Karlson, M.; Colin, J. J.

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on themore » grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.« less

  5. Amorphous indium-gallium-zinc-oxide thin-film transistors using organic-inorganic hybrid films deposited by low-temperature plasma-enhanced chemical vapor deposition for all dielectric layers

    NASA Astrophysics Data System (ADS)

    Hsu, Chao-Jui; Chang, Ching-Hsiang; Chang, Kuei-Ming; Wu, Chung-Chih

    2017-01-01

    We investigated the deposition of high-performance organic-inorganic hybrid dielectric films by low-temperature (close to room temperature) inductively coupled plasma chemical vapor deposition (ICP-CVD) with hexamethyldisiloxane (HMDSO)/O2 precursor gas. The hybrid films exhibited low leakage currents and high breakdown fields, suitable for thin-film transistor (TFT) applications. They were successfully integrated into the gate insulator, the etch-stop layer, and the passivation layer for bottom-gate staggered amorphous In-Ga-Zn-O (a-IGZO) TFTs having the etch-stop configuration. With the double-active-layer configuration having a buffer a-IGZO back-channel layer grown in oxygen-rich atmosphere for better immunity against plasma damage, the etch-stop-type bottom-gate staggered a-IGZO TFTs with good TFT characteristics were successfully demonstrated. The TFTs showed good field-effect mobility (μFE), threshold voltage (V th), subthreshold swing (SS), and on/off ratio (I on/off) of 7.5 cm2 V-1 s-1, 2.38 V, 0.38 V/decade, and 2.2 × 108, respectively, manifesting their usefulness for a-IGZO TFTs.

  6. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  7. Thin films of mixed metal compounds

    DOEpatents

    Mickelsen, Reid A.; Chen, Wen S.

    1985-01-01

    A compositionally uniform thin film of a mixed metal compound is formed by simultaneously evaporating a first metal compound and a second metal compound from independent sources. The mean free path between the vapor particles is reduced by a gas and the mixed vapors are deposited uniformly. The invention finds particular utility in forming thin film heterojunction solar cells.

  8. In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD

    NASA Technical Reports Server (NTRS)

    Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.

    1990-01-01

    Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.

  9. Thermoelectric prospects of chemically deposited PbSe and SnSe thin films

    NASA Astrophysics Data System (ADS)

    Nair, P. K.; Martínez, Ana Karen; Rosa García Angelmo, Ana; Barrios Salgado, Enue; Nair, M. T. S.

    2018-03-01

    Thin films of PbSe of 400-600 nm in thickness, were obtained via chemical deposition from a solution containing lead nitrate, thiourea and selenosufate. SnSe thin films of 90-180 nm in thickness, were also obtained by chemical deposition from a solution containing selenosulfate. Optical and electrical properties of these thin films were significantly altered by heating them in selenium vapor at 300 °C. Thin film PbSe has a bandgap (Eg) of 1.17 eV (direct gap, forbidden transitions), which decreases to 0.77 eV when it has been heated. Its electrical conductivity (σ) is p-type: 0.18 Ω-1 cm-1 (as-prepared), and 6.4 Ω-1 cm-1 when heated. Thin film SnSe is of orthorhombic crystalline structure which remains stable when heated at 300 °C, but its Eg increases from 1.12 eV (indirect) in as-prepared film to 1.5 eV (direct, forbidden transitions) upon heating. Its electrical conductivity is p-type, which increases from 0.3 Ω-1 cm-1 (as-prepared) to 1 Ω-1 cm-1 when heated (without Se-vapor). When SnSe film is heated at 300 °C in the presence of Se-vapor, they transform to SnSe2, with Eg of 1.5 eV (direct, forbidden) with n-type electrical conductivity, 11 Ω-1 cm-1. The Seebeck coefficient for the PbSe films is: +0.55 mV K-1 (as prepared) and +0.275 mV K-1 (heated); for SnSe films it is: +0.3 mV K-1 (as prepared) and +0.20 mV K-1 (heated); and for SnSe2 film, - 0.35 mV K-1. A five-element PbSe-SnSe2-PbSe-SnSe2-PbSe thermoelectric device demonstrated 50 mV for a temperature difference ΔT = 20 °C (2.5 mV K-1). For SnSe-SnSe2-SnSe-SnSe2-SnSe device, the value is 15 mV for ΔT = 20 °C (0.75 mV K-1). Prospect of these thin films in thermoelectric devices of hybrid materials, in which the coatings may be applied on distinct substrate and geometries is attractive.

  10. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    NASA Astrophysics Data System (ADS)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  11. Physical vapor deposited thin films of lignins extracted from sugar cane bagasse: morphology, electrical properties, and sensing applications.

    PubMed

    Volpati, Diogo; Machado, Aislan D; Olivati, Clarissa A; Alves, Neri; Curvelo, Antonio A S; Pasquini, Daniel; Constantino, Carlos J L

    2011-09-12

    The concern related to the environmental degradation and to the exhaustion of natural resources has induced the research on biodegradable materials obtained from renewable sources, which involves fundamental properties and general application. In this context, we have fabricated thin films of lignins, which were extracted from sugar cane bagasse via modified organosolv process using ethanol as organic solvent. The films were made using the vacuum thermal evaporation technique (PVD, physical vapor deposition) grown up to 120 nm. The main objective was to explore basic properties such as electrical and surface morphology and the sensing performance of these lignins as transducers. The PVD film growth was monitored via ultraviolet-visible (UV-vis) absorption spectroscopy and quartz crystal microbalance, revealing a linear relationship between absorbance and film thickness. The 120 nm lignin PVD film morphology presented small aggregates spread all over the film surface on the nanometer scale (atomic force microscopy, AFM) and homogeneous on the micrometer scale (optical microscopy). The PVD films were deposited onto Au interdigitated electrode (IDE) for both electrical characterization and sensing experiments. In the case of electrical characterization, current versus voltage (I vs V) dc measurements were carried out for the Au IDE coated with 120 nm lignin PVD film, leading to a conductivity of 3.6 × 10(-10) S/m. Using impedance spectroscopy, also for the Au IDE coated with the 120 nm lignin PVD film, dielectric constant of 8.0, tan δ of 3.9 × 10(-3), and conductivity of 1.75 × 10(-9) S/m were calculated at 1 kHz. As a proof-of-principle, the application of these lignins as transducers in sensing devices was monitored by both impedance spectroscopy (capacitance vs frequency) and I versus time dc measurements toward aniline vapor (saturated atmosphere). The electrical responses showed that the sensing units are sensible to aniline vapor with the process being

  12. Fabrication of zinc-dicarboxylate- and zinc-pyrazolate-carboxylate-framework thin films through vapour-solid deposition.

    PubMed

    Medishetty, Raghavender; Zhang, Zongji; Sadlo, Alexander; Cwik, Stefan; Peeters, Daniel; Henke, Sebastian; Mangayarkarasi, Nagarathinam; Devi, Anjana

    2018-05-17

    Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure.

  13. Method and system using power modulation for maskless vapor deposition of spatially graded thin film and multilayer coatings with atomic-level precision and accuracy

    DOEpatents

    Montcalm, Claude [Livermore, CA; Folta, James Allen [Livermore, CA; Tan, Swie-In [San Jose, CA; Reiss, Ira [New City, NY

    2002-07-30

    A method and system for producing a film (preferably a thin film with highly uniform or highly accurate custom graded thickness) on a flat or graded substrate (such as concave or convex optics), by sweeping the substrate across a vapor deposition source operated with time-varying flux distribution. In preferred embodiments, the source is operated with time-varying power applied thereto during each sweep of the substrate to achieve the time-varying flux distribution as a function of time. A user selects a source flux modulation recipe for achieving a predetermined desired thickness profile of the deposited film. The method relies on precise modulation of the deposition flux to which a substrate is exposed to provide a desired coating thickness distribution.

  14. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, A.F.; Makowiecki, D.M.; Rambach, G.D.; Randich, E.

    1998-05-19

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated. 8 figs.

  15. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1999-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  16. Hybrid deposition of thin film solid oxide fuel cells and electrolyzers

    DOEpatents

    Jankowski, Alan F.; Makowiecki, Daniel M.; Rambach, Glenn D.; Randich, Erik

    1998-01-01

    The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

  17. Influence of the normalized ion flux on the constitution of alumina films deposited by plasma-assisted chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurapov, Denis; Reiss, Jennifer; Trinh, David H.

    2007-07-15

    Alumina thin films were deposited onto tempered hot working steel substrates from an AlCl{sub 3}-O{sub 2}-Ar-H{sub 2} gas mixture by plasma-assisted chemical vapor deposition. The normalized ion flux was varied during deposition through changes in precursor content while keeping the cathode voltage and the total pressure constant. As the precursor content in the total gas mixture was increased from 0.8% to 5.8%, the deposition rate increased 12-fold, while the normalized ion flux decreased by approximately 90%. The constitution, morphology, impurity incorporation, and the elastic properties of the alumina thin films were found to depend on the normalized ion flux. Thesemore » changes in structure, composition, and properties induced by normalized ion flux may be understood by considering mechanisms related to surface and bulk diffusion.« less

  18. Effects of Deposition Parameters on Thin Film Properties of Silicon-Based Electronic Materials Deposited by Remote Plasma-Enhanced Chemical-Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Theil, Jeremy Alfred

    The motivation of this thesis is to discuss the major issues of remote plasma enhanced chemical vapor deposition (remote PECVD) that affect the properties Si-based thin films. In order to define the issues required for process optimization, the behavior of remote PECVD process must be understood. The remote PECVD process is defined as having four segments: (1) plasma generation, (2) excited species extraction, (3) excited species/downstream gas mixing, and (4) surface reaction. The double Langmuir probe technique is employed to examine plasma parameters under 13.56 MHz and 2.54 GHz excitation. Optical emission spectroscopy is used to determine changes in the excited states of radiating species in the plasma afterglow. Mass spectrometry is used to determine the excitation and consumption of process gases within the reactor during film growth. Various analytical techniques such as infrared absorption spectroscopy, (ir), high resolution transmission electron microscopy, (HRTEM), and reflected high energy electron diffraction, (RHEED), are used to ascertain film properties. The results of the Langmuir probe show that plasma coupling is frequency dependent and that the capacitive coupling mode is characterized by orders of magnitude higher electron densities in the reactor than inductive coupling. These differences can be manifested in the degree to which a hydrogenated amorphous silicon, a-Si:H, component co-deposition reaction affects film stoichiometry. Mass spectrometry shows that there is an additional excitation source in the downstream glow. In addition the growth of microcrystalline silicon, muc-Si, is correlated with the decrease in the production of disilane and heavier Si-containing species. Chloronium, H_2 Cl^{+}, a super acid ion is identified for the first time in a CVD reactor. It forms from plasma fragmentation of SiH_2 Cl_2, and H_2 . Addition of impurity gases was shown not to affect the electron temperature of the plasma. By products of deposition

  19. Surface Morphology of Vapor-Deposited Chitosan: Evidence of Solid-State Dewetting during the Formation of Biopolymer Films.

    PubMed

    Retamal, Maria Jose; Corrales, Tomas P; Cisternas, Marcelo A; Moraga, Nicolas H; Diaz, Diego I; Catalan, Rodrigo E; Seifert, Birger; Huber, Patrick; Volkmann, Ulrich G

    2016-03-14

    Chitosan is a useful and versatile biopolymer with several industrial and biological applications. Whereas its physical and physicochemical bulk properties have been explored quite intensively in the past, there is a lack of studies regarding the morphology and growth mechanisms of thin films of this biopolymer. Of particular interest for applications in bionanotechnology are ultrathin films with thicknesses under 500 Å. Here, we present a study of thin chitosan films prepared in a dry process using physical vapor deposition and in situ ellipsometric monitoring. The prepared films were analyzed with atomic force microscopy in order to correlate surface morphology with evaporation parameters. We find that the surface morphology of our final thin films depends on both the optical thickness, i.e., measured with ellipsometry, and the deposition rate. Our work shows that ultrathin biopolymer films can undergo dewetting during film formation, even in the absence of solvents and thermal annealing.

  20. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  1. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  2. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  3. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  4. Effect of processing parameters on microstructure of MoS{sub 2} ultra-thin films synthesized by chemical vapor deposition method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Yang; You, Suping; Sun, Kewei

    2015-06-15

    MoS{sub 2} ultra-thin layers are synthesized using a chemical vapor deposition method based on the sulfurization of molybdenum trioxide (MoO{sub 3}). The ultra-thin layers are characterized by X-ray diffraction (XRD), photoluminescence (PL) spectroscopy and atomic force microscope (AFM). Based on our experimental results, all the processing parameters, such as the tilt angle of substrate, applied voltage, heating time and the weight of source materials have effect on the microstructures of the layers. In this paper, the effects of such processing parameters on the crystal structures and morphologies of the as-grown layers are studied. It is found that the film obtainedmore » with the tilt angle of 0.06° is more uniform. A larger applied voltage is preferred to the growth of MoS{sub 2} thin films at a certain heating time. In order to obtain the ultra-thin layers of MoS{sub 2}, the weight of 0.003 g of source materials is preferred. Under our optimal experimental conditions, the surface of the film is smooth and composed of many uniformly distributed and aggregated particles, and the ultra-thin MoS{sub 2} atomic layers (1∼10 layers) covers an area of more than 2 mm×2 mm.« less

  5. Effect of copper doping sol-gel ZnO thin films: physical properties and sensitivity to ethanol vapor

    NASA Astrophysics Data System (ADS)

    Boukaous, Chahra; Benhaoua, Boubaker; Telia, Azzedine; Ghanem, Salah

    2017-10-01

    In the present paper, the effect of copper doping ZnO thin films, deposited using a sol-gel dip-coating technique, on the structural, optical and ethanol vapor-sensing properties, was investigated. The range of the doping content is 0 wt. %-5 wt. % Cu/Zn and the films’ properties were studied using x-ray diffraction, scanning electron microscopy and a UV-vis spectrophotometer. The obtained results indicated that undoped and copper-doped zinc oxide thin films have polycrystalline wurtzite structure with (1 0 1) preferred orientation. All samples have a smooth and dense structure free of pinholes. A decrease in the band gap with Cu concentration in the ZnO network was observed. The influence of the dopant on ethanol vapor-sensing properties shows an increase in the film sensitivity to the ethanol vapor within the Cu concentration.

  6. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  7. Alternate deposition and hydrogen doping technique for ZnO thin films

    NASA Astrophysics Data System (ADS)

    Myong, Seung Yeop; Lim, Koeng Su

    2006-08-01

    We propose an alternate deposition and hydrogen doping (ADHD) technique for polycrystalline hydrogen-doped ZnO thin films, which is a sublayer-by-sublayer deposition based on metalorganic chemical vapor deposition and mercury-sensitized photodecomposition of hydrogen doping gas. Compared to conventional post-deposition hydrogen doping, the ADHD process provides superior electrical conductivity, stability, and surface roughness. Photoluminescence spectra measured at 10 K reveal that the ADHD technique improves ultraviolet and violet emissions by suppressing the green and yellow emissions. Therefore, the ADHD technique is shown to be very promising aid to the manufacture of improved transparent conducting electrodes and light emitting materials.

  8. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, James G.; Roherty-Osmun, Elizabeth Lynn; Smith, Paul M.; Custer, Jonathan S.; Jones, Ronald V.; Nicolet, Marc-A.; Madar, Roland; Bernard, Claude

    1999-01-01

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF.sub.6, either silicon or boron, and nitrogen. The result is a W--Si--N or W--B--N thin film useful for diffusion barrier and micromachining applications.

  9. Nanostructured Thin Film Synthesis by Aerosol Chemical Vapor Deposition for Energy Storage Applications

    NASA Astrophysics Data System (ADS)

    Chadha, Tandeep S.

    Renewable energy sources offer a viable solution to the growing energy demand while mitigating concerns for greenhouse gas emissions and climate change. This has led to a tremendous momentum towards solar and wind-based energy harvesting technologies driving efficiencies higher and costs lower. However, the intermittent nature of these energy sources necessitates energy storage technologies, which remain the Achilles heel in meeting the renewable energy goals. This dissertation focusses on two approaches for addressing the needs of energy storage: first, targeting direct solar to fuel conversion via photoelectrochemical water-splitting and second, improving the performance of current rechargeable batteries by developing new electrode architectures and synthesis processes. The aerosol chemical vapor deposition (ACVD) process has emerged as a promising single-step approach for nanostructured thin film synthesis directly on substrates. The relationship between the morphology and the operating parameters in the process is complex. In this work, a simulation based approach has been developed to understand the relationship and acquire the ability of predicting the morphology. These controlled nanostructured morphologies of TiO2 , compounded with gold nanoparticles of various shapes, are used for solar water-splitting applications. Tuning of light absorption in the visible-light range along with reduced electron-hole recombination in the composite structures has been demonstrated. The ACVD process is further extended to a novel single-step synthesis of nanostructured TiO2 electrodes directly on the current collector for applications as anodes in lithium-ion batteries, mainly for electric vehicles and hybrid electric vehicles. The effect of morphology of the nanostructures has been investigated via experimental studies and electrochemical transport modelling. Results demonstrate the exceptional performance of the single crystal one-dimensional nanostructures over granular

  10. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    NASA Astrophysics Data System (ADS)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  11. Chemical vapor deposition of W-Si-N and W-B-N

    DOEpatents

    Fleming, J.G.; Roherty-Osmun, E.L.; Smith, P.M.; Custer, J.S.; Jones, R.V.; Nicolet, M.; Madar, R.; Bernard, C.

    1999-06-29

    A method of depositing a ternary, refractory based thin film on a substrate by chemical vapor deposition employing precursor sources of tungsten comprising WF[sub 6], either silicon or boron, and nitrogen. The result is a W-Si-N or W-B-N thin film useful for diffusion barrier and micromachining applications. 10 figs.

  12. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    NASA Astrophysics Data System (ADS)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  13. Novel growth techniques for the deposition of high-quality perovskite thin films

    NASA Astrophysics Data System (ADS)

    Ng, Annie; Ren, Zhiwei; Li, Gang; Djurišić, Aleksandra B.; Surya, Charles

    2018-02-01

    We present investigations on the growth of high quality CH3NH3PbI3 (MAPI) thin films using both vapor and solution techniques. Recent work on perovskite film growth indicates critical dependencies of the film quality on the nucleation and crystallization steps requiring: i.) uniform distribution of nucleation sites; and ii.) optimal crystallization rate that facilitates the growth of a compact, continuous film with low density of pinholes. Our work shows that the hybrid chemical vapor deposition technique (HCVD) technique is well suited for the deposition of evenly distributed nucleation sites and the optimization of the crystallization rate of the film through detailed monitoring of the thermal profile of the growth process. Signficant reduction in the defect states is recorded by annealing the perovskite films in O2. The results are consistent with theoretical studies by Yin et al. 1 on O and Cl passivation of the shallow states at the grain boundary of MAPI. Their work provides the theoretical basis for our experimental observations on the passivation of shallow states by oxygen annealing. High quality films were achieved through detailed management of the carrier gas composition and the thermal profile of the nucleation and crystallization steps.

  14. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  15. Simple Chemical Vapor Deposition Experiment

    ERIC Educational Resources Information Center

    Pedersen, Henrik

    2014-01-01

    Chemical vapor deposition (CVD) is a process commonly used for the synthesis of thin films for several important technological applications, for example, microelectronics, hard coatings, and smart windows. Unfortunately, the complexity and prohibitive cost of CVD equipment makes it seldom available for undergraduate chemistry students. Here, a…

  16. Low-pressure chemical vapor deposition of low in situ phosphorus doped silicon thin films

    NASA Astrophysics Data System (ADS)

    Sarret, M.; Liba, A.; Bonnaud, O.

    1991-09-01

    In situ low phosphorus doped silicon films are deposited onto glass substrates by low-pressure chemical vapor deposition method. The deposition parameters, temperature, total pressure, and pure silane gas flow are, respectively, fixed at 550 °C, 0.08 Torr, and 50 sccm. The varying deposition parameter is phosphine/silane mole ratio; when this ratio varies from 2×10-6 to 4×10-4, the phosphorus concentration and the resistivity after annealing, respectively, vary from 2×1018 to 3×1020 atoms cm-3 and from 1.5 Ω cm to 2.5×10-3 Ω cm.

  17. Hot-Carrier Immunity of Polycrystalline Silicon Thin Film Transistors Using Silicon Oxynitride Gate Dielectric Formed with Plasma-Enhanced Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Kunii, Masafumi

    2009-11-01

    An analysis is presented of the hot-carrier degradation in a polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon oxynitride gate dielectric formed with plasma-enhanced chemical vapor deposition. An introduction of silicon oxynitride into a gate dielectric significantly improves hot-carrier immunity even under the severe stressing mode of drain avalanche hot carriers. To compensate the initial negative shift of threshold voltage for TFTs with a silicon oxynitride gate dielectric, high-pressure water vapor annealing (HWA) is applied. A comparison of TFTs with and without HWA reveals that the improvement in hot-carrier immunity is mainly attributed to the introduction of Si≡N bonds into a gate dielectric.

  18. A review-application of physical vapor deposition (PVD) and related methods in the textile industry

    NASA Astrophysics Data System (ADS)

    Shahidi, Sheila; Moazzenchi, Bahareh; Ghoranneviss, Mahmood

    2015-09-01

    Physical vapor deposition (PVD) is a coating process in which thin films are deposited by the condensation of a vaporized form of the desired film material onto the substrate. The PVD process is carried out in a vacuum. PVD processes include different types, such as: cathode arc deposition, electron beam physical vapor deposition, evaporative deposition, sputtering, ion plating and enhanced sputtering. In the PVD method, the solid coating material is evaporated by heat or by bombardment with ions (sputtering). At the same time, a reactive gas is also introduced; it forms a compound with the metal vapor and is deposited on the substrate as a thin film with highly adherent coating. Such coatings are used in a wide range of applications such as aerospace, automotive, surgical, medical, dyes and molds for all manner of material processing, cutting tools, firearms, optics, thin films and textiles. The objective of this work is to give a comprehensive description and review of the science and technology related to physical vapor deposition with particular emphasis on their potential use in the textile industry. Physical vapor deposition has opened up new possibilities in the modification of textile materials and is an exciting prospect for usage in textile design and technical textiles. The basic principle of PVD is explained and the major applications, particularly sputter coatings in the modification and functionalization of textiles, are introduced in this research.

  19. Critical current density of high-quality Bi2Sr2Ca2Cu3Ox thin films prepared by metalorganic chemical-vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamasaki, H.; Endo, K.; Nakagawa, Y.; Umeda, M.; Kosaka, S.; Misawa, S.; Yoshida, S.; Kajimura, K.

    1992-10-01

    Critical current densities Jc were measured in as-deposited, c-axis-oriented Bi2Sr2Ca2Cu3Ox thin films with Tc values as high as 97 K, which were prepared by metalorganic chemical-vapor deposition. These films showed high Jc (≳109 A/m2) at 77.3 K in high magnetic fields (≥1 T, H∥a-b plane). The best values are 3.3×109 A/m2 at 1 T and 9.1×108 A/m2 at 8 T, which are the highest Jc for Bi-oxide thin films among those reported so far. There were no signs of weak links in the Jc(H) behavior, and the surface morphology examined by scanning electron microscopy showed no apparent grain boundaries. The values of Jc decreased sharply when the applied field deviated from the a-b plane, and went to zero at the angles where the field component in the c direction is nearly equal to the irreversibility field Hc2* parallel to the c axis. The angular dependence of Jc of these films is most reasonably explained by the theory of intrinsic pinning.

  20. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  1. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  2. Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials

    DTIC Science & Technology

    2016-04-27

    Hybrid Physical Vapor Deposition Instrument for Advanced Functional Multilayers and Materials PI Maria received support to construct a physical...vapor deposition (PVD) system that combines electron beam (e- beam) evaporation, magnetron sputtering, pulsed laser ablation, and ion-assisted deposition ...The instrumentation enables clean, uniform, and rapid deposition of a wide variety of metallic, semiconducting, and ceramic thin films with

  3. Thermo-optically tunable thin film devices

    NASA Astrophysics Data System (ADS)

    Domash, Lawrence H.

    2003-10-01

    We report advances in tunable thin film technology and demonstration of multi-cavity tunable filters. Thin film interference coatings are the most widely used optical technology for telecom filtering, but until recently no tunable versions have been known except for mechanically rotated filters. We describe a new approach to broadly tunable components based on the properties of semiconductor thin films with large thermo-optic coefficients. The technology is based on amorphous silicon deposited by plasma-enhanced chemical vapor deposition (PECVD), a process adapted for telecom applications from its origins in the flat-panel display and solar cell industries. Unlike MEMS devices, tunable thin films can be constructed in sophisticated multi-cavity, multi-layer optical designs.

  4. Comparison of precursor infiltration into polymer thin films via atomic layer deposition and sequential vapor infiltration using in-situ quartz crystal microgravimetry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    Previous research exploring inorganic materials nucleation behavior on polymers via atomic layer deposition indicates the formation of hybrid organic–inorganic materials that form within the subsurface of the polymer. This has inspired adaptations to the process, such as sequential vapor infiltration, which enhances the diffusion of organometallic precursors into the subsurface of the polymer to promote the formation of a hybrid organic–inorganic coating. This work highlights the fundamental difference in mass uptake behavior between atomic layer deposition and sequential vapor infiltration using in-situ methods. In particular, in-situ quartz crystal microgravimetry is used to compare the mass uptake behavior of trimethyl aluminummore » in poly(butylene terephthalate) and polyamide-6 polymer thin films. The importance of trimethyl aluminum diffusion into the polymer subsurface and the subsequent chemical reactions with polymer functional groups are discussed.« less

  5. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  6. Structural and growth aspects of electron beam physical vapor deposited NiO-CeO{sub 2} nanocomposite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuanr, Sushil Kumar; K, Suresh Babu, E-mail: sureshbabu.nst@pondiuni.edu.in

    2016-03-15

    Deposition of composite materials as thin film by electron beam physical vapor deposition technique (EB-PVD) still remains as a challenge. Here, the authors report the deposition of NiO-CeO{sub 2} (30/70 wt. %) composites on quartz substrate by EB-PVD. Two NiO-CeO{sub 2} nanocomposite targets—one as green compact and the other after sintering at 1250 °C—were used for the deposition. Though the targets varied with respect to physical properties such as crystallite size (11–45 nm) and relative density (44% and 96%), the resultant thin films exhibited a mean crystallite size in the range of 20–25 nm underlining the role of physical nature of deposition. In spitemore » of the crystalline nature of the targets and similar elemental concentration, a transformation from amorphous to crystalline structure was observed in thin films on using sintered target. Postannealing of the as deposited film at 800 °C resulted in a polycrystalline structure consisting of CeO{sub 2} and NiO. Deposition using pure CeO{sub 2} or NiO as target resulted in the preferential orientation toward (111) and (200) planes, respectively, showing the influence of adatoms on the evaporation and growth process of NiO-CeO{sub 2} composite. The results demonstrate the influence of electron beam gun power on the adatom energy for the growth process of composite oxide thin films.« less

  7. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  8. Comparison of a model vapor deposited glass films to equilibrium glass films

    NASA Astrophysics Data System (ADS)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  9. Atmospheric-Pressure-Spray, Chemical- Vapor-Deposited Thin-Film Materials Being Developed for High Power-to- Weight-Ratio Space Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; Harris, Jerry D.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Smith, Mark A.; Cowen, Jonathan E.

    2001-01-01

    The key to achieving high specific power (watts per kilogram) space photovoltaic arrays is the development of high-efficiency thin-film solar cells that are fabricated on lightweight, space-qualified substrates such as Kapton (DuPont) or another polymer film. Cell efficiencies of 20 percent air mass zero (AM0) are required. One of the major obstacles to developing lightweight, flexible, thin-film solar cells is the unavailability of lightweight substrate or superstrate materials that are compatible with current deposition techniques. There are two solutions for working around this problem: (1) develop new substrate or superstrate materials that are compatible with current deposition techniques, or (2) develop new deposition techniques that are compatible with existing materials. The NASA Glenn Research Center has been focusing on the latter approach and has been developing a deposition technique for depositing thin-film absorbers at temperatures below 400 C.

  10. Plasma deposition of amorphous silicon carbide thin films irradiated with neutrons

    NASA Astrophysics Data System (ADS)

    Huran, J.; Bohacek, P.; Kucera, M.; Kleinova, A.; Sasinkova, V.; IEE SAS, Bratislava, Slovakia Team; Polymer Institute, SAS, Bratislava, Slovakia Team; Institute of Chemistry, SAS, Bratislava, Slovakia Team

    2015-09-01

    Amorphous silicon carbide and N-doped silicon carbide thin films were deposited on P-type Si(100) wafer by plasma enhanced chemical vapor deposition (PECVD) technology using silane, methane, ammonium and argon gases. The concentration of elements in the films was determined by RBS and ERDA method. Chemical compositions were analyzed by FTIR spectroscopy. Photoluminescence properties were studied by photoluminescence spectroscopy (PL). Irradiation of samples with various neutron fluencies was performed at room temperature. The films contain silicon, carbon, hydrogen, nitrogen and small amount of oxygen. From the IR spectra, the films contained Si-C, Si-H, C-H, Si-N, N-H and Si-O bonds. No significance effect on the IR spectra after neutron irradiation was observed. PL spectroscopy results of films showed decreasing PL intensity after neutron irradiation and PL intensity decreased with increased neutron fluencies. The measured current of the prepared structures increased after irradiation with neutrons and rise up with neutron fluencies.

  11. Sensitive coating for water vapors detection based on thermally sputtered calcein thin films.

    PubMed

    Kruglenko, I; Shirshov, Yu; Burlachenko, J; Savchenko, A; Kravchenko, S; Manera, M G; Rella, R

    2010-09-15

    In this paper the adsorption properties of thermally sputtered calcein thin films towards water and other polar molecules vapors are studied by different characterization techniques: quartz crystal microbalance, surface plasmon resonance and visible spectroscopy. Sensitivity of calcein thin films to water vapors resulted much higher as compared with those of a number of dyes whose structure was close to that of calcein. All types of sensors with calcein coatings have demonstrated linear concentration dependences in the wide range of water vapor pressure from low concentrations up to 27,000 ppm (close to saturation). At higher concentrations of water vapor all sensors demonstrate the abrupt increase of the response (up to two orders). A theoretical model is advanced explaining the adsorption properties of calcein thin films taking into account their chemical structure and peculiarities of molecular packing. The possibility of application of thermally sputtered calcein films in sensing technique is discussed. Copyright (c) 2010 Elsevier B.V. All rights reserved.

  12. Plasma enhanced chemical vapor deposition (PECVD) method of forming vanadium oxide films and vanadium oxide thin-films prepared thereby

    DOEpatents

    Zhang, Ji-Guang; Tracy, C. Edwin; Benson, David K.; Turner, John A.; Liu, Ping

    2000-01-01

    A method is disclosed of forming a vanadium oxide film on a substrate utilizing plasma enhanced chemical vapor deposition. The method includes positioning a substrate within a plasma reaction chamber and then forming a precursor gas comprised of a vanadium-containing chloride gas in an inert carrier gas. This precursor gas is then mixed with selected amounts of hydrogen and oxygen and directed into the reaction chamber. The amounts of precursor gas, oxygen and hydrogen are selected to optimize the final properties of the vanadium oxide film An rf plasma is generated within the reaction chamber to chemically react the precursor gas with the hydrogen and the oxygen to cause deposition of a vanadium oxide film on the substrate while the chamber deposition pressure is maintained at about one torr or less. Finally, the byproduct gases are removed from the plasma reaction chamber.

  13. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  14. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  15. Infrared control coating of thin film devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berland, Brian Spencer; Stowell, Jr., Michael Wayne; Hollingsworth, Russell

    Systems and methods for creating an infrared-control coated thin film device with certain visible light transmittance and infrared reflectance properties are disclosed. The device may be made using various techniques including physical vapor deposition, chemical vapor deposition, thermal evaporation, pulsed laser deposition, sputter deposition, and sol-gel processes. In particular, a pulsed energy microwave plasma enhanced chemical vapor deposition process may be used. Production of the device may occur at speeds greater than 50 Angstroms/second and temperatures lower than 200.degree. C.

  16. ZnO:Al Thin Film Gas Sensor for Detection of Ethanol Vapor

    PubMed Central

    Chou, Shih Min; Teoh, Lay Gaik; Lai, Wei Hao; Su, Yen Hsun; Hon, Min Hsiung

    2006-01-01

    The ZnO:Al thin films were prepared by RF magnetron sputtering on Si substrate using Pt as interdigitated electrodes. The structure was characterized by XRD and SEM analyses, and the ethanol vapor gas sensing as well as electrical properties have been investigated and discussed. The gas sensing results show that the sensitivity for detecting 400 ppm ethanol vapor was ∼20 at an operating temperature of 250°C. The high sensitivity, fast recovery, and reliability suggest that ZnO:Al thin film prepared by RF magnetron sputtering can be used for ethanol vapor gas sensing.

  17. Optimization and testing of solid thin film lubrication deposition processes

    NASA Astrophysics Data System (ADS)

    Danyluk, Michael J.

    A novel method for testing solid thin films in rolling contact fatigue (RCF) under ultra-high vacuum (UHV) and high rotational speeds (130 Hz) is presented in this thesis. The UHV-RCF platform is used to quantify the adhesion and lubrication aspects of two thin film coatings deposited on ball-bearings using a physical vapor deposition ion plating process. Plasma properties during ion plating were measured using a Langmuir probe and there is a connection between ion flux, film stress, film adhesion, process voltage, pressure, and RCF life. The UHV-RCF platform and vacuum chamber were constructed using off-the-shelf components and 88 RCF tests in high vacuum have been completed. Maximum RCF life was achieved by maintaining an ion flux between 10 13 to 1015 (cm-2 s-1) with a process voltage and pressure near 1.5 kV and 15 mTorr. Two controller schemes were investigated to maintain optimal plasma conditions for maximum RCF life: PID and LQR. Pressure disturbances to the plasma have a detrimental effect on RCF life. Control algorithms that mitigate pressure and voltage disturbances already exist. However, feedback from the plasma to detect disturbances has not been explored related to deposition processes in the thin-film science literature. Manometer based pressure monitoring systems have a 1 to 2 second delay time and are too slow to detect common pressure bursts during the deposition process. Plasma diagnostic feedback is much faster, of the order of 0.1 second. Plasma total-current feedback was used successfully to detect a typical pressure disturbance associated with the ion plating process. Plasma current is related to ion density and process pressure. A real-time control application was used to detect the pressure disturbance by monitoring plasma-total current and converting it to feedback-input to a pressure control system. Pressure overshoot was eliminated using a nominal PID controller with feedback from a plasma-current diagnostic measurement tool.

  18. Properties of Nanocrystalline Cubic Silicon Carbide Thin Films Prepared by Hot-Wire Chemical Vapor Deposition Using SiH4/CH4/H2 at Various Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Tabata, Akimori; Komura, Yusuke; Hoshide, Yoshiki; Narita, Tomoki; Kondo, Akihiro

    2008-01-01

    Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 gases, and the influence of substrate temperature, Ts (104 < Ts < 434 °C), on the properties of the SiC thin films was investigated. X-ray diffraction patterns and Raman scattering spectra revealed that nanocrystalline cubic SiC (nc-3C-SiC) films grew at Ts above 187 °C, while completely amorphous films grew at Ts = 104 °C. Fourier transform infrared absorption spectra revealed that the crystallinity of the nc-3C-SiC was improved with increasing Ts up to 282 °C and remained almost unchanged with a further increase in Ts from 282 to 434 °C. The spin density was reduced monotonically with increasing Ts.

  19. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeon, Bup Ju; Hudaya, Chairul; Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, includingmore » a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.« less

  20. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate

  1. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    NASA Astrophysics Data System (ADS)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  2. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  3. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    NASA Astrophysics Data System (ADS)

    Alam, M. T.; Bresnehan, M. S.; Robinson, J. A.; Haque, M. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m-1 K-1, is lower than the bulk basal plane value (390 W m-1 K-1) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics.

  4. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  5. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1990-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  6. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  7. Microstructure and electroluminescent performance of chemical vapor deposited zinc sulfide doped with manganese films for integration in thin film electroluminescent devices

    NASA Astrophysics Data System (ADS)

    Topol, Anna Wanda

    Zinc sulfide (ZnS) doped with manganese (Mn), ZnS:Mn, is widely recognized as the brightest and most effective electroluminescent (EL) phosphor used in current thin film electroluminescent (TFEL) devices. ZnS acts as a host lattice for the luminescent activator, Mn, leading to a highly efficient yellow-orange EL emission, and resulting in a wide array of applications in monochrome, multi-color and full color displays. Although this wide band dap (3.7 eV) material can be prepared by several deposition techniques, the chemical vapor deposition (CVD) is the most promising for TFEL applications in terms of viable deposition rates, high thickness and composition uniformity, and excellent yield over large area panels. This study describes the development and optimization of a CVD ZnS:Mn process using diethylzinc [(C2H5)2Zn, DEZ], di-pi-cyclopentadienylmanganese [(C5H5)2Mn, CPMn], and hydrogen sulfide [H2S] as the chemical sources for, respectively, Zn, Mn, and S. The effects of key deposition parameters on resulting Film microstructure and performance are discussed, primarily in the context of identifying an optimized process window for best electroluminescence behavior. In particular, substrate temperature was observed to play a key role in the formation of high quality crystalline ZnS:Mn films leading to improved brightness and EL efficiency. Further investigations of the influence of temperature treatment on the structural characteristics and EL performance of the CVD ZnS:Mn film were carried out. In this study, the influence of post-deposition annealing both in-situ and ex-situ annealing processes, on chemical, structural, and electroluminescent characteristics of the phosphor layer are described. The material properties of the employed dielectric are among the key factors determining the performance, stability and reliability of the TFEL display and therefore, the choice of dielectric material for use in ACTFEL displays is crucial. In addition, the luminous

  8. Structural, electrical and optical properties of indium tin oxide thin film grown by metal organic chemical vapor deposition with tetramethyltin-precursor

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang

    2018-01-01

    Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.

  9. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    NASA Astrophysics Data System (ADS)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  10. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition.

    PubMed

    Utama, M Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-11-07

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.

  11. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  12. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  13. Broadly tunable thin-film intereference coatings: active thin films for telecom applications

    NASA Astrophysics Data System (ADS)

    Domash, Lawrence H.; Ma, Eugene Y.; Lourie, Mark T.; Sharfin, Wayne F.; Wagner, Matthias

    2003-06-01

    Thin film interference coatings (TFIC) are the most widely used optical technology for telecom filtering, but until recently no tunable versions have been known except for mechanically rotated filters. We describe a new approach to broadly tunable TFIC components based on the thermo-optic properties of semiconductor thin films with large thermo-optic coefficients 3.6X10[-4]/K. The technology is based on amorphous silicon thin films deposited by plasma-enhanced chemical vapor deposition (PECVD), a process adapted for telecom applications from its origins in the flat-panel display and solar cell industries. Unlike MEMS devices, tunable TFIC can be designed as sophisticated multi-cavity, multi-layer optical designs. Applications include flat-top passband filters for add-drop multiplexing, tunable dispersion compensators, tunable gain equalizers and variable optical attenuators. Extremely compact tunable devices may be integrated into modules such as optical channel monitors, tunable lasers, gain-equalized amplifiers, and tunable detectors.

  14. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    NASA Technical Reports Server (NTRS)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  15. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  16. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  17. Self-assembly of dodecaphenyl POSS thin films

    NASA Astrophysics Data System (ADS)

    Handke, Bartosz; Klita, Łukasz; Niemiec, Wiktor

    2017-12-01

    The self-assembly abilities of Dodecaphenyl Polyhedral Oligomeric Silsesquioxane thin films on Si(1 0 0) surfaces were studied. Due to their thermal properties - relatively low sublimation temperature and preservation of molecular structure - cage type silsesquioxanes are ideal material for the preparation of a thin films by Physical Vapor Deposition. The Ultra-High Vacuum environment and the deposition precision of the PVD method enable the study of early stages of thin film growth and its molecular organization. X-ray Reflectivity and Atomic Force Microscopy measurements allow to pursuit size-effects in the structure of thin films with thickness ranges from less than a single molecular layer up to several tens of layers. Thermal treatment of the thin films triggered phase change: from a poorly ordered polycrystalline film into a well-ordered multilayer structure. Self-assembly of the layers is the effect of the π-stacking of phenyl rings, which force molecules to arrange in a superlattice, forming stacks of alternating organic-inorganic layers.

  18. Understanding the Reaction Chemistry of 2,2':5',2''-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  19. Understanding the Reaction Chemistry of 2,2':5',2"-Terthiophene Films with Vapor-Deposited Ag, Al, and Ca

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sang, Lingzi; Matz, Dallas L.; Pemberton, Jeanne E.

    The reaction chemistry of vapor-deposited 2,2':5',2''-terthiophene (α-3T) solid-state thin films with postdeposited Ag, Al, and Ca is investigated in ultrahigh vacuum using Raman spectroscopy. Vapor-deposited Ag forms nanoparticles on these films and induces considerable surface enhanced Raman scattering (SERS) along with a change in molecular symmetry of adjacent α-3T and formation of Ag–S bonds; no other reaction chemistry is observed. Vapor-deposited Al and Ca undergo chemical reaction with α-3T initiated by metal-to-α-3T electron transfer. For Al, the resulting product is predominantly amorphous carbon through initial radical formation and subsequent decomposition reactions. For Ca, the spectral evidence suggests two pathways: onemore » leading to α-3T polymerization and the other resulting in thiophene ring opening, both initiated by radical formation through Ca-to-α-3T electron transfer. These interfacial reactions reflect the complex chemistry that can occur between low work function metals and thiophene-based oligomers. This reactivity is strongly correlated with metal work function.« less

  20. Structure and electrical properties of Pb(ZrxTi1-x)O3 deposited on textured Pt thin films

    NASA Astrophysics Data System (ADS)

    Hong, Jongin; Song, Han Wook; Lee, Hee Chul; Lee, Won Jong; No, Kwangsoo

    2001-08-01

    The texturing of the bottom electrode plays a key role in the structure and electrical properties of Pb(Zr, Ti)O3 (PZT) thin films. We fabricated Pt bottom electrodes having a different thickness on MgO single crystals at 600 °C by rf magnetron sputtering. As the thickness of platinum (Pt) thin film increased, the preferred orientation of Pt thin film changed from (200) to (111). PZT thin films were fabricated at 450 °C by electron cyclotron resonance-plasma enhanced metal organic chemical vapor deposition on the textured Pt thin films. The texturing of the bottom electrode caused drastic changes in the C-V characteristics, P-E characteristics, and fatigue characteristics of metal/ferroelectric material/metal (MFM) capacitors. The difference of the electrical properties between the PZT thin films having different texturing was discussed in terms-of the x-y alignment and the interface between electrode and PZT in MFM capacitors.

  1. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  2. Modeling of metal thin film growth: Linking angstrom-scale molecular dynamics results to micron-scale film topographies

    NASA Astrophysics Data System (ADS)

    Hansen, U.; Rodgers, S.; Jensen, K. F.

    2000-07-01

    A general method for modeling ionized physical vapor deposition is presented. As an example, the method is applied to growth of an aluminum film in the presence of an ionized argon flux. Molecular dynamics techniques are used to examine the surface adsorption, reflection, and sputter reactions taking place during ionized physical vapor deposition. We predict their relative probabilities and discuss their dependence on energy and incident angle. Subsequently, we combine the information obtained from molecular dynamics with a line of sight transport model in a two-dimensional feature, incorporating all effects of reemission and resputtering. This provides a complete growth rate model that allows inclusion of energy- and angular-dependent reaction rates. Finally, a level-set approach is used to describe the morphology of the growing film. We thus arrive at a computationally highly efficient and accurate scheme to model the growth of thin films. We demonstrate the capabilities of the model predicting the major differences on Al film topographies between conventional and ionized sputter deposition techniques studying thin film growth under ionized physical vapor deposition conditions with different Ar fluxes.

  3. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    PubMed Central

    Li, Hui-Ying; Liu, Yun-Fei; Duan, Yu; Yang, Yong-Qiang; Lu, Yi-Nan

    2015-01-01

    Preparation of dense alumina (Al2O3) thin film through atomic layer deposition (ALD) provides a pathway to achieve the encapsulation of organic light emitting devices (OLED). Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day) under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED. PMID:28787960

  4. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  5. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  6. Metal-organic chemical vapor deposition of cerium oxide, gallium-indium-oxide, and magnesium oxide thin films: Precursor design, film growth, and film characterization

    NASA Astrophysics Data System (ADS)

    Edleman, Nikki Lynn

    A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism

  7. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  8. Plasmonic properties of gold nanoparticles covered by silicon suboxide thin film

    NASA Astrophysics Data System (ADS)

    Baranov, Evgeniy; Zamchiy, Alexandr; Safonov, Aleksey; Starinskiy, Sergey; Khmel, Sergey

    2017-10-01

    The optical properties of nanocomposite material consisting of gold nanoparticles without/with silicon suboxide thin film were obtained. The gold film was deposited by thermal vacuum evaporation and then it was annealed in a vacuum chamber to form gold nanoparticles. The silicon suboxide thin films were deposited by the gas-jet electron beam plasma chemical vapor deposition method. The intensity of the localized surface plasmon resonance increased and the plasmon maximum peak shifted from 520 nm to 537 nm.

  9. Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)

    1988-01-01

    A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.

  10. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: role of precursors on the film growth and properties.

    PubMed

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; Johnson, Kyle W; Sailer, Robert A

    2012-10-24

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow(TM) 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and substrate temperature (T(s)) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nanoindentation. In general, films deposited at substrate temperature (T(s)) < 200 °C contained organic moieties, while the films deposited at T(s) > 200 °C depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 and 2.0, depending on the deposition parameters. Mechanical properties of the films determined using nanoindentation revealed that these films have hardness between 0.5 GPa and 15 GPa, depending on the T(s) value. AFM evaluation of the films showed high roughness (R(a)) values of 2-3 nm for the films grown at low T(s) (<250 °C) while the films grown at T(s) ≥ 300 °C exhibited atomically smooth surface with R(a) of ~0.5 nm. Based on the gas-phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.

  11. Dynamic and temperature dependent response of physical vapor deposited Se in freely standing nanometric thin films

    NASA Astrophysics Data System (ADS)

    Yoon, Heedong; McKenna, Gregory B.

    2016-05-01

    Here, we report results from an investigation of nano-scale size or confinement effects on the glass transition and viscoelastic properties of physical vapor deposited selenium films. The viscoelastic response of freely standing Se films was determined using a biaxial membrane inflation or bubble inflation method [P. A. O'Connell and G. B. McKenna, Science 307, 1760-1763 (2005)] on films having thicknesses from 60 to 267 nm and over temperatures ranging from Tg, macroscopic - 15 °C to Tg, macroscopic + 21 °C. Time-temperature superposition and time-thickness superposition were found to hold for the films in the segmental dispersion. The responses are compared with macroscopic creep and recoverable creep compliance data for selenium [K. M. Bernatz et al., J. Non-Cryst. Solids 307, 790-801 (2002)]. The time-temperature shift factors for the thin films show weaker temperature dependence than seen in the macroscopic behavior, being near to Arrhenius-like in their temperature dependence. Furthermore, the Se films exhibit a "rubbery-like" stiffening that increases as film thickness decreases similar to prior observations [P. A. O'Connell et al., Macromolecules 45(5), 2453-2459 (2012)] for organic polymers. In spite of the differences from the macroscopic behavior in the temperature dependence of the viscoelastic response, virtually no change in Tg as determined from the thickness dependence of the retardation time defining Tg was observed in the bubble inflation creep experiments to thicknesses as small as 60 nm. We also find that the observed rubbery stiffening is consistent with the postulate of K. L. Ngai et al. [J. Polym. Sci., Part B: Polym. Phys. 51(3), 214-224 (2013)] that it should correlate with the change of the macroscopic segmental relaxation.

  12. Spray deposited MnFe2O4 thin films for detection of ethanol and acetone vapors

    NASA Astrophysics Data System (ADS)

    Nagarajan, V.; Thayumanavan, A.

    2018-01-01

    Spinel MnFe2O4 films were synthesized with the help of spray pyrolysis technique. The morphology study shows fine crushed sand grain morphology of the film. The structural investigation verifies the polycrystalline nature of prepared MnFe2O4 films, which possess the spinel structure. Crystalline size is found to be around 23.5 nm-37.4 nm morphology analyses. Energy dispersive spectroscopy validates the presence of oxygen, iron and manganese in MnFe2O4 film. The prepared MnFe2O4 film is extremely sensitive towards ethanol molecules at 300 K. The electrical resistance of MnFe2O4 thin film decreases quickly when ethanol and acetone vapor molecules are adsorbed on base material. The synthesized MnFe2O4 film is also highly sensitive towards acetone molecules at ambient temperature. The selectivity, sensing response, stability and recovery time of MnFe2O4 film towards acetone and ethanol detection are analyzed in the present work.

  13. Construction of protein-resistant pOEGMA films by helicon plasma-enhanced chemical vapor deposition.

    PubMed

    Lee, Bong Soo; Yoon, Ok Ja; Cho, Woo Kyung; Lee, Nae-Eung; Yoon, Kuk Ro; Choi, Insung S

    2009-01-01

    This paper describes the formation of protein-resistant, poly(ethylene glycol) methyl ether methacrylate (pOEGMA) thin films by helicon plasma-enhanced chemical vapor deposition (helicon-PECVD). pOEGMA was successfully grafted onto a silicon substrate, as a model substrate, without any additional surface initiators, by plasma polymerization of OEGMA. The resulting pOEGMA films were characterized by ellipsometry, FT-IR spectroscopy, X-ray photoelectron spectroscopy and contact angle goniometry. To investigate the protein-resistant property of the pOEGMA films, four different proteins, bovine serum albumin, fibrinogen, lysozyme and ribonuclease A, were tested as model proteins for ellipsometric measurements. The ellipsometric thickness change for all the model proteins was less than 3 A, indicating that the formed pOEGMA films are protein-resistant. (c) Koninklijke Brill NV, Leiden, 2009

  14. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  15. Clean graphene electrodes on organic thin-film devices via orthogonal fluorinated chemistry.

    PubMed

    Beck, Jonathan H; Barton, Robert A; Cox, Marshall P; Alexandrou, Konstantinos; Petrone, Nicholas; Olivieri, Giorgia; Yang, Shyuan; Hone, James; Kymissis, Ioannis

    2015-04-08

    Graphene is a promising flexible, highly transparent, and elementally abundant electrode for organic electronics. Typical methods utilized to transfer large-area films of graphene synthesized by chemical vapor deposition on metal catalysts are not compatible with organic thin-films, limiting the integration of graphene into organic optoelectronic devices. This article describes a graphene transfer process onto chemically sensitive organic semiconductor thin-films. The process incorporates an elastomeric stamp with a fluorinated polymer release layer that can be removed, post-transfer, via a fluorinated solvent; neither fluorinated material adversely affects the organic semiconductor materials. We used Raman spectroscopy, atomic force microscopy, and scanning electron microscopy to show that chemical vapor deposition graphene can be successfully transferred without inducing defects in the graphene film. To demonstrate our transfer method's compatibility with organic semiconductors, we fabricate three classes of organic thin-film devices: graphene field effect transistors without additional cleaning processes, transparent organic light-emitting diodes, and transparent small-molecule organic photovoltaic devices. These experiments demonstrate the potential of hybrid graphene/organic devices in which graphene is deposited directly onto underlying organic thin-film structures.

  16. Large-area SnO{sub 2}: F thin films by offline APCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yan; Wu, Yucheng, E-mail: ycwu@hfut.edu.cn; Qin, Yongqiang

    2011-08-15

    Highlights: {yields} Large-area (1245 mm x 635 mm) FTO thin films were successfully deposited by offline APCVD process. {yields} The as-prepared FTO thin films with sheet resistance 8-11 {Omega}/{open_square} and direct transmittance more than 83% exhibited better than that of the online ones. {yields} The maximum quantum efficiency of the solar cells based on offline FTO substrate was 0.750 at wavelength 540 nm. {yields} The power of the solar modules using the offline FTO as glass substrates was 51.639 W, higher than that of the modules based on the online ones. -- Abstract: In this paper, we reported the successfulmore » preparation of fluorine-doped tin oxide (FTO) thin films on large-area glass substrates (1245 mm x 635 mm x 3 mm) by self-designed offline atmospheric pressure chemical vapor deposition (APCVD) process. The FTO thin films were achieved through a combinatorial chemistry approach using tin tetrachloride, water and oxygen as precursors and Freon (F-152, C2H4F2) as dopant. The deposited films were characterized for crystallinity, morphology (roughness) and sheet resistance to aid optimization of materials suitable for solar cells. We got the FTO thin films with sheet resistance 8-11 {Omega}/{open_square} and direct transmittance more than 83%. X-ray diffraction (XRD) characterization suggested that the as-prepared FTO films were composed of multicrystal, with the average crystal size 200-300 nm and good crystallinity. Further more, the field emission scanning electron microscope (FESEM) images showed that the films were produced with good surface morphology (haze). Selected samples were used for manufacturing tandem amorphous silicon (a-Si:H) thin film solar cells and modules by plasma enhanced chemical vapor deposition (PECVD). Compared with commercially available FTO thin films coated by online chemical vapor deposition, our FTO coatings show excellent performance resulting in a high quantum efficiency yield for a-Si:H solar cells and ideal open

  17. Method of preparing high-temperature-stable thin-film resistors

    DOEpatents

    Raymond, L.S.

    1980-11-12

    A chemical vapor deposition method for manufacturing tungsten-silicide thin-film resistors of predetermined bulk resistivity and temperature coefficient of resistance (TCR) is disclosed. Gaseous compounds of tungsten and silicon are decomposed on a hot substrate to deposit a thin-film of tungsten-silicide. The TCR of the film is determined by the crystallinity of the grain structure, which is controlled by the temperature of deposition and the tungsten to silicon ratio. The bulk resistivity is determined by the tungsten to silicon ratio. Manipulation of the fabrication parameters allows for sensitive control of the properties of the resistor.

  18. Method of preparing high-temperature-stable thin-film resistors

    DOEpatents

    Raymond, Leonard S.

    1983-01-01

    A chemical vapor deposition method for manufacturing tungsten-silicide thin-film resistors of predetermined bulk resistivity and temperature coefficient of resistance (TCR). Gaseous compounds of tungsten and silicon are decomposed on a hot substrate to deposit a thin-film of tungsten-silicide. The TCR of the film is determined by the crystallinity of the grain structure, which is controlled by the temperature of deposition and the tungsten to silicon ratio. The bulk resistivity is determined by the tungsten to silicon ratio. Manipulation of the fabrication parameters allows for sensitive control of the properties of the resistor.

  19. Influence of Water on Chemical Vapor Deposition of Ni and Co thin films from ethanol solutions of acetylacetonate precursors

    PubMed Central

    Weiss, Theodor; Zielasek, Volkmar; Bäumer, Marcus

    2015-01-01

    In chemical vapor deposition experiments with pulsed spray evaporation (PSE-CVD) of liquid solutions of Ni and Co acetylacetonate in ethanol as precursors, the influence of water in the feedstock on the composition and growth kinetics of deposited Ni and Co metal films was systematically studied. Varying the water concentration in the precursor solutions, beneficial as well as detrimental effects of water on the metal film growth, strongly depending on the concentration of water and the β-diketonate in the precursor, were identified. For 2.5 mM Ni(acac)2 precursor solutions, addition of 0.5 vol% water improves growth of a metallic Ni film and reduces carbon contamination, while addition of 1.0 vol% water and more leads to significant oxidation of deposited Ni. By tuning the concentration of both, Ni(acac)2 and water in the precursor solution, the fraction of Ni metal and Ni oxide in the film or the film morphology can be adjusted. In the case of Co(acac)2, even smallest amounts of water promote complete oxidation of the deposited film. All deposited films were analyzed with respect to chemical composition quasi in situ by XPS, their morphology was evaluated after deposition by SEM. PMID:26658547

  20. Vacuum vapor deposition

    NASA Technical Reports Server (NTRS)

    Poorman, Richard M. (Inventor); Weeks, Jack L. (Inventor)

    1995-01-01

    A method and apparatus is described for vapor deposition of a thin metallic film utilizing an ionized gas arc directed onto a source material spaced from a substrate to be coated in a substantial vacuum while providing a pressure differential between the source and the substrate so that, as a portion of the source is vaporized, the vapors are carried to the substrate. The apparatus includes a modified tungsten arc welding torch having a hollow electrode through which a gas, preferably inert, flows and an arc is struck between the electrode and the source. The torch, source, and substrate are confined within a chamber within which a vacuum is drawn. When the arc is struck, a portion of the source is vaporized and the vapors flow rapidly toward the substrate. A reflecting shield is positioned about the torch above the electrode and the source to ensure that the arc is struck between the electrode and the source at startup. The electrode and the source may be confined within a vapor guide housing having a duct opening toward the substrate for directing the vapors onto the substrate.

  1. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  2. Atmospheric deposition process for enhanced hybrid organic-inorganic multilayer barrier thin films for surface protection

    NASA Astrophysics Data System (ADS)

    Rehman, Mohammad Mutee ur; Kim, Kwang Tae; Na, Kyoung Hoan; Choi, Kyung Hyun

    2017-11-01

    In this study, organic polymer poly-vinyl acetate (PVA) and inorganic aluminum oxide (Al2O3) have been used together to fabricate a hybrid barrier thin film for the protection of PET substrate. The organic thin films of PVA were developed through roll to roll electrohydrodynamic atomization (R2R-EHDA) whereas the inorganic thin films of Al2O3 were grown by roll to roll spatial atmospheric atomic layer deposition (R2R-SAALD) for mass production. The use of these two technologies together to develop a multilayer hybrid organic-inorganic barrier thin films under atmospheric conditions is reported for the first time. These multilayer hybrid barrier thin films are fabricated on flexible PET substrate. Each layer of Al2O3 and PVA in barrier thin film exhibited excellent morphological, chemical and optical properties. Extremely uniform and atomically thin films of Al2O3 with average arithmetic roughness (Ra) of 1.64 nm and 1.94 nm respectively concealed the non-uniformity and irregularities in PVA thin films with Ra of 2.9 nm and 3.6 nm respectively. The optical transmittance of each layer was ∼ 80-90% while the water vapor transmission rate (WVTR) of hybrid barrier was in the range of ∼ 2.3 × 10-2 g m-2 day-1 with a total film thickness of ∼ 200 nm. Development of such hybrid barrier thin films with mass production and low cost will allow various flexible electronic devices to operate in atmospheric conditions without degradation of their properties.

  3. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  4. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Shaji, S.; Garcia, L. V.; Loredo, S. L.; Krishnan, B.; Aguilar Martinez, J. A.; Das Roy, T. K.; Avellaneda, D. A.

    2017-01-01

    Antimony sulfide (Sb2S3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb2S3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV-vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb2S3 thin films for optoelectronic applications.

  5. Thin-Film Coated Plastic Wrap for Food Packaging

    PubMed Central

    Wu, Hsin-Yu; Liu, Ting-Xuan; Hsu, Chia-Hsun; Cho, Yun-Shao; Xu, Zhi-Jia; Liao, Shu-Chuan; Zeng, Bo-Han; Jiang, Yeu-Long; Lien, Shui-Yang

    2017-01-01

    In this study, the antimicrobial property and food package capability of polymethylpentene (PMP) substrate with silicon oxdie (SiOx) and organic silicon (SiCxHy) stacked layers deposited by an inductively coupled plasma chemical vapor deposition system were investigated. The experimental results show that the stacked pair number of SiOx/SiCxHy on PMP is limited to three pairs, beyond which the films will crack and cause package failure. The three-pair SiOx/SiCxHy on PMP shows a low water vapor transmission rate of 0.57 g/m2/day and a high water contact angle of 102°. Three-pair thin-film coated PMP demonstrates no microbe adhesion and exhibits antibacterial properties within 24 h. Food shelf life testing performed at 28 °C and 80% humidity reports that the three-pair thin-film coated PMP can enhance the food shelf-life to 120 h. The results indicate that the silicon-based thin film may be a promising material for antibacterial food packaging applications to extend the shelf-life of food products. PMID:28773178

  6. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  7. Atmospheric-pressure plasma-enhanced chemical vapor deposition of a-SiCN:H films: Role of precursors on the film growth and properties

    DOE PAGES

    Guruvenket, Srinivasan; Andrie, Steven; Simon, Mark; ...

    2012-09-14

    Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using Surfx Atomflow TM 250D APPJ was utilized to synthesize amorphous silicon carbonitride coatings using tetramethyldisilizane (TMDZ) and hexamethyldisilizane (HMDZ) as the single source precursors. The effect of precursor chemistry and the substrate temperature (T s) on the properties of a-SiCN:H films were evaluated, while nitrogen was used as the reactive gas. Surface morphology of the films was evaluated using atomic force microscopy (AFM); chemical properties were determined using Fourier transform infrared spectroscopy (FTIR); thickness and optical properties were determined using spectroscopic ellipsometry and mechanical properties were determined using nano-indentation. In generalmore » films deposited at substrate temperature (T s) <200 °C contained organic moieties, while the films deposited at T s >200 oC depicted strong Si-N and Si-CN absorption. Refractive indices (n) of the thin films showed values between 1.5 -2.0 depending on the deposition parameters. Mechanical properties of the films determined using nano-indentation revealed that these films have hardness between 0.5 GPa to 15 GPa depending on the Ts. AFM evaluation of the films showed high roughness (R a) values of 2-3 nm for the films grown at low T s (< 250 °C), while the films grown at T s ≥ 300 °C exhibited atomically smooth surface with R a of ~ 0.5 nm. Furthermore, based on the gas phase (plasma) chemistry, precursor chemistry and the other experimental observations, a possible growth model that prevails in the AP-PECVD of a-SiCN:H thin films is proposed.« less

  8. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  9. Single Source Precursors for Thin Film Solar Cells

    NASA Technical Reports Server (NTRS)

    Banger, Kulbinder K.; Hollingsworth, Jennifer A.; Harris, Jerry D.; Cowen, Jonathan; Buhro, William E.; Hepp, Aloysius F.

    2002-01-01

    The development of thin film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power, (W/kg). The use of a polycrystalline chalcopyrite absorber layer for thin film solar cells is considered as the next generation photovoltaic devices. At NASA GRC we have focused on the development of new single source precursors (SSP) and their utility to deposit the chalcopyrite semi-conducting layer (CIS) onto flexible substrates for solar cell fabrication. The syntheses and thermal modulation of SSPs via molecular engineering is described. Thin-film fabrication studies demonstrate the SSPs can be used in a spray CVD (chemical vapor deposition) process, for depositing CIS at reduced temperatures, which display good electrical properties, suitable for PV (photovoltaic) devices.

  10. Synthesis and Characterization of High c-axis ZnO Thin Film by Plasma Enhanced Chemical Vapor Deposition System and its UV Photodetector Application

    PubMed Central

    Chao, Chung-Hua; Wei, Da-Hua

    2015-01-01

    In this study, zinc oxide (ZnO) thin films with high c-axis (0002) preferential orientation have been successfully and effectively synthesized onto silicon (Si) substrates via different synthesized temperatures by using plasma enhanced chemical vapor deposition (PECVD) system. The effects of different synthesized temperatures on the crystal structure, surface morphologies and optical properties have been investigated. The X-ray diffraction (XRD) patterns indicated that the intensity of (0002) diffraction peak became stronger with increasing synthesized temperature until 400 oC. The diffraction intensity of (0002) peak gradually became weaker accompanying with appearance of (10-10) diffraction peak as the synthesized temperature up to excess of 400 oC. The RT photoluminescence (PL) spectra exhibited a strong near-band-edge (NBE) emission observed at around 375 nm and a negligible deep-level (DL) emission located at around 575 nm under high c-axis ZnO thin films. Field emission scanning electron microscopy (FE-SEM) images revealed the homogeneous surface and with small grain size distribution. The ZnO thin films have also been synthesized onto glass substrates under the same parameters for measuring the transmittance. For the purpose of ultraviolet (UV) photodetector application, the interdigitated platinum (Pt) thin film (thickness ~100 nm) fabricated via conventional optical lithography process and radio frequency (RF) magnetron sputtering. In order to reach Ohmic contact, the device was annealed in argon circumstances at 450 oC by rapid thermal annealing (RTA) system for 10 min. After the systematic measurements, the current-voltage (I-V) curve of photo and dark current and time-dependent photocurrent response results exhibited a good responsivity and reliability, indicating that the high c-axis ZnO thin film is a suitable sensing layer for UV photodetector application. PMID:26484561

  11. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    PubMed

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, B.E.; McLean, W. II

    1996-02-13

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus. 9 figs.

  13. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, Bruce E.; McLean, II, William

    1996-01-01

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus.

  14. Structural Characterization of Vapor-deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Gujral, Ankit

    Physical vapor deposition, a common route of thin film fabrication for organic electronic devices, has recently been shown to produce organic glassy films with enhanced kinetic stability and anisotropic structure. Anisotropic structures are of interest in the organic electronics community as it has been shown that certain structures lead to enhanced device performance, such as higher carrier mobility and better light outcoupling. A mechanism proposed to explain the origin of the stability and anisotropy of vapor-deposited glasses relies on two parameters: 1) enhanced molecular mobility at the free surface (vacuum interface) of a glass, and 2) anisotropic molecular packing at the free surface of the supercooled liquid of the glass-forming system. By vapor-depositing onto a substrate maintained at Tsubstrate < Tg (where Tg is the glass transition temperature), the enhanced molecular mobility at the free surface allows every molecule that lands on the surface to at least partially equilibrate to the preferred anisotropic molecular packing motifs before being buried by further deposition. The extent of equilibration depends on the mobility at the surface, controlled by Tsubstrate, and the residence time on the free surface, controlled by the rate of deposition. This body of work deals with the optimization of deposition conditions and system chemistry to prepare and characterize films with functional anisotropic structures. Here, we show that structural anisotropy can be attained for a variety of molecular systems including a rod-shaped non-mesogen, TPD, a rod-shaped smectic mesogen, itraconazole, two discotic mesogens, phenanthroperylene-ester and triphenylene-ester, and a disc-shaped non-mesogen, m-MTDATA. Experimental evidence is also provided of the anisotropic molecular packing at the free surface (vacuum interface) for the disc-shaped systems that are consistent with the expectations of the proposed mechanism and the final bulk state of the vapor-deposited

  15. Thin-film cadmium telluride photovoltaic cells

    NASA Astrophysics Data System (ADS)

    Compaan, A. D.; Bohn, R. G.

    1994-09-01

    This report describes work to develop and optimize radio-frequency (RF) sputtering for the deposition of thin films of cadmium telluride (CdTe) and related semiconductors for thin-film solar cells. Pulsed laser physical vapor deposition was also used for exploratory work on these materials, especially where alloying or doping are involved, and for the deposition of cadmium chloride layers. The sputtering work utilized a 2-in diameter planar magnetron sputter gun. The film growth rate by RF sputtering was studied as a function of substrate temperature, gas pressure, and RF power. Complete solar cells were fabricated on tin-oxide-coated soda-lime glass substrates. Currently, work is being done to improve the open-circuit voltage by varying the CdTe-based absorber layer, and to improve the short-circuit current by modifying the CdS window layer.

  16. Properties of NiO thin films deposited by intermittent spray pyrolysis process

    NASA Astrophysics Data System (ADS)

    Reguig, B. A.; Khelil, A.; Cattin, L.; Morsli, M.; Bernède, J. C.

    2007-02-01

    NiO thin films have been grown on glass substrates by intermittent spray pyrolysis deposition of NiCl 2·6H 2O diluted in distilled water, using a simple "perfume atomizer". The effect of the solution molarity on their properties was studied and compared to those of NiO thin films deposited with a classical spray system. It is shown that NiO thin films crystallized in the NiO structure are achieved after deposition. Whatever the precursor molarity, the grain size is around 25-30 nm. The crystallites are preferentially oriented along the (1 1 1) direction. All the films are p-type. However, the thickness and the conductivity of the NiO films depend on the precursor contraction. By comparison with the properties of films deposited by classical spray technique, it is shown that the critical precursor concentration, which induces strong thin films properties perturbations, is higher when a perfume atomizer is used. This broader stability domain can be attributed to better chlorides decomposition during the rest time used in the perfume atomizer technique.

  17. Microcrystalline silicon thin films deposited by matrix-distributed electron cyclotron resonance plasma enhanced chemical vapor deposition using an SiF4 /H2 chemistry

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; Bulkin, Pavel; Florea, Ileana; Maurice, Jean-Luc; Johnson, Erik

    2016-07-01

    For the growth of hydrogenated microcrystalline silicon (μc-Si:H) thin films by low temperature plasma-enhanced chemical vapor deposition (PECVD), silicon tetrafluoride (SiF4) has recently attracted interest as a precursor due to the resilient optoelectronic performance of the resulting material and devices. In this work, μc-Si:H films are deposited at high rates (7 Å s-1) from a SiF4 and hydrogen (H2) gas mixture by matrix-distributed electron cyclotron resonance PECVD (MDECR-PECVD). Increased substrate temperature and moderate ion bombardment energy (IBE) are demonstrated to be of vital importance to achieve high quality μc-Si:H films under such low process pressure and high plasma density conditions, presumably due to thermally-induced and ion-induced enhancement of surface species migration. Two well-defined IBE thresholds at 12 eV and 43 eV, corresponding respectively to SiF+ ion-induced surface and bulk atomic displacement, are found to be determinant to the final film properties, namely the surface roughness, feature size and crystalline content. Moreover, a study of the growth dynamics shows that the primary challenge to producing highly crystallized μc-Si:H films by MDECR-PECVD appears to be the nucleation step. By employing a two-step method to first prepare a highly crystallized seed layer, μc-Si:H films lacking any amorphous incubation layer have been obtained. A crystalline volume fraction of 68% is achieved with a substrate temperature as low as 120 °C, which is of great interest to broaden the process window for solar cell applications.

  18. Deposition And Characterization of (Ti,Zr)N Thin Films Grown Through PAPVD By The Pulsed Arc Technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marulanda, D. M.; Trujillo, O.; Devia, A.

    The Plasma Assisted Physic Vapor Deposition (PAPVD) by the pulsed arc technique has been used for deposition of Titanium Zirconium Nitride (Ti,Zr)N coatings, using a segmented target of TiZr. The deposition was performed in a vacuum chamber with two faced electrodes (target and substrate) using nitrogen as working gas, and a power-controlled source used to produce the arc discharges. Films were deposited on stainless steel 304, and they were characterized using the X-Ray Photoelectron Spectroscopy (XPS), X-Ray Diffraction (XRD), Energy Dispersion Spectroscopy (EDS) and Scanning Probe Microscopy (SPM) techniques. The XRD patterns show different planes in which the film grows.more » Through SPM, using Atomic Force Microscopy (AFM) and Lateral Force Microscopy (LFM) modes, a nanotribologic study of the thin film was made, determining hardness and friction coefficient.« less

  19. III-Vs at Scale: A PV Manufacturing Cost Analysis of the Thin Film Vapor-Liquid-Solid Growth Mode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Maxwell; Horowitz, Kelsey; Woodhouse, Michael

    The authors present a manufacturing cost analysis for producing thin-film indium phosphide modules by combining a novel thin-film vapor-liquid-solid (TF-VLS) growth process with a standard monolithic module platform. The example cell structure is ITO/n-TiO2/p-InP/Mo. For a benchmark scenario of 12% efficient modules, the module cost is estimated to be $0.66/W(DC) and the module cost is calculated to be around $0.36/W(DC) at a long-term potential efficiency of 24%. The manufacturing cost for the TF-VLS growth portion is estimated to be ~$23/m2, a significant reduction compared with traditional metalorganic chemical vapor deposition. The analysis here suggests the TF-VLS growth mode could enablemore » lower-cost, high-efficiency III-V photovoltaics compared with manufacturing methods used today and open up possibilities for other optoelectronic applications as well.« less

  20. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  1. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  2. Nanocrystalline diamond thin films on titanium-6 aluminum-4 vanadium alloy temporomandibular joint prosthesis simulants by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fries, Marc Douglas

    A course of research has been performed to assess the suitability of nanocrystal-line diamond (NCD) films on Ti-6Al-4V alloy as wear-resistant coatings in biomedical implant use. A series of temporomandibular (TMJ) joint condyle simulants were polished and acid-passivated as per ASTM F86 standard for surface preparation of implants. A 3-mum-thick coating of NCD film was deposited by microwave plasma chemical vapor deposition (MPCVD) over the hemispherical articulation surfaces of the simulants. Plasma chemistry conditions were measured and monitored by optical emission spectroscopy (OES), using hydrogen as a relative standard. The films consist of diamond grains around 20 nm in diameter embedded in an amorphous carbon matrix, free of any detectable film stress gradient. Hardness averages 65 GPa and modulus measures 600 GPa at a depth of 250 nm into the film surface. A diffuse film/substrate boundary produces a minimal film adhesion toughness (GammaC) of 158 J/m2. The mean RMS roughness is 14.6 +/- 4.2 nm, with an average peak roughness of 82.6 +/- 65.9 nm. Examination of the surface morphology reveals a porous, dendritic surface. Wear testing resulted in two failed condylar coatings out of three tests. No macroscopic delamination was found on any sample, but micron-scale film pieces broke away, exposing the substrate. Electrochemical corrosion testing shows a seven-fold reduction in corrosion rate with the application of an NCD coating as opposed to polished, passivated Ti-6Al-4V, producing a corrosion rate comparable to wrought Co-Cr-Mo. In vivo biocompatibility testing indicates that implanted NCD films did not elicit an immune response in the rabbit model, and osteointegration was apparent for both compact and trabecular bone on both NCD film and bare Ti-6Al-4V. Overall, NCD thin film material is reasonably smooth, biocompatible, and very well adhered. Wear testing indicates that this material is unacceptable for use in demanding TMJ applications without

  3. Vapor-deposited porous films for energy conversion

    DOEpatents

    Jankowski, Alan F.; Hayes, Jeffrey P.; Morse, Jeffrey D.

    2005-07-05

    Metallic films are grown with a "spongelike" morphology in the as-deposited condition using planar magnetron sputtering. The morphology of the deposit is characterized by metallic continuity in three dimensions with continuous and open porosity on the submicron scale. The stabilization of the spongelike morphology is found over a limited range of the sputter deposition parameters, that is, of working gas pressure and substrate temperature. This spongelike morphology is an extension of the features as generally represented in the classic zone models of growth for physical vapor deposits. Nickel coatings were deposited with working gas pressures up 4 Pa and for substrate temperatures up to 1000 K. The morphology of the deposits is examined in plan and in cross section views with scanning electron microscopy (SEM). The parametric range of gas pressure and substrate temperature (relative to absolute melt point) under which the spongelike metal deposits are produced appear universal for other metals including gold, silver, and aluminum.

  4. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE PAGES

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan; ...

    2017-04-14

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  5. Influence of vapor deposition on structural and charge transport properties of ethylbenzene films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antony, Lucas W.; Jackson, Nicholas E.; Lyubimov, Ivan

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that themore » model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. Finally, these results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design.« less

  6. Influence of Vapor Deposition on Structural and Charge Transport Properties of Ethylbenzene Films

    PubMed Central

    2017-01-01

    Organic glass films formed by physical vapor deposition exhibit enhanced stability relative to those formed by conventional liquid cooling and aging techniques. Recently, experimental and computational evidence has emerged indicating that the average molecular orientation can be tuned by controlling the substrate temperature at which these “stable glasses” are grown. In this work, we present a comprehensive all-atom simulation study of ethylbenzene, a canonical stable-glass former, using a computational film formation procedure that closely mimics the vapor deposition process. Atomistic studies of experimentally formed vapor-deposited glasses have not been performed before, and this study therefore begins by verifying that the model and method utilized here reproduces key structural features observed experimentally. Having established agreement between several simulated and experimental macroscopic observables, simulations are used to examine the substrate temperature dependence of molecular orientation. The results indicate that ethylbenzene glasses are anisotropic, depending upon substrate temperature, and that this dependence can be understood from the orientation present at the surface of the equilibrium liquid. By treating ethylbenzene as a simple model for molecular semiconducting materials, a quantum-chemical analysis is then used to show that the vapor-deposited glasses exhibit decreased energetic disorder and increased magnitude of the mean-squared transfer integral relative to isotropic, liquid-cooled films, an effect that is attributed to the anisotropic ordering of the molecular film. These results suggest a novel structure–function simulation strategy capable of tuning the electronic properties of organic semiconducting glasses prior to experimental deposition, which could have considerable potential for organic electronic materials design. PMID:28573203

  7. Thin film solar cells grown by organic vapor phase deposition

    NASA Astrophysics Data System (ADS)

    Yang, Fan

    Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.

  8. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  9. Morphological Transition in Diamond Thin-Films Induced by Boron in a Microwave Plasma Deposition Process.

    PubMed

    Baker, Paul A; Goodloe, David R; Vohra, Yogesh K

    2017-11-14

    The purpose of this study is to understand the basic mechanisms responsible for the synthesis of nanostructured diamond films in a microwave plasma chemical vapor deposition (MPCVD) process and to identify plasma chemistry suitable for controlling the morphology and electrical properties of deposited films. The nanostructured diamond films were synthesized by MPCVD on Ti-6Al-4V alloy substrates using H₂/CH₄/N₂ precursor gases and the plasma chemistry was monitored by the optical emission spectroscopy (OES). The synthesized thin-films were characterized by x -ray diffraction and scanning electron microscopy. The addition of B₂H₆ to the feedgas during MPCVD of diamond thin-films changes the crystal grain size from nanometer to micron scale. Nanostructured diamond films grown with H₂/CH₄/N₂ gases demonstrate a broad (111) Bragg x -ray diffraction peak (Full-Width at Half-Maximum (FWHM) = 0.93° 2θ), indicating a small grain size, whereas scans show a definite sharpening of the diamond (111) peak (FWHM = 0.30° 2θ) with the addition of boron. OES showed a decrease in CN (carbon-nitrogen) radical in the plasma with B₂H₆ addition to the gas mixture. Our study indicates that CN radical plays a critical role in the synthesis of nanostructured diamond films and suppression of CN radical by boron-addition in the plasma causes a morphological transition to microcrystalline diamond.

  10. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  11. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  12. Silicon nanomembranes as a means to evaluate stress evolution in deposited thin films

    Treesearch

    Anna M. Clausen; Deborah M. Paskiewicz; Alireza Sadeghirad; Joseph Jakes; Donald E. Savage; Donald S. Stone; Feng Liu; Max G. Lagally

    2014-01-01

    Thin-film deposition on ultra-thin substrates poses unique challenges because of the potential for a dynamic response to the film stress during deposition. While theoretical studies have investigated film stress related changes in bulk substrates, little has been done to learn how stress might evolve in a film growing on a compliant substrate. We use silicon...

  13. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    PubMed

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  14. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  15. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    PubMed

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  16. Dense zig-zag microstructures in YSZ thin films by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Stender, Dieter; Schäuble, Nina; Weidenkaff, Anke; Montagne, Alex; Ghisleni, Rudy; Michler, Johann; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-01-01

    The very brittle oxygen ion conductor yttria stabilized zirconia (YSZ) is a typical solid electrolyte for miniaturized thin film fuel cells. In order to decrease the fuel cell operating temperature, the thickness of yttria stabilized zirconia thin films is reduced. Often, these thin membranes suffer from mechanical failure and gas permeability. To improve these mechanical issues, a glancing angle deposition approach is used to grow yttria stabilized zirconia thin films with tilted columnar structures. Changes of the material flux direction during the deposition result in a dense, zigzag-like structure with columnar crystallites. This structure reduces the elastic modulus of these membranes as compared to columnar yttria stabilized zirconia thin films as monitored by nano-indentation which makes them more adaptable to applied stress.

  17. Organic/hybrid thin films deposited by matrix-assisted pulsed laser evaporation (MAPLE)

    NASA Astrophysics Data System (ADS)

    Stiff-Roberts, Adrienne D.; Ge, Wangyao

    2017-12-01

    Some of the most exciting materials research in the 21st century attempts to resolve the challenge of simulating, synthesizing, and characterizing new materials with unique properties designed from first principles. Achievements in such development for organic and organic-inorganic hybrid materials make them important options for electronic and/or photonic devices because they can impart multi-functionality, flexibility, transparency, and sustainability to emerging systems, such as wearable electronics. Functional organic materials include small molecules, oligomers, and polymers, while hybrid materials include inorganic nanomaterials (such as zero-dimensional quantum dots, one-dimensional carbon nanotubes, or two-dimensional nanosheets) combined with organic matrices. A critically important step to implementing new electronic and photonic devices using such materials is the processing of thin films. While solution-based processing is the most common laboratory technique for organic and hybrid materials, vacuum-based deposition has been critical to the commercialization of organic light emitting diodes based on small molecules, for example. Therefore, it is desirable to explore vacuum-based deposition of organic and hybrid materials that include larger macromolecules, such as polymers. This review article motivates the need for physical vapor deposition of polymeric and hybrid thin films using matrix-assisted pulsed laser evaporation (MAPLE), which is a type of pulsed laser deposition. This review describes the development of variations in the MAPLE technique, discusses the current understanding of laser-target interactions and growth mechanisms for different MAPLE variations, surveys demonstrations of MAPLE-deposited organic and hybrid materials for electronic and photonic devices, and provides a future outlook for the technique.

  18. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  19. Preparation of silver-activated zinc sulfide thin films

    NASA Technical Reports Server (NTRS)

    Feldman, C.; Swindells, F. E.

    1968-01-01

    Silver improves luminescence and reduces contamination of zinc sulfide phosphors. The silver is added after the zinc sulfide phosphors are deposited in thin films by vapor evaporation, but before calcining, by immersion in a solution of silver salt.

  20. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  1. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  2. Controllable poly-crystalline bilayered and multilayered graphene film growth by reciprocal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae

    2015-06-01

    We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for

  3. Microstructure of ZnO Thin Films Deposited by High Power Impulse Magnetron Sputtering (Postprint)

    DTIC Science & Technology

    2015-03-01

    AFRL-RX-WP-JA-2015-0185 MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON SPUTTERING (POSTPRINT) A. N. Reed...COVERED (From – To) 29 January 2013 – 16 February 2015 4. TITLE AND SUBTITLE MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON...ABSTRACT High power impulse magnetron sputtering was used to deposit thin (~100 nm) zinc oxide (ZnO) films from a ceramic ZnO target onto substrates

  4. Micro-machined thin film hydrogen gas sensor, and method of making and using the same

    NASA Technical Reports Server (NTRS)

    DiMeo, Jr., Frank (Inventor); Bhandari, Gautam (Inventor)

    2001-01-01

    A hydrogen sensor including a thin film sensor element formed, e.g., by metalorganic chemical vapor deposition (MOCVD) or physical vapor deposition (PVD), on a microhotplate structure. The thin film sensor element includes a film of a hydrogen-interactive metal film that reversibly interacts with hydrogen to provide a correspondingly altered response characteristic, such as optical transmissivity, electrical conductance, electrical resistance, electrical capacitance, magnetoresistance, photoconductivity, etc., relative to the response characteristic of the film in the absence of hydrogen. The hydrogen-interactive metal film may be overcoated with a thin film hydrogen-permeable barrier layer to protect the hydrogen-interactive film from deleterious interaction with non-hydrogen species. The hydrogen sensor of the invention may be usefully employed for the detection of hydrogen in an environment susceptible to the incursion or generation of hydrogen and may be conveniently configured as a hand-held apparatus.

  5. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  6. Optical properties of diamond like carbon nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  7. RF sputter deposition of SrS:Eu and ZnS:Mn thin film electroluminescent phosphors

    NASA Astrophysics Data System (ADS)

    Droes, Steven Roy

    1998-09-01

    The radio-frequency (rf) sputter deposition of thin film electroluminescent (TFEL) materials was studied. Thin films of strontium sulfide doped with europium (SrS:Eu) and zinc sulfide doped with manganese (ZnS:Mn) were RF sputter deposited at different conditions. Photoluminescent and electroluminescent behaviors of these films were examined. Photoluminescent active, crystalline films of SrS:Eu were deposited at temperatures from 300o C to 650o C. The best temperature was 400o C, where a PL efficiency of 35% was achieved. Films were deposited at two power levels (90 and 120 watts) and five H2S concentrations (0.6%, 1.3%, 2.4%, 4.0% and 5.3%). The H2S concentration affected the crystallinity of the films and the PL performance. Lower H2S concentrations resulted in films with smaller crystallite sizes and poorer PL performance. Increased H2S concentrations increased the PL intensity and the overall spectra resembled that of an efficient SrS:Eu powder. Although there was a correlation between crystallinity and PL performance other factors such as europium concentration, distribution, and local environment also influence PL performance. Analytical results suggested that, although a film may be crystalline and have the correct europium concentration, unless the europium is in the correct localized environment, optimum PL response will not be achieved. Increased H2S concentrations produced films with europium located in optimum locations. Contrary to vacuum or chemical vapor deposited films, the sputter deposited films showed no trailing edge emission during electroluminescence. A suggested reason for this lack of a trailing edge emission in these films is that the sputter deposition process produces phosphor- insulator interfaces without shallow trap states. A statistical design of experiments approach was implemented for the sputter deposition of ZnS:Mn. The effects of four factors (substrate temperature, chamber pressure, power to the target, and H2S concentration) on

  8. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  9. Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Merkulov, V. I.; Lowndes, D. H.

    2001-03-01

    The correlation between prepatterned catalyst film thickness and carbon nanotube (CNT) growth by selective area chemical vapor deposition (CVD) was studied using Fe and Ni as catalyst. To eliminate sample-to-sample variations and create a growth environment in which the film thickness is the sole variable, samples with continuously changing catalyst film thickness from 0 to 60 nm were fabricated by electron-gun evaporation. Using thermal CVD CNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature. There appears to be no strong correlation between the film thickness and the diameter of the tubes. In contrast, using plasma enhanced CVD with Ni as catalyst, vertically oriented CNTs grow in the entire range of catalyst film thickness. The diameter of these CNTs shows a strong correlation with the catalyst film thickness. The significance of these experimental trends is discussed within the framework of the diffusion model for CNT growth.

  10. Morphology and electronic transport of polycrystalline pentacene thin-film transistors

    NASA Astrophysics Data System (ADS)

    Knipp, D.; Street, R. A.; Völkel, A. R.

    2003-06-01

    Temperature-dependent measurements of thin-film transistors were performed to gain insight in the electronic transport of polycrystalline pentacene. Devices were fabricated with plasma-enhanced chemical vapor deposited silicon nitride gate dielectrics. The influence of the dielectric roughness and the deposition temperature of the thermally evaporated pentacene films were studied. Although films on rougher gate dielectrics and films prepared at low deposition temperatures exhibit similar grain size, the electronic properties are different. Increasing the dielectric roughness reduces the free carrier mobility, while low substrate temperature leads to more and deeper hole traps.

  11. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    PubMed

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  12. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  13. Electrochemical Deposition of Lanthanum Telluride Thin Films and Nanowires

    NASA Astrophysics Data System (ADS)

    Chi, Su (Ike); Farias, Stephen; Cammarata, Robert

    2013-03-01

    Tellurium alloys are characterized by their high performance thermoelectric properties and recent research has shown nanostructured tellurium alloys display even greater performance than bulk equivalents. Increased thermoelectric efficiency of nanostructured materials have led to significant interests in developing thin film and nanowire structures. Here, we report on the first successful electrodeposition of lanthanum telluride thin films and nanowires. The electrodeposition of lanthanum telluride thin films is performed in ionic liquids at room temperature. The synthesis of nanowires involves electrodepositing lanthanum telluride arrays into anodic aluminum oxide (AAO) nanoporous membranes. These novel procedures can serve as an alternative means of simple, inexpensive and laboratory-environment friendly methods to synthesize nanostructured thermoelectric materials. The thermoelectric properties of thin films and nanowires will be presented to compare to current state-of-the-art thermoelectric materials. The morphologies and chemical compositions of the deposited films and nanowires are characterized using SEM and EDAX analysis.

  14. Zinc doping of large-area MoS2 films via chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi; Liu, Haoming; Park, Kyungwha; Li, Zhen; Losovyj, Yaroslav; Starr, Matthew; Werbianskyj, Madilynn; Fertig, Herbert; Zhang, Shixiong

    Atomically thin molybdenum disulfide (MoS2) has attracted significant attention because of its great potential for electronic and optoelectronic applications. Undoped MoS2 is n-type presumably due to the formation of native defects, and realizing p-type conduction has often turned out to be challenging. In this work, we report on the synthesis and characterizations of large-area Zn-doped MoS2 thin films in which the zinc dopant is demonstrated to be p-type. The films were grown by chemical vapor deposition and are monolayers or bilayers with a lateral dimension on the order of millimeters. The p-type nature of Zn dopants was evidenced by the suppression of n-type conduction and a downward shift of the Fermi level with doping. Density-functional-theory calculations were carried out to demonstrate the stability of the Zn dopants and to determine the impurity states. A p-type gate transfer characteristic was observed after the Zn-MoS2 film was thermally annealed in a sulfur atmosphere. This work is supported by the NSF through Grant Nos. DMR-1506460, DMR-1506263, and DMR-1206354, the San Diego Supercomputer Center (SDSC) Gordon under DMR060009N, and by the US-Israel Binational Science Foundation.

  15. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  16. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  17. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  18. Low temperature synthesis of silicon nitride thin films deposited by VHF/RF PECVD for gas barrier application

    NASA Astrophysics Data System (ADS)

    Lee, Jun S.; Shin, Kyung S.; Sahu, B. B.; Han, Jeon G.

    2015-09-01

    In this work, silicon nitride (SiNx) thin films were deposited on polyethylene terephthalate (PET) substrates as barrier layers by plasma enhanced chemical vapor deposition (PECVD) system. Utilizing a combination of very high-frequency (VHF 40.68 MHz) and radio-frequency (RF 13.56 MHz) plasmas it was possible to adopt PECVD deposition at low-temperature using the precursors: Hexamethyldisilazane (HMDSN) and nitrogen. To investigate relationship between film properties and plasma properties, plasma diagnostic using optical emission spectroscopy (OES) was performed along with the film analysis using Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS). OES measurements show that there is dominance of the excited N2 and N2+ emissions with increase in N2 dilution, which has a significant impact on the film properties. It was seen that all the deposited films contains mainly silicon nitride with a small content of carbon and no signature of oxygen. Interestingly, upon air exposure, films have shown the formation of Si-O bonds in addition to the Si-N bonds. Measurements and analysis reveals that SiNx films deposited with high content of nitrogen with HMDSN plasma can have lower gas barrier properties as low as 7 . 3 ×10-3 g/m2/day. Also at Chiang Mai University.

  19. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  20. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    PubMed

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  1. Ru nucleation and thin film smoothness improvement with ammonia during chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu

    This study reports the use of ammonia to inhibit the growth of previously nucleated ruthenium islands and force the nucleation of additional islands such that thinner films form as the islands coalesce with continued growth. Ruthenium films are grown at 448 K in a chemical vapor deposition process on SiO{sub 2}/Si(001) using triruthenium dodecacarbonyl, Ru{sub 3}(CO){sub 12}, with and without a constant partial pressure of ammonia. Film growth was performed at a Ru{sub 3}(CO){sub 12}/Ar pressure of 47.2 mTorr. The ammonia partial pressure varied from 0 to 27.8 mTorr. X-ray photoelectron spectroscopy was used to analyze the samples in situ. Exmore » situ characterization included scanning electron microscopy, atomic force microscopy, and x-ray diffraction and x-ray reflectivity. Nucleation studies limited to the first 10 min of growth revealed the maximum nanoparticle (island) density of 8.1 × 10{sup 11 }cm{sup −2} occurred at an intermediate ammonia pressure (5.25 mTorr) compared to a density of 3.1 × 10{sup 11 }cm{sup −2} for no ammonia addition. Extending film growth to 120 min and varying the ammonia partial pressure during the first 10 min followed by 5.25 mTorr ammonia pressure for the final 110 min reveals the importance of nucleation on film smoothness. A model describing the inhibition effects of ammonia during nucleation and growth is presented.« less

  2. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  3. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  4. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  5. Gas Sensing Properties of bis-Phthalocyanine Thin Film

    NASA Astrophysics Data System (ADS)

    Dumludag, Fatih; Kilic, Pinar; Odabas, Zafer; Altindal, Ahmet; Bekaroglu, Ozer

    2010-01-01

    In this study, response of the cofacial bis- phthalocyanine film to vapor of Volatile Organic Compounds (VOCs) was investigated. Test gases were vapors of acetone, toluene, ethanol and ammonia. Measurements were carried out between the temperatures of 293 K-423 K. Bis-phthalocyanine was dissolved in chloroform. Thin film of bis-phthalocyanine was deposited by spraying method on glass substrate patterned with Interdigital Transducer (IDT). During the measurements 0.5 volts were applied to the IDT. Response characteristics of the film were determined by means of change in dc conductivity as a function of gas concentration and temperature. Gas concentrations were controlled by mass flow controller. Dry nitrogen was used as carrier gas. Vapor pressure of the VOCs was calculated using Antoine equation. Response characteristics of the film were determined in a wide range of gas concentration (0.25%-18%). The film showed good sensitivity to the VOCs vapors in the measurement range. The responses of the film were reversible. All the measurement system was computerized.

  6. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    NASA Astrophysics Data System (ADS)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  7. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  8. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  9. Perovskite solar cells based on nanocolumnar plasma-deposited ZnO thin films.

    PubMed

    Ramos, F Javier; López-Santos, Maria C; Guillén, Elena; Nazeeruddin, Mohammad Khaja; Grätzel, Michael; Gonzalez-Elipe, Agustin R; Ahmad, Shahzada

    2014-04-14

    ZnO thin films having a nanocolumnar microstructure are grown by plasma-enhanced chemical vapor deposition at 423 K on pre-treated fluorine-doped tin oxide (FTO) substrates. The films consist of c-axis-oriented wurtzite ZnO nanocolumns with well-defined microstructure and crystallinity. By sensitizing CH3NH3PbI3 on these photoanodes a power conversion of 4.8% is obtained for solid-state solar cells. Poly(triarylamine) is found to be less effective when used as the hole-transport material, compared to 2,2',7,7'-tetrakis(N,N-di-p-methoxyphenylamine)-9,9'-spirobifluorene (spiro-OMeTAD), while the higher annealing temperature of the perovskite leads to a better infiltration in the nanocolumnar structure and an enhancement of the cell efficiency. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. In-situ deposition of sodium titanate thin film as anode for sodium-ion micro-batteries developed by pulsed laser deposition.

    PubMed

    Rambabu, A; Senthilkumar, B; Sada, K; Krupanidhi, S B; Barpanda, P

    2018-03-15

    Sodium-ion thin-film micro-batteries form a niche sector of energy storage devices. Sodium titanate, Na 2 Ti 6 O 13 (NTO) thin films were deposited by pulsed laser deposition (PLD) using solid-state synthesized polycrystalline Na 2 Ti 6 O 13 compound. The phase-purity and crystallinity of NTO in bulk and thin-film forms were confirmed by Rietveld refinement. Electron microscopy and atomic force microscopy revealed the formation of uniform ∼100 nm thin film with roughness of ∼4 nm consisting of homogeneous nanoscale grains. These PLD-deposited NTO thin-films, when tested in Na-half cell architecture, delivered a near theoretical reversible capacity close to 42 mA h g -1 involving Ti 4+ /Ti 3+ redox activity along with good cycling stability and rate kinetics. Na 2 Ti 6 O 13 can work as an efficient and safe anode in designing sodium-ion thin-film micro-batteries. Copyright © 2017 Elsevier Inc. All rights reserved.

  11. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  12. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  13. Pulsed laser deposition and characterization of cellulase thin films

    NASA Astrophysics Data System (ADS)

    Cicco, N.; Morone, A.; Verrastro, M.; Viggiano, V.

    2013-08-01

    Thin films of cellulase were obtained by pulsed laser deposition (PLD) on an appropriate substrate. Glycoside hydrolase cellulase has received our attention because it emerges among the antifouling enzymes (enzymes being able to remove and prevent the formation of micro-organism biofilms) used in industry and medicine field. Pressed cellulase pellets, used as target material, were ablated with pulses of a Nd-YAG laser working at wavelength of 532 nm. In this work, we evaluated the impact of PLD technique both on molecular structure and hydrolytic activity of cellulase. Characteristic chemical bonds and morphology of deposited layers were investigated by FTIR spectroscopy and SEM respectively. The hydrolytic activity of cellulase thin films was detected by a colorimetric assay.

  14. Investigation of the optical property and structure of WO3 thin films with different sputtering depositions

    NASA Astrophysics Data System (ADS)

    Chen, Hsi-Chao; Jan, Der-Jun; Chen, Chien-Han; Huang, Kuo-Ting; Lo, Yen-Ming; Chen, Sheng-Hui

    2011-09-01

    The purpose of this research was to compare the optical properties and structure of tungsten oxide (WO3) thin films that was deposited by different sputtering depositions. WO3 thin films deposited by two different depositions of direct current (DC) magnetron sputtering and pulsed DC sputtering. A 99.95% WO3 target was used as the starting material for these depositions. These WO3 thin films were deposited on the ITO glass, PET and silicon substrate by different ratios of oxygen and argon. A shadow moiré interferometer would be introduced to measure the residual stress for PET substrate. RF magnetron sputtering had the large residual stress than the other's depositions. A Raman spectrum could exhibit the phase of oxidation of WO3 thin film by different depositions. At the ratio of oxygen and argon was about 1:1, and the WO3 thin films had the best oxidation. However, it was important at the change of the transmittance (ΔT = Tbleached - Tcolored) between the coloring and bleaching for the smart window. Therefore, we also found the WO3 thin films had the large variation of transmittance between the coloring and bleaching at the gas ratios of oxygen and argon of 1:1.

  15. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aryanto, Didik, E-mail: didi027@lipi.go.id; Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah; Marwoto, Putut

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtainedmore » at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.« less

  16. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    NASA Astrophysics Data System (ADS)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  17. Investigations into the Origins of the Physical Structure of Thin Films.

    DTIC Science & Technology

    1985-10-25

    part of a Bachelor of Engineering thesis (see publications list). The digitization and grey-level scheme for recording the images was relatively low...Santa Rosa, CA). THESIS Ammar Kouki, Bachelor of Engineering Science (May, 1985), ’Can the Morphology of Thin Films Grown by CVD Be Explained Using...unique to the deposition technique but has been found in all vapor-deposited films as well as electrodeposited films. The only common link between

  18. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  19. Near-Failure Detonation Behavior of Vapor-Deposited Hexanitrostilbene (HNS) Films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan; Tappan, Alexander

    2015-06-01

    Physical vapor deposition is an attractive method to produce sub-millimeter explosive samples for studying detonation behavior at near-failure conditions. In this work, we examine hexanitrostilbene (HNS) films deposited onto polycarbonate substrates using vacuum thermal sublimation. Deposition conditions are varied in order to alter porosity in the films, and the resulting microstructures are quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation are determined. The polycarbonate substrates can act as recording plates for detonation experiments, and films near the critical thickness display distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  20. Studies on the high electronic energy deposition in polyaniline thin films

    NASA Astrophysics Data System (ADS)

    Deshpande, N. G.; Gudage, Y. G.; Vyas, J. C.; Singh, F.; Sharma, Ramphal

    2008-05-01

    We report here the physico-chemical changes brought about by high electronic energy deposition of gold ions in HCl doped polyaniline (PANI) thin films. PANI thin films were synthesized by in situ polymerization technique. The as-synthesized PANI thin films of thickness 160 nm were irradiated using Au7+ ion of 100 MeV energy at different fluences, namely, 5 × 1011 ions/cm2 and 5 × 1012 ions/cm2, respectively. A significant change was seen after irradiation in electrical and photo conductivity, which may be related to increased carrier concentration, and structural modifications in the polymer film. In addition, the high electronic energy deposition showed other effects like cross-linking of polymer chains, bond breaking and creation of defect sites. AFM observations revealed mountainous type features in all (before and after irradiation) PANI samples. The average size (diameter) and density of such mountainous clusters were found to be related with the ion fluence. The AFM profiles also showed change in the surface roughness of the films with respect to irradiation, which is one of the peculiarity of the high electronic energy deposition technique.

  1. Perpendicularly oriented barium ferrite thin films with low microwave loss, prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Da-Ming, Chen; Yuan-Xun, Li; Li-Kun, Han; Chao, Long; Huai-Wu, Zhang

    2016-06-01

    Barium ferrite (BaM) thin films are deposited on platinum coated silicon wafers by pulsed laser deposition (PLD). The effects of deposition substrate temperature on the microstructure, magnetic and microwave properties of BaM thin films are investigated in detail. It is found that microstructure, magnetic and microwave properties of BaM thin film are very sensitive to deposition substrate temperature, and excellent BaM thin film is obtained when deposition temperature is 910 °C and oxygen pressure is 300 mTorr (1 Torr = 1.3332 × 102 Pa). X-ray diffraction patterns and atomic force microscopy images show that the best thin film has perpendicular orientation and hexagonal morphology, and the crystallographic alignment degree can be calculated to be 0.94. Hysteresis loops reveal that the squareness ratio (M r/M s) is as high as 0.93, the saturated magnetization is 4004 Gs (1 Gs = 104 T), and the anisotropy field is 16.5 kOe (1 Oe = 79.5775 A·m-1). Ferromagnetic resonance measurements reveal that the gyromagnetic ratio is 2.8 GHz/kOe, and the ferromagnetic resonance linewith is 108 Oe at 50 GHz, which means that this thin film has low microwave loss. These properties make the BaM thin films have potential applications in microwave devices. Project supported by the Open Foundation of State Key Laboratory of Electronic Thin Films and Integrated Devices (Grant No. KFJJ201506), the Scientific Research Starting Foundation of Hainan University (Grant No. kyqd1539), and the Natural Science Foundation of Hainan Province (Grant No. 20165187).

  2. Nanocrystalline silicon thin films and grating structures for solar cells

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Sudhakar, Selvakumar; Khonina, Svetlana N.; Skidanov, Roman V.; Porfirevb, Alexey P.; Moissev, Oleg Y.; Kazanskiy, Nikolay L.; Kumar, Sushil

    2016-03-01

    Enhancement of optical absorption for achieving high efficiencies in thin film silicon solar cells is a challenge task. Herein, we present the use of grating structure for the enhancement of optical absorption. We have made grating structures and same can be integrated in hydrogenated micro/nanocrystalline silicon (μc/nc-Si: H) thin films based p-i-n solar cells. μc/nc-Si: H thin films were grown using plasma enhanced chemical vapor deposition method. Grating structures integrated with μc/nc-Si: H thin film solar cells may enhance the optical path length and reduce the reflection losses and its characteristics can be probed by spectroscopic and microscopic technique with control design and experiment.

  3. Large-Area WS2 Film with Big Single Domains Grown by Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Liu, Pengyu; Luo, Tao; Xing, Jie; Xu, Hong; Hao, Huiying; Liu, Hao; Dong, Jingjing

    2017-10-01

    High-quality WS2 film with the single domain size up to 400 μm was grown on Si/SiO2 wafer by atmospheric pressure chemical vapor deposition. The effects of some important fabrication parameters on the controlled growth of WS2 film have been investigated in detail, including the choice of precursors, tube pressure, growing temperature, holding time, the amount of sulfur powder, and gas flow rate. By optimizing the growth conditions at one atmospheric pressure, we obtained tungsten disulfide single domains with an average size over 100 μm. Raman spectra, atomic force microscopy, and transmission electron microscopy provided direct evidence that the WS2 film had an atomic layer thickness and a single-domain hexagonal structure with a high crystal quality. And the photoluminescence spectra indicated that the tungsten disulfide films showed an evident layer-number-dependent fluorescence efficiency, depending on their energy band structure. Our study provides an important experimental basis for large-area, controllable preparation of atom-thick tungsten disulfide thin film and can also expedite the development of scalable high-performance optoelectronic devices based on WS2 film.

  4. Growth of indium gallium arsenide thin film on silicon substrate by MOCVD technique

    NASA Astrophysics Data System (ADS)

    Chowdhury, Sisir; Das, Anish; Banerji, Pallab

    2018-05-01

    Indium gallium arsenide (InGaAs) thin film with indium phosphide (InP) buffer has been grown on p-type silicon (100) by Metal Organic Chemical Vapor Deposition (MOCVD) technique. To get a lattice matched substrate an Indium Phosphide buffer thin film is deposited onto Si substrate prior to InGaAs growth. The grown films have been investigated by UV-Vis-NIR reflectance spectroscopy. The band gap energy of the grown InGaAs thin films determined to be 0.82 eV from reflectance spectrum and the films are found to have same thickness for growth between 600 °C and 650 °C. Crystalline quality of the grown films has been studied by grazing incidence X-ray diffractometry (GIXRD).

  5. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  6. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  7. Photon synthesis of iron oxide thin films for thermo-photo-chemical sensors

    NASA Astrophysics Data System (ADS)

    Mulenko, S. A.; Petrov, Yu. N.; Gorbachuk, N. T.

    2012-09-01

    Ultraviolet photons of KrF-laser (248 nm) and of photodiode (360 nm) were used for the synthesis of iron oxide thin films with variable thickness, stoichiometry and electrical properties. The reactive pulsed laser deposition (RPLD) method was based on KrF-laser and photon-induced chemical vapor deposition (PCVD) was based on a photodiode. Deposited films demonstrated semiconductor properties with variable band gap (Eg). The film thickness (50-140 nm) and Eg depended on the laser pulse number, oxygen and iron carbonyl vapor pressure in the deposition chamber, and exposure time to the substrate surface with ultraviolet (UV) radiation. Sensing characteristics strongly depended on electrical and structural properties of such thin films. Iron oxide films were deposited on <1 0 0> Si substrate and had large thermo electromotive force (e.m.f.) coefficient (S) and high photosensitivity (F). The largest value of the S coefficient obtained by RPLD was about 1.65 mV/K in the range 270-290 K and by PCVD was about 1.5 mV/K in the range 280-322 K. The largest value F obtained by RPLD and PCVD was about 44 Vc/W and 40 Vc/W, accordingly, for white light at power density (I ≅ 0.006 W/cm2). It was shown that the S coefficient and F strongly depended on Eg. Moreover, these films were tested as chemical sensors: the largest sensitivity of NO molecules was at the level of 3 × 1012 cm-3. Our results showed that RPLD and PCVD were used to synthesize semiconductor iron oxide thin films with different sensing properties. So iron oxide thin films synthesized by UV photons are up-to-date materials for multi-parameter sensors: thermo-photo-chemical sensors operating at moderate temperature.

  8. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  9. Characterization of MAPLE deposited WO3 thin films for electrochromic applications

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S. I.; Stefan, N.; Szilágyi, I. M.; Mihailescu, N.; Visan, A.; Mihailescu, I. N.; Stan, G. E.; Besleaga, C.; Iliev, M. T.; Gesheva, K. A.

    2017-01-01

    Tungsten trioxide (WO3) is a widely studied material for electrochromic applications. The structure, morphology and optical properties of WO3 thin films, grown by matrix assisted pulsed laser evaporation (MAPLE) from monoclinic WO3 nano-sized particles, were investigated for their possible application as electrochromic layers. A KrF* excimer (λ=248 nm, ζFWHM=25 ns) laser source was used in all experiments. The MAPLE deposited WO3 thin films were studied by atomic force microscopy (AFM), grazing incidence X-ray diffraction (GIXRD) and Fourier transform infrared spectroscopy (FTIR). Cyclic voltammetry measurements were also performed, and the coloring and bleaching were observed. The morpho-structural investigations disclosed the synthesis of single-phase monoclinic WO3 films consisting of crystalline nano-grains embedded in an amorphous matrix. All thin films showed good electrochromic properties, thus validating application of the MAPLE deposition technique for the further development of electrochromic devices.

  10. Gas sensing properties of very thin TiO2 films prepared by atomic layer deposition (ALD)

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Baji, Zs; Gáber, F.; Szilágyi, I. M.

    2014-11-01

    Very thin titanium dioxide (TiO2) films of less than 10 nm were deposited by atomic layer deposition (ALD) in order to study their gas sensing properties. Applying the quartz crystal microbalance (QCM) method, prototype structures with the TiO2 ALD deposited thin films were tested for sensitivity to NO2. Although being very thin, the films were sensitive at room temperature and could register low concentrations as 50-100 ppm. The sorption is fully reversible and the films seem to be capable to detect for long term. These initial results for very thin ALD deposited TiO2 films give a promising approach for producing gas sensors working at room temperature on a fast, simple and cost-effective technology.

  11. Effects of solvent evaporation conditions on solvent vapor annealed cylinder-forming block polymer thin films

    NASA Astrophysics Data System (ADS)

    Grant, Meagan; Jakubowski, William; Nelson, Gunnar; Drapes, Chloe; Baruth, A.

    Solvent vapor annealing is a less time and energy intensive method compared to thermal annealing, to direct the self-assembly of block polymer thin films. Periodic nanostructures have applications in ultrafiltration, magnetic arrays, or other structures with nanometer dimensions, driving its continued interest. Our goal is to create thin films with hexagonally packed, perpendicular aligned cylinders of poly(lactide) in a poly(styrene) matrix that span the thickness of the film with low anneal times and low defect densities, all with high reproducibility, where the latter is paramount. Through the use of our computer-controlled, pneumatically-actuated, purpose-built solvent vapor annealing chamber, we have the ability to monitor and control vapor pressure, solvent concentration within the film, and solvent evaporation rate with unprecedented precision and reliability. Focusing on evaporation, we report on two previously unexplored areas, chamber pressure during solvent evaporation and the flow rate of purging gas aiding the evaporation. We will report our exhaustive results following atomic force microscopy analysis of films exposed to a wide range of pressures and flow rates. Reliably achieving well-ordered films, while occurring within a large section of this parameter space, was correlated with high-flow evaporation rates and low chamber pressures. These results have significant implications on other methods of solvent annealing, including ``jar'' techniques.

  12. Critical detonation thickness in vapor-deposited hexanitroazobenzene (HNAB) films with different preparation conditions

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander; Knepper, Robert; Marquez, Michael; Ball, J.; Miller, Jill

    2013-06-01

    At Sandia National Laboratories, we have coined the term ``microenergetics'' to describe sub-millimeter energetic material studies aimed at gaining knowledge of combustion and detonation behavior at the mesoscale. Films of the high explosive hexanitroazobenzene (HNAB) have been deposited through physical vapor deposition. HNAB deposits in an amorphous state that crystallizes over time and modest heating accelerates this crystallization. HNAB films were prepared under different crystallization temperatures, and characterized with surface profilometry and scanning electron microscopy. The critical detonation thickness for HNAB at different crystallization conditions was determined in a configuration where charge width was large compared to film thickness, and thus side losses did not play a role in detonation propagation. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, crystal structure, and density.

  13. Combinatorial Characterization of TiO2 Chemical Vapor Deposition Utilizing Titanium Isopropoxide.

    PubMed

    Reinke, Michael; Ponomarev, Evgeniy; Kuzminykh, Yury; Hoffmann, Patrik

    2015-07-13

    The combinatorial characterization of the growth kinetics in chemical vapor deposition processes is challenging because precise information about the local precursor flow is usually difficult to access. In consequence, combinatorial chemical vapor deposition techniques are utilized more to study functional properties of thin films as a function of chemical composition, growth rate or crystallinity than to study the growth process itself. We present an experimental procedure which allows the combinatorial study of precursor surface kinetics during the film growth using high vacuum chemical vapor deposition. As consequence of the high vacuum environment, the precursor transport takes place in the molecular flow regime, which allows predicting and modifying precursor impinging rates on the substrate with comparatively little experimental effort. In this contribution, we study the surface kinetics of titanium dioxide formation using titanium tetraisopropoxide as precursor molecule over a large parameter range. We discuss precursor flux and temperature dependent morphology, crystallinity, growth rates, and precursor deposition efficiency. We conclude that the surface reaction of the adsorbed precursor molecules comprises a higher order reaction component with respect to precursor surface coverage.

  14. Enhanced photoluminescence from ring resonators in hydrogenated amorphous silicon thin films at telecommunications wavelengths.

    PubMed

    Patton, Ryan J; Wood, Michael G; Reano, Ronald M

    2017-11-01

    We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.

  15. Optical characterization of Mg-doped ZnO thin films deposited by RF magnetron sputtering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Satyendra Kumar; Tripathi, Shweta; Hazra, Purnima

    2016-05-06

    This paper reports the in-depth analysis on optical characteristics of magnesium (Mg) doped zinc oxide (ZnO) thin films grown on p-silicon (Si) substrates by RF magnetron sputtering technique. The variable angle ellipsometer is used for the optical characterization of as-deposited thin films. The optical reflectance, transmission spectra and thickness of as-deposited thin films are measured in the spectral range of 300-800 nm with the help of the spectroscopic ellipsometer. The effect of Mg-doping on optical parameters such as optical bandgap, absorption coefficient, absorbance, extinction coefficient, refractive Index and dielectric constant for as-deposited thin films are extracted to show its application inmore » optoelectronic and photonic devices.« less

  16. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  17. Pyrolyzed thin film carbon

    NASA Technical Reports Server (NTRS)

    Harder, Theodore (Inventor); Konishi, Satoshi (Inventor); Miserendino, Scott (Inventor); Tai, Yu-Chong (Inventor); Liger, Matthieu (Inventor)

    2010-01-01

    A method of making carbon thin films comprises depositing a catalyst on a substrate, depositing a hydrocarbon in contact with the catalyst and pyrolyzing the hydrocarbon. A method of controlling a carbon thin film density comprises etching a cavity into a substrate, depositing a hydrocarbon into the cavity, and pyrolyzing the hydrocarbon while in the cavity to form a carbon thin film. Controlling a carbon thin film density is achieved by changing the volume of the cavity. Methods of making carbon containing patterned structures are also provided. Carbon thin films and carbon containing patterned structures can be used in NEMS, MEMS, liquid chromatography, and sensor devices.

  18. Metal Organic Chemical Vapor Deposition of Oxide Films for Advanced Applications

    DTIC Science & Technology

    2000-06-01

    coatings , photovoltaics, touch sensitive controls, electromagnetic shielding (as found on microwave ovens and stealth fighters), static dissipaters, and so...depositing high quality films. The methods are physical vapor deposition ( PVD ), spin/mist deposition, (CVD), and alternating layer (AL) CVD. PVD ...PZT & SBT, YBa2Cu3O, CeO, InO, TCOs, Varistors Ta2O5 , ZrO, MnO, HfO, CeO, MnO, MgO SAW/microwave Silicon/: Si, SiGe, SiGeC, �. Opto-electronics

  19. Thin film deposition using rarefied gas jet

    NASA Astrophysics Data System (ADS)

    Pradhan, Sahadev, , Dr.

    2017-01-01

    The rarefied gas jet of aluminium is studied at Mach number Ma =(U_j /√{ kbTj / m }) in the range .01 deposition mechanisms in a physical vapor deposition (PVD) process for the development of the highly oriented pure metallic aluminum thin film with uniform thickness and strong adhesion on the surface of the substrate in the form of ionic plasma, so that the substrate can be protected from corrosion and oxidation and thereby enhance the lifetime and safety, and to introduce the desired surface properties for a given application. Here, H is the characteristic dimension, U_j and T_j are the jet velocity and temperature, n_d is the number density of the jet, m and d are the molecular mass and diameter, and kb is the Boltzmann constant. An important finding is that the capture width (cross-section of the gas jet deposited on the substrate) is symmetric around the centerline of the substrate, and decreases with increased Mach number due to an increase in the momentum of the gas molecules. DSMC simulation results reveals that at low Knudsen number ((Kn =0.01); shorter mean free paths), the atoms experience more collisions, which direct them toward the substrate. However, the atoms also move with lower momentum at low Mach number ,which allows scattering collisions to rapidly direct the atoms to the substrate.

  20. Deposition and Characterization of Hermetic, Biocompatible Thin Film Coatings for Implantable, Electrically Active Devices

    NASA Astrophysics Data System (ADS)

    Sweitzer, Robyn K.

    Retinal prostheses may be used to support patients suffering from Age-related macular degeneration or retinitis pigmentosa. A hermetic encapsulation of the poly(imide )-based prosthesis is important in order to prevent the leakage of water and ions into the electric circuitry embedded in the poly(imide) matrix. The deposition of amorphous aluminum oxide (by sputtering) and diamond like carbon (by pulsed laser ablation and vacuum arc vapor deposition) were studied for the application in retinal prostheses. The resulting thin films were characterized for composition, thickness, adhesion and smoothness by scanning electron microscopy-energy dispersive spectroscopy, atomic force microscopy, profilometry and light microscopy. Electrical stability was evaluated and found to be good. The as-deposited films prevented incursion of salinated fluids into the implant over two (2) three month trials soaking in normal saline at body temperature, Biocompatibility was tested in vivo by implanting coated specimen subretinally in the eye of Yucatan pigs. While amorphous aluminum oxide is more readily deposited with sufficient adhesion quality, biocompatibility studies showed a superior behavior of diamond-like carbon. Amorphous aluminum oxide had more adverse effects and caused more severe damage to the retinal tissue.

  1. Physics and chemistry in the process of hot-wire deposition of thin film silicon

    NASA Astrophysics Data System (ADS)

    Zheng, Wengang

    Hotwire Chemical Vapor Deposition (CVD) has been used in preparing high quality low hydrogen content hydrogenated amorphous or polycrystalline silicon thin film in recent years. Comparing to the most commonly used glow discharge method, Hotwire CVD has the potential of high speed deposition avoiding the damage caused by ion bombardment associated with plasma. Although device quality thin films have been prepared by this method, and some empirical optimized deposition conditions have been established, the mechanisms controlling this technique are not clear. A homebuild threshold ionization mass spectrometer was constructed in this lab, allowing the radicals to be observed with high sensitivity. Hydrogen dissociation on the hot metal surface was studied first both by the direct detection of hydrogen atoms from the hot surface and the temperature change due to the hydrogen dissociation, it was confirmed that the activation energy of this process is around 2.25eV, the same as the dissociation in the gas phase. Further, we observed a first order dependence of hydrogen dissociation probability on the hydrogen pressure. This observation contradicts previously reported models of second order desorption. The monosilicon radicals Si and SiH3 were observed. It was observed that the silane decomposition on the hot surface is mainly a function of filament temperature, but the species released from that surface also depend on the surface condition, and thus on the silane exposure history of that piece of filament. Si is believed to deteriorate the film quality, by comparing the depleted silane and the Si flux, it is observed that Si experienced a lot of gas phase reactions before reaching the substrate, which leads to less reactive precursors. This observation is consistence with Molenbroek's study on the optimization of deposition condition. The dominant disilicon radical is identified as Si2H2, which in the form of lowest energy isomer, is suppose to be much less reactive than

  2. Automatic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Report reviews chemical vapor deposition (CVD) for processing integrated circuits and describes fully automatic machine for CVD. CVD proceeds at relatively low temperature, allows wide choice of film compositions (including graded or abruptly changing compositions), and deposits uniform films of controllable thickness at fairly high growth rate. Report gives overview of hardware, reactants, and temperature ranges used with CVD machine.

  3. Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor

    NASA Astrophysics Data System (ADS)

    Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan

    2018-05-01

    Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.

  4. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    NASA Astrophysics Data System (ADS)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  5. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  6. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    NASA Astrophysics Data System (ADS)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol; An, Tae Kyu; Nam, Sooji; Kim, Se Hyun; Jang, Jaeyoung; Park, Chan Eon

    2017-08-01

    Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlOx) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlOx thin film at 180 °C was comparable to that of AlOx thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlOx thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10-7 A/cm2 at 2 MV/cm). Finally, we confirmed that a dense AlOx thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlOx thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m-2 day-1 (25 °C, 50% relative humidity) and 0.26 g m-2 day-1, respectively.

  7. Substrates suitable for deposition of superconducting thin films

    DOEpatents

    Feenstra, Roeland; Boatner, Lynn A.

    1993-01-01

    A superconducting system for the lossless transmission of electrical current comprising a thin film of superconducting material Y.sub.1 Ba.sub.2 Cu.sub.3 O.sub.7-x epitaxially deposited upon a KTaO.sub.3 substrate. The KTaO.sub.3 is an improved substrate over those of the prior art since the it exhibits small lattice constant mismatch and does not chemically react with the superconducting film.

  8. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  9. Thermal conductivity of amorphous and nanocrystalline silicon films prepared by hot-wire chemical-vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jugdersuren, B.; Kearney, B. T.; Queen, D. R.

    We report 3..omega.. thermal conductivity measurements of amorphous and nanocrystalline silicon thin films from 85 to 300 K prepared by hot-wire chemical-vapor deposition, where the crystallinity of the films is controlled by the hydrogen dilution during growth. The thermal conductivity of the amorphous silicon film is in agreement with several previous reports of amorphous silicon prepared by a variety of deposition techniques. The thermal conductivity of the as-grown nanocrystalline silicon film is 70% higher and increases 35% more after an anneal at 600 degrees C. They all have similarly weak temperature dependence. Structural analysis shows that the as-grown nanocrystalline siliconmore » is approximately 60% crystalline, nanograins and grain boundaries included. The nanograins, averaging 9.1 nm in diameter in the as-grown film, are embedded in an amorphous matrix. The grain size increases to 9.7 nm upon annealing, accompanied by the disappearance of the amorphous phase. We extend the models of grain boundary scattering of phonons with two different non-Debye dispersion relations to explain our result of nanocrystalline silicon, confirming the strong grain size dependence of heat transport for nanocrystalline materials. However, the similarity in thermal conductivity between amorphous and nanocrystalline silicon suggests the heat transport mechanisms in both structures may not be as dissimilar as we currently understand.« less

  10. Morphological and crystalline characterization of pulsed laser deposited pentacene thin films for organic transistor applications

    NASA Astrophysics Data System (ADS)

    Pereira, Antonio; Bonhommeau, Sébastien; Sirotkin, Sergey; Desplanche, Sarah; Kaba, Mamadouba; Constantinescu, Catalin; Diallo, Abdou Karim; Talaga, David; Penuelas, Jose; Videlot-Ackermann, Christine; Alloncle, Anne-Patricia; Delaporte, Philippe; Rodriguez, Vincent

    2017-10-01

    We show that high-quality pentacene (P5) thin films of high crystallinity and low surface roughness can be produced by pulsed laser deposition (PLD) without inducing chemical degradation of the molecules. By using Raman spectroscopy and X-ray diffraction measurements, we also demonstrate that the deposition of P5 on Au layers result in highly disordered P5 thin films. While the P5 molecules arrange within the well-documented 1.54-nm thin-film phase on high-purity fused silica substrates, this ordering is indeed destroyed upon introducing an Au interlayer. This observation may be one explanation for the low electrical performances measured in P5-based organic thin film transistors (OTFTs) deposited by laser-induced forward transfer (LIFT).

  11. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  12. Theoretical investigation about secondary deposition of thin-film formation by molecular dynamics simulation

    NASA Astrophysics Data System (ADS)

    Chen, Huawei; Hagiwara, Ichiro; Kiet Tieu, A.; Kishimoto, Kikuo; Liu, Qiang

    2007-05-01

    The thin-film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin-film in short time as gas fluids through surface of substrate. Such growth mechanism has been mainly investigated on the basis of experiment. Due to immense cost of the experimental equipment and low level of current measurement technology, the comprehension about authentic effect of formation condition on properties of nanomaterial is limited in qualitative manner. Three quantitative items: flatness of primary deposition, adhesion between cluster and substrate, and degree of epitaxial growth were proposed to evaluate the property of thin-film. In this simulation, three different cluster sizes of 203, 653, and 1563 atoms with different velocities (0, 10, 100, 1000, and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. To increase initial velocity not only enhanced the speed of epitaxial growth, adhesion between clusters and substrate, but also increased the degree of epitaxy for primary deposition and secondary deposition. Exfoliation pattern of thin-film was profoundly dependent on initial velocity through comparison between adhesion of primary and secondary deposition. Moreover, the epitaxial growth became well as the temperature of substrate was raised, and the degree of epitaxy of small cluster was larger than that of larger cluster, no matter of primary and secondary deposition.

  13. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  14. Photoluminescence Study of Gallium Nitride Thin Films Obtained by Infrared Close Space Vapor Transport.

    PubMed

    Santana, Guillermo; de Melo, Osvaldo; Aguilar-Hernández, Jorge; Mendoza-Pérez, Rogelio; Monroy, B Marel; Escamilla-Esquivel, Adolfo; López-López, Máximo; de Moure, Francisco; Hernández, Luis A; Contreras-Puente, Gerardo

    2013-03-15

    Photoluminescence (PL) studies in GaN thin films grown by infrared close space vapor transport (CSVT-IR) in vacuum are presented in this work. The growth of GaN thin films was done on a variety of substrates like silicon, sapphire and fused silica. Room temperature PL spectra of all the GaN films show near band-edge emission (NBE) and a broad blue and green luminescence (BL, GL), which can be seen with the naked eye in a bright room. The sample grown by infrared CSVT on the silicon substrate shows several emission peaks from 2.4 to 3.22 eV with a pronounced red shift with respect to the band gap energy. The sample grown on sapphire shows strong and broad ultraviolet emission peaks (UVL) centered at 3.19 eV and it exhibits a red shift of NBE. The PL spectrum of GaN films deposited on fused silica exhibited a unique and strong blue-green emission peak centered at 2.38 eV. The presence of yellow and green luminescence in all samples is related to native defects in the structure such as dislocations in GaN and/or the presence of amorphous phases. We analyze the material quality that can be obtained by CSVT-IR in vacuum, which is a high yield technique with simple equipment set-up, in terms of the PL results obtained in each case.

  15. Photoluminescence Study of Gallium Nitride Thin Films Obtained by Infrared Close Space Vapor Transport

    PubMed Central

    Santana, Guillermo; de Melo, Osvaldo; Aguilar-Hernández, Jorge; Mendoza-Pérez, Rogelio; Monroy, B. Marel; Escamilla-Esquivel, Adolfo; López-López, Máximo; de Moure, Francisco; Hernández, Luis A.; Contreras-Puente, Gerardo

    2013-01-01

    Photoluminescence (PL) studies in GaN thin films grown by infrared close space vapor transport (CSVT-IR) in vacuum are presented in this work. The growth of GaN thin films was done on a variety of substrates like silicon, sapphire and fused silica. Room temperature PL spectra of all the GaN films show near band-edge emission (NBE) and a broad blue and green luminescence (BL, GL), which can be seen with the naked eye in a bright room. The sample grown by infrared CSVT on the silicon substrate shows several emission peaks from 2.4 to 3.22 eV with a pronounced red shift with respect to the band gap energy. The sample grown on sapphire shows strong and broad ultraviolet emission peaks (UVL) centered at 3.19 eV and it exhibits a red shift of NBE. The PL spectrum of GaN films deposited on fused silica exhibited a unique and strong blue-green emission peak centered at 2.38 eV. The presence of yellow and green luminescence in all samples is related to native defects in the structure such as dislocations in GaN and/or the presence of amorphous phases. We analyze the material quality that can be obtained by CSVT-IR in vacuum, which is a high yield technique with simple equipment set-up, in terms of the PL results obtained in each case. PMID:28809356

  16. AFM investigation and optical band gap study of chemically deposited PbS thin films

    NASA Astrophysics Data System (ADS)

    Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.

    2016-08-01

    The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.

  17. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  18. Dependence of O2 and Ar2 flow rates on the physical properties of ATO thin films deposited by atmospheric pressure chemical vapor deposition (APCVD)

    NASA Astrophysics Data System (ADS)

    Fadavieslam, M. R.; Sadra, S.

    2017-11-01

    Antimony-doped tin oxide SnO2:Sb thin films were fabricated through atmospheric pressure chemical vapor deposition at T = 350 °C on soda lime glass substrates. After preparing the thin films, the effects of oxygen and argon flow rates on the structural, optical, and electrical properties were investigated. The films were characterized by X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, optical absorption (UV-Vis), and electrical resistance measurements using the two-point probe technique and the Hall effect. The results showed that the films contained uniform polycrystalline structures. Accordingly, the structural, morphological, optical, and electrical properties of the samples indicated the following effects: (a) Increasing the oxygen flow rate from 60 to 160 cc/min decreased the intensity of XRD peaks, the average roughness from 48.5 to 47.9 nm, the average transmission from 44 to 40 (in the visible region), the optical band gap from 3.74 to 3.66 eV, and the carrier mobility from 239.52 to 21.08 cm2/V.S; moreover, it increased the average grain size from 74 to 79 nm, the thickness from 320 to 560 nm, the specific resistance from 3.38 × 10-2 to 14.9 × 10-2 Ω cm, the carrier concentration from 7.72 × 1017 to 1.99 × 1018 cm-3, and the Seebeck coefficient from 47.2 to 57.85 μVk-1 (at 400 K). (b) Increasing the argon flow rate of 40 cc/min to 120 cc/min decreased the intensity of XRD peaks, the average size of grains from 88 nm to 61 nm, the optical band gap from 3.66 to 2.73 eV, the carrier concentration from 1.99 × 1018 to 1.73 × 1017 cm-3, and the Seebeck coefficient from 57.85 to 36.59 μVk-1 (at 400 k); moreover, this increased the average roughness from 47.9 to 50.8 nm, the average transmission from 40 to 64 (in the visible region), thickness from 560 to 620 nm, specific resistance from 14.9 × 10-2 to 39.87 × 10-2 Ω cm, and carrier mobility from 21.08 to 90.61 μv/vs. (c) All thin films had degenerate n

  19. [Preparation and transmissivity of ZnS nanocolumn thin films with glancing angle deposition technology].

    PubMed

    Lu, Li-Fang; Xu, Zheng; Zhang, Fu-Jun; Zhao, Su-Ling; Song, Dan-Dan; Li, Jun-Ming; Wang, Yong-Sheng; Xu, Xu-Rong

    2010-02-01

    Nanocrystalline ZnS thin films were fabricated by glancing angle deposition (GLAD) technology in an electron beam evaporation system. Deposition was carried out in the custom vacuum chamber at a base pressure 3 x 10(-4) Pa, and the deposition rate was fixed at 0.2 nm x s(-1). ZnS films were deposited on pieces of indium tin oxide (ITO) substrates when the oblique angle of the substrate relative to the incoming molecular flux was set to 0 degrees, 80 degrees and 85 degrees off the substrate normal respectively. X-ray diffraction (XRD) spectra and scanning electron microscope (SEM) images showed that ZnS nanocrystalline films were formed on the substrates at different oblique angle, but the nanocolumn structure was only formed under the situation of alpha = 80 degrees and 85 degrees. The dynamics during the deposition process of the ZnS films at alpha = 0 degrees, 80 degrees and 85 degrees was analyzed. The transmitted spectra of ZnS thin films deposited on ITO substrates showed that the ZnS nanocolumn thin films could enhance the transmissivity in visible range. The ZnS nanocolumn could be used into electroluminescence device, and it would enhance the luminous efficiency of the device.

  20. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    NASA Astrophysics Data System (ADS)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  1. Swelling kinetics and electrical charge transport in PEDOT:PSS thin films exposed to water vapor.

    PubMed

    Sarkar, Biporjoy; Jaiswal, Manu; Satapathy, Dillip K

    2018-06-06

    We report the swelling kinetics and evolution of the electrical charge transport in poly(3,4-ethylene dioxythiophene) polystyrene sulfonate (PEDOT:PSS) thin films subjected to water vapor. Polymer films swell by the diffusion of water vapor and are found to undergo structural relaxations. Upon exposure to water vapor, primarily the hygroscopic PSS shell, which surrounds the conducting PEDOT-rich cores, takes up water vapor and subsequently swells. We found that the degree of swelling largely depends on the PEDOT to PSS ratio. Swelling driven microscopic rearrangement of the conducting PEDOT-rich cores in the PSS matrix strongly influences the electrical charge transport of the polymer film. Swelling induced increase as well as decrease of electrical resistance are observed in polymer films having different PEDOT to PSS ratio. This anomalous charge transport behavior in PEDOT:PSS films is reconciled by taking into account the contrasting swelling behavior of the PSS and the conducting PEDOT-rich cores leading to spatial segregation of PSS in films with PSS as a minority phase and by a net increase in mean separation between conducting PEDOT-rich cores for films having abundance of PSS.

  2. Swelling kinetics and electrical charge transport in PEDOT:PSS thin films exposed to water vapor

    NASA Astrophysics Data System (ADS)

    Sarkar, Biporjoy; Jaiswal, Manu; Satapathy, Dillip K.

    2018-06-01

    We report the swelling kinetics and evolution of the electrical charge transport in poly(3,4-ethylene dioxythiophene) polystyrene sulfonate (PEDOT:PSS) thin films subjected to water vapor. Polymer films swell by the diffusion of water vapor and are found to undergo structural relaxations. Upon exposure to water vapor, primarily the hygroscopic PSS shell, which surrounds the conducting PEDOT-rich cores, takes up water vapor and subsequently swells. We found that the degree of swelling largely depends on the PEDOT to PSS ratio. Swelling driven microscopic rearrangement of the conducting PEDOT-rich cores in the PSS matrix strongly influences the electrical charge transport of the polymer film. Swelling induced increase as well as decrease of electrical resistance are observed in polymer films having different PEDOT to PSS ratio. This anomalous charge transport behavior in PEDOT:PSS films is reconciled by taking into account the contrasting swelling behavior of the PSS and the conducting PEDOT-rich cores leading to spatial segregation of PSS in films with PSS as a minority phase and by a net increase in mean separation between conducting PEDOT-rich cores for films having abundance of PSS.

  3. Vapour phase techniques for deposition of CZTS thin films: A review

    NASA Astrophysics Data System (ADS)

    Kaur, Ramanpreet; Kumar, Sandeep; Singh, Sukhpal

    2018-05-01

    With the surge of thin film photovoltaic technologies in recent years, for cost reduction and increased production there is a need for earth abundant and non-toxic raw materials. Existing thin film solar cells comprising CuInS2 (CIS), CuInGaSe2 (CIGS) and CdTe contain elements that are rare in earth's crust and in case of CdTe toxic. Cu2ZnSnS4 (CZTS), having Kesterite structure, a direct band gap of 1.4 - 1.5 eV and an absorption coefficient of 104 cm-1 makes a promising candidate for absorber layer in thin film solar cells. So far many physical and chemical techniques have been employed for deposition of CZTS thin films. This review focuses on various vapour phase techniques used for fabrication of films, recent advances in these techniques and their future outlook.

  4. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  5. A generalized theory of thin film growth

    NASA Astrophysics Data System (ADS)

    Du, Feng; Huang, Hanchen

    2018-03-01

    This paper reports a theory of thin film growth that is generalized for arbitrary incidence angle during physical vapor deposition in two dimensions. The accompanying kinetic Monte Carlo simulations serve as verification. A special theory already exists for thin film growth with zero incidence angle, and another theory also exists for nanorod growth with a glancing angle. The theory in this report serves as a bridge to describe the transition from thin film growth to nanorod growth. In particular, this theory gives two critical conditions in analytical form of critical coverage, ΘI and ΘII. The first critical condition defines the onset when crystal growth or step dynamics stops following the wedding cake model for thin film growth. The second critical condition defines the onset when multiple-layer surface steps form to enable nanorod growth. Further, this theory also reveals a critical incidence angle, below which nanorod growth is impossible. The critical coverages, together with the critical incidence angle, defines a phase diagram of thin growth versus nanorod growth.

  6. Thin Film Electrodes with an Integral Current Collection Grid for Use with Solid Electrolytes

    NASA Technical Reports Server (NTRS)

    Ryan, M. A.; Kisor, A.; Williams, R. M.; Jeffries-Nakamura, B.; O'Connor, D.

    1994-01-01

    Thin film, high performance electrodes which can operate in high temperature environments are necessary for many devices which use a solid electrolyte. Electrodes of rhodium-tungsten alloy have been deposited on solid electrolyte using photolytic chemical vapor deposition (PCVD). A technique for depositing electrodes and current collection grids simultaneously has been developed using the prenucleation characteristics of PCVD. This technique makes it possible to fabricate electrodes which allow vapor transport through the thin (<1 (micro)m) portions of the electrode while integral thick grid lines improve the electronic conductivity of the electrode, thus improving overall performance.

  7. Studies on annealed ZnO:V thin films deposited by nebulised spray pyrolysis method

    NASA Astrophysics Data System (ADS)

    Malini, D. Rachel

    2018-04-01

    Structural, optical and photoluminescence properties of annealed ZnO:V thin films deposited by nebulized spray pyrolysis technique by varying vanadium concentration are studied. Thickness of thin films varies from 1.52µm to 7.78µm. V2O5, VO2 and ZnO peaks are observed in XRD patterns deposited with high vanadium concentration and the intensity of peaks corresponding to ZnO decreases in those samples. Morphological properties were studied by analysing SEM images and annealed thin films deposited at ZnO:V = 50:50 possess dumb bell shape grains. Emission peaks corresponding to both Augur transition and deep level transition are observed in the PL spectra of the samples.

  8. Titanium dioxide thin films by atomic layer deposition: a review

    NASA Astrophysics Data System (ADS)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  9. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  10. Characteristics of Iron-Palladium alloy thin films deposited by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chiu, Y.-J.; Shen, C.-Y.; Chang, H.-W.; Jian, S.-R.

    2018-06-01

    The microstructural features, magnetic, nanomechanical properties and wettability behaviors of Iron-Palladium (FePd) alloy thin films are investigated by using X-ray diffraction (XRD), atomic force microscopy (AFM), vibrating sample magnetometer (VSM), nanoindentation and water contact angle (CA) techniques, respectively. The FePd alloy thin films were deposited on glass substrates using a magnetron sputtering system. The post-annealing processes of FePd alloy thin films were carried out at 400 °C and 750 °C and resulted in a significant increase of both the average grain size and surface roughness. The XRD analysis showed that FePd alloy thin films exhibited a predominant (1 1 1) orientation. The magnetic field dependence of magnetization of all FePd thin films are measured at room temperature showed the ferromagnetic characteristics. The nanoindentation with continuous stiffness measurement (CSM) is used to measure the hardness and Young's modulus of present films. The contact angle (θCA) increased with increasing surface roughness. The maximum θCA of 75° was achieved for the FePd alloy thin film after annealing at 750 °C and a surface roughness of 4.2 nm.

  11. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  12. Optical properties of nanocrystalline Y2O3 thin films grown on quartz substrates by electron beam deposition

    NASA Astrophysics Data System (ADS)

    Wiktorczyk, Tadeusz; Biegański, Piotr; Serafińczuk, Jarosław

    2016-09-01

    Yttrium oxide thin films of a thickness 221-341 nm were formed onto quartz substrates by reactive physical vapor deposition in an oxygen atmosphere. An electron beam gun was applied as a deposition source. The effect of substrate temperature during film deposition (in the range of 323-673 K) on film structure, surface morphology and optical properties was investigated. The surface morphology studies (with atomic force microscopy and diffuse spectra reflectivity) show that the film surface was relatively smooth with RMS surface roughness in the range of 1.7-3.8 nm. XRD analysis has revealed that all diffraction lines belong to a cubic Y2O3 structure. The films consisted of small nanocrystals. Their average grain size increases from 1.6 nm to 22 nm, with substrate temperature rising from 323 K to 673 K. Optical examinations of transmittance and reflectance were performed in the spectral range of 0.2-2.5 μm. Optical constants and their dispersion curves were determined. Values of the refractive index of the films were in the range of n = 1.79-1.90 (at 0.55 μm) for substrate temperature during film deposition of 323-673 K. The changes in the refractive index upon substrate temperature correspond very well with the increase in the nanocrystals grain diameter and with film porosity.

  13. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    PubMed

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  14. Effect of film thickness on structural and mechanical properties of AlCrN nanocompoite thin films deposited by reactive DC magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, Ravi; Kaur, Davinder, E-mail: dkaurfph@iitr.ac.in

    2016-05-06

    In this study, the influence of film thickness on the structural, surface morphology and mechanical properties of Aluminum chromium nitride (AlCrN) thin films has been successfully investigated. The AlCrN thin films were deposited on silicon (100) substrate using dc magnetron reactive co-sputtering at substrate temperature 400° C. The structural, surface morphology and mechanical properties were studied using X-ray diffraction, field-emission scanning electron microscopy and nanoindentation techniques respectively. The thickness of these thin films was controlled by varying the deposition time therefore increase in deposition time led to increase in film thickness. X-ray diffraction pattern of AlCrN thin films with differentmore » deposition time shows the presence of (100) and (200) orientations. The crystallite size varies in the range from 12.5 nm to 36.3 nm with the film thickness due to surface energy minimization with the higher film thickness. The hardness pattern of these AlCrN thin films follows Hall-Petch relation. The highest hardness 23.08 Gpa and young modulus 215.31 Gpa were achieved at lowest grain size of 12.5 nm.« less

  15. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  16. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  17. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  18. Deposition of thin Si and Ge films by ballistic hot electron reduction in a solution-dripping mode and its application to the growth of thin SiGe films

    NASA Astrophysics Data System (ADS)

    Suda, Ryutaro; Yagi, Mamiko; Kojima, Akira; Mentek, Romain; Mori, Nobuya; Shirakashi, Jun-ichi; Koshida, Nobuyoshi

    2015-04-01

    To enhance the usefulness of ballistic hot electron injection into solutions for depositing thin group-IV films, a dripping scheme is proposed. A very small amount of SiCl4 or GeCl4 solution was dripped onto the surface of a nanocrystalline Si (nc-Si) electron emitter, and then the emitter is driven without using any counter electrodes. It is shown that thin Si and Ge films are deposited onto the emitting surface. Spectroscopic surface and compositional analyses showed no extrinsic carbon contaminations in deposited thin films, in contrast to the results of a previous study using the dipping scheme. The availability of this technique for depositing thin SiGe films is also demonstrated using a mixture SiCl4+GeCl4 solution. Ballistic hot electrons injected into solutions with appropriate kinetic energies promote preferential reduction of target ions with no by-products leading to nuclei formation for the thin film growth. Specific advantageous features of this clean, room-temperature, and power-effective process is discussed in comparison with the conventional dry and wet processes.

  19. Continuous roll-to-roll growth of graphene films by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Hesjedal, Thorsten

    2011-03-01

    Few-layer graphene is obtained in atmospheric chemical vapor deposition on polycrystalline copper in a roll-to-roll process. Raman and x-ray photoelectron spectroscopy were employed to confirm the few-layer nature of the graphene film, to map the inhomogeneities, and to study and optimize the growth process. This continuous growth process can be easily scaled up and enables the low-cost fabrication of graphene films for industrial applications.

  20. Modeling physical vapor deposition of energetic materials

    DOE PAGES

    Shirvan, Koroush; Forrest, Eric C.

    2018-03-28

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  1. Modeling physical vapor deposition of energetic materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirvan, Koroush; Forrest, Eric C.

    Morphology and microstructure of organic explosive films formed using physical vapor deposition (PVD) processes strongly depends on local surface temperature during deposition. Currently, there is no accurate means of quantifying the local surface temperature during PVD processes in the deposition chambers. This study focuses on using a multiphysics computational fluid dynamics tool, STARCCM+, to simulate pentaerythritol tetranitrate (PETN) deposition. The PETN vapor and solid phase were simulated using the volume of fluid method and its deposition in the vacuum chamber on spinning silicon wafers was modeled. The model also included the spinning copper cooling block where the wafers are placedmore » along with the chiller operating with forced convection refrigerant. Implicit time-dependent simulations in two- and three-dimensional were performed to derive insights in the governing physics for PETN thin film formation. PETN is deposited at the rate of 14 nm/s at 142.9 °C on a wafer with an initial temperature of 22 °C. The deposition of PETN on the wafers was calculated at an assumed heat transfer coefficient (HTC) of 400 W/m 2 K. This HTC proved to be the most sensitive parameter in determining the local surface temperature during deposition. Previous experimental work found noticeable microstructural changes with 0.5 mm fused silica wafers in place of silicon during the PETN deposition. This work showed that fused silica slows initial wafer cool down and results in ~10 °C difference for the surface temperature at 500 μm PETN film thickness. It was also found that the deposition surface temperature is insensitive to the cooling power of the copper block due to the copper block's very large heat capacity and thermal conductivity relative to the heat input from the PVD process. Future work should incorporate the addition of local stress during PETN deposition. Lastly, based on simulation results, it is also recommended to investigate the impact of wafer

  2. Epitaxial BiFeO3 thin films fabricated by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Singh, S. K.; Kim, Y. K.; Funakubo, H.; Ishiwara, H.

    2006-04-01

    Epitaxial BiFeO3 (BFO) thin films were fabricated on (001)-, (110)-, and (111)-oriented single-crystal SrRuO3(SRO )/SrTiO3(STO) structures by chemical solution deposition. X-ray diffraction indicates the formation of an epitaxial single-phase perovskite structure and pole figure measurement confirms the cube-on-cube epitaxial relationship of BFO ‖SRO‖STO. Chemical-solution-deposited BFO films have a rhombohedral structure with lattice parameter of 0.395nm, which is the same structure as that of a bulk single crystal. The remanent polarization of approximately 50μC/cm2 was observed in BFO (001) thin films at 80K.

  3. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  4. Method for fabricating thin films of pyrolytic carbon

    DOEpatents

    Brassell, Gilbert W.; Lewis, Jr., John; Weber, Gary W.

    1982-01-01

    The present invention relates to a method for fabricating ultra-thin films of pyrolytic carbon. Pyrolytic carbon is vapor deposited onto a concave surface of a heated substrate to a total uniform thickness in the range of about 0.1 to 1.0 micrometer. The carbon film on the substrate is provided with a layer of adherent polymeric resin. The resulting composite film of pyrolytic carbon and polymeric resin is then easily separated from the substrate by shrinking the polymeric resin coating with thermally induced forces.

  5. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  6. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  7. Physical properties of nanostructured strontium oxide thin film grown by chemical bath deposition technique

    NASA Astrophysics Data System (ADS)

    Ahmad, Farhan; Belkhedkar, M. R.; Salodkar, R. V.

    2018-05-01

    Nanostructured SrO thin film of thickness 139 nm was deposited by chemical bath deposition technique onto glass substrates using SrCl2.6H2O and NaOH as cationic and anionic precursors without complexing agents. The X-ray diffraction studies revealed that, SrO thin film is nanocrystalline in nature with cubic structure. The surface morphology of the SrO film was investigated by means of field emission scanning electron microscopy. The optical studies showed that SrO film exhibits direct as well as indirect optical band gap energy. The electrical resistivity and activation energy of SrO thin film is found to be of the order of 106 Ω cm and 0.58eV respectively.

  8. Widely Tunable Morphologies in Block Copolymer Thin Films Through Solvent Vapor Annealing Using Mixtures of Selective Solvents

    PubMed Central

    Chavis, Michelle A.; Smilgies, Detlef-M.; Wiesner, Ulrich B.; Ober, Christopher K.

    2015-01-01

    Thin films of block copolymers are extremely attractive for nanofabrication because of their ability to form uniform and periodic nanoscale structures by microphase separation. One shortcoming of this approach is that to date the design of a desired equilibrium structure requires synthesis of a block copolymer de novo within the corresponding volume ratio of the blocks. In this work, we investigated solvent vapor annealing in supported thin films of poly(2-hydroxyethyl methacrylate)-block-poly(methyl methacrylate) [PHEMA-b-PMMA] by means of grazing incidence small angle X–ray scattering (GISAXS). A spin-coated thin film of lamellar block copolymer was solvent vapor annealed to induce microphase separation and improve the long-range order of the self-assembled pattern. Annealing in a mixture of solvent vapors using a controlled volume ratio of solvents (methanol, MeOH, and tetrahydrofuran, THF), which are chosen to be preferential for each block, enabled selective formation of ordered lamellae, gyroid, hexagonal or spherical morphologies from a single block copolymer with a fixed volume fraction. The selected microstructure was then kinetically trapped in the dry film by rapid drying. To our knowledge, this paper describes the first reported case where in-situ methods are used to study the transition of block copolymer films from one initial disordered morphology to four different ordered morphologies, covering much of the theoretical diblock copolymer phase diagram. PMID:26819574

  9. The chemical deposition of semiconductor thin-films for photovoltaic devices

    NASA Astrophysics Data System (ADS)

    Breen, Marc Louis

    Initially, possible precursors to metal sulfide films formed by metal-organic chemical vapor deposition (MOCVD), the standard commercial technique for manufacturing photovoltaic semiconductors, were synthesized. Triple-junction GaInP 2/GaAs/Ge solar cells, prepared by this method, were studied to understand how chemical properties and material defects can effect the performance of photovoltaic devices. Finally, novel methods for the low-temperature, solution growth of CdS, CdSe, and CuInSe2 photovoltaic materials were targeted which will reduce manufacturing costs and increase the economic feasibility of solar energy conversion. A series of dialkyldithiocarbamate copper, gallium and indium compounds were studied as possible metal sulfide MOCVD precursors. Metal powders were oxidized by dialkylthiurams in 3- or 4-methylpyridine using standard techniques for handling air and moisture-sensitive compounds. Metal chlorides reacted directly with the sodium dialkyldithiocarbamate salts. In these complexes, the metal was found in a roughly octahedral orientation, surrounded by dithiocarbamate ligands and/or solvent molecules. Triple-junction GaInP2/GaAs/Ge cells were composed of thin-films of GaInP2 and GaAs grown monolithically on top of a germanium substrate. Each layer of semiconductor material had a different bandgap and absorbed a different portion of the solar spectrum, thus improving the overall efficiency of the cell. Work focused on dark current-voltage behavior which is known to limit solar cell open-circuit voltage, fill factor, and conversion efficiency. Cells were studied using microscopic and spectroscopic techniques to correlate the effect of physical defects in the materials with poor performance of the devices as evaluated through current vs. voltage measurements. Films of US and CdSe were readily prepared in solution through an "ion-by-ion" deposition of Cd2+ and S2- (or Se 2-) generated from the slow hydrolysis of thiourea (or dimethylthiourea). The bath

  10. Flexible, Low-Power Thin-Film Transistors Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics.

    PubMed

    Choi, Junhwan; Joo, Munkyu; Seong, Hyejeong; Pak, Kwanyong; Park, Hongkeun; Park, Chan Woo; Im, Sung Gap

    2017-06-21

    A series of high-k, ultrathin copolymer gate dielectrics were synthesized from 2-cyanoethyl acrylate (CEA) and di(ethylene glycol) divinyl ether (DEGDVE) monomers by a free radical polymerization via a one-step, vapor-phase, initiated chemical vapor deposition (iCVD) method. The chemical composition of the copolymers was systematically optimized by tuning the input ratio of the vaporized CEA and DEGDVE monomers to achieve a high dielectric constant (k) as well as excellent dielectric strength. Interestingly, DEGDVE was nonhomopolymerizable but it was able to form a copolymer with other kinds of monomers. Utilizing this interesting property of the DEGDVE cross-linker, the dielectric constant of the copolymer film could be maximized with minimum incorporation of the cross-linker moiety. To our knowledge, this is the first report on the synthesis of a cyanide-containing polymer in the vapor phase, where a high-purity polymer film with a maximized dielectric constant was achieved. The dielectric film with the optimized composition showed a dielectric constant greater than 6 and extremely low leakage current densities (<3 × 10 -8 A/cm 2 in the range of ±2 MV/cm), with a thickness of only 20 nm, which is an outstanding thickness for down-scalable cyanide polymer dielectrics. With this high-k dielectric layer, organic thin-film transistors (OTFTs) and oxide TFTs were fabricated, which showed hysteresis-free transfer characteristics with an operating voltage of less than 3 V. Furthermore, the flexible OTFTs retained their low gate leakage current and ideal TFT characteristics even under 2% applied tensile strain, which makes them some of the most flexible OTFTs reported to date. We believe that these ultrathin, high-k organic dielectric films with excellent mechanical flexibility will play a crucial role in future soft electronics.

  11. Deposition of zinc sulfide thin films by chemical bath process

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah O.; Chow, Lee

    1996-11-01

    Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.

  12. Optimization of pulsed laser deposited ZnO thin-film growth parameters for thin-film transistors (TFT) application

    NASA Astrophysics Data System (ADS)

    Gupta, Manisha; Chowdhury, Fatema Rezwana; Barlage, Douglas; Tsui, Ying Yin

    2013-03-01

    In this work we present the optimization of zinc oxide (ZnO) film properties for a thin-film transistor (TFT) application. Thin films, 50±10 nm, of ZnO were deposited by Pulsed Laser Deposition (PLD) under a variety of growth conditions. The oxygen pressure, laser fluence, substrate temperature and annealing conditions were varied as a part of this study. Mobility and carrier concentration were the focus of the optimization. While room-temperature ZnO growths followed by air and oxygen annealing showed improvement in the (002) phase formation with a carrier concentration in the order of 1017-1018/cm3 with low mobility in the range of 0.01-0.1 cm2/V s, a Hall mobility of 8 cm2/V s and a carrier concentration of 5×1014/cm3 have been achieved on a relatively low temperature growth (250 °C) of ZnO. The low carrier concentration indicates that the number of defects have been reduced by a magnitude of nearly a 1000 as compared to the room-temperature annealed growths. Also, it was very clearly seen that for the (002) oriented films of ZnO a high mobility film is achieved.

  13. ZnS thin films deposition by thermal evaporation for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Benyahia, K.; Benhaya, A.; Aida, M. S.

    2015-10-01

    ZnS thin films were deposited on glass substrates by thermal evaporation from millimetric crystals of ZnS. The structural, compositional and optical properties of the films are studied by X-ray diffraction, SEM microscopy, and UV-VIS spectroscopy. The obtained results show that the films are pin hole free and have a cubic zinc blend structure with (111) preferential orientation. The estimated optical band gap is 3.5 eV and the refractive index in the visible wavelength ranges from 2.5 to 1.8. The good cubic structure obtained for thin layers enabled us to conclude that the prepared ZnS films may have application as buffer layer in replacement of the harmful CdS in CIGS thin film solar cells or as an antireflection coating in silicon-based solar cells.

  14. Iron-Terephthalate Coordination Network Thin Films Through In-Situ Atomic/Molecular Layer Deposition.

    PubMed

    Tanskanen, A; Karppinen, M

    2018-06-12

    Iron terephthalate coordination network thin films can be fabricated using the state-of-the-art gas-phase atomic/molecular layer deposition (ALD/MLD) technique in a highly controlled manner. Iron is an Earth-abundant and nonhazardous transition metal, and with its rich variety of potential applications an interesting metal constituent for the inorganic-organic coordination network films. Our work underlines the role of the metal precursor used when aiming at in-situ ALD/MLD growth of crystalline inorganic-organic thin films. We obtain crystalline iron terephthalate films when FeCl 3 is employed as the iron source whereas depositions based on the bulkier Fe(acac) 3 precursor yield amorphous films. The chemical composition and structure of the films are investigated with GIXRD, XRR, FTIR and XPS.

  15. Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okada, H.; Kato, M.; Ishimaru, T.

    2014-02-20

    Organometallic chemical vapor deposition of silicon nitride films enhanced by atomic nitrogen generated from surface-wave plasma is investigated. Feasibility of precursors of triethylsilane (TES) and bis(dimethylamino)dimethylsilane (BDMADMS) is discussed based on a calculation of bond energies by computer simulation. Refractive indices of 1.81 and 1.71 are obtained for deposited films with TES and BDMADMS, respectively. X-ray photoelectron spectroscopy (XPS) analysis of the deposited film revealed that TES-based film coincides with the stoichiometric thermal silicon nitride.

  16. Impact of deposition-rate fluctuations on thin-film thickness and uniformity

    DOE PAGES

    Oliver, Joli B.

    2016-11-04

    Variations in deposition rate are superimposed on a thin-film–deposition model with planetary rotation to determine the impact on film thickness. Variations in magnitude and frequency of the fluctuations relative to the speed of planetary revolution lead to thickness errors and uniformity variations up to 3%. Sufficiently rapid oscillations in the deposition rate have a negligible impact, while slow oscillations are found to be problematic, leading to changes in the nominal film thickness. Finally, superimposing noise as random fluctuations in the deposition rate has a negligible impact, confirming the importance of any underlying harmonic oscillations in deposition rate or source operation.

  17. Cu-Doped ZnO Thin Films Grown by Co-deposition Using Pulsed Laser Deposition for ZnO and Radio Frequency Sputtering for Cu

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-05-01

    Cu-doped ZnO (CZO) thin films were fabricated on single-crystalline (0001) Al2O3 substrates by co-deposition using pulsed laser deposition for ZnO and radio frequency sputtering for Cu. CZO thin films with 0-20% molar concentrations are obtained by adjusting the deposition rates of ZnO and Cu. The CZO thin films exhibit room temperature ferromagnetism, and CZO with 5% Cu molar concentration has maximum remanent magnetization, which is consistent with theoretical results.

  18. Liquid-phase deposition of thin Si films by ballistic electro-reduction

    NASA Astrophysics Data System (ADS)

    Ohta, T.; Gelloz, B.; Kojima, A.; Koshida, N.

    2013-01-01

    It is shown that the nanocryatalline silicon ballistic electron emitter operates in a SiCl4 solution without using any counter electrodes and that thin amorphous Si films are efficiently deposited on the emitting surface with no contaminations and by-products. Despite the large electrochemical window of the SiCl4 solution, electrons injected with sufficiently high energies preferentially reduce Si4+ ions at the interface. Using an emitter with patterned line emission windows, a Si-wires array can be formed in parallel. This low-temperature liquid-phase deposition technique provides an alternative clean process for power-effective fabrication of advanced thin Si film structures and devices.

  19. Scavenging of oxygen from SrTiO3 by metals and its implications for oxide thin film deposition

    NASA Astrophysics Data System (ADS)

    Posadas, Agham; Kormondy, Kristy; Guo, Wei; Ponath, Patrick; Kremer, Jacqueline; Hadamek, Tobias; Demkov, Alexander

    SrTiO3 is a widely used substrate for the growth of other functional oxide thin films. However, SrTiO3 loses oxygen very easily during oxide thin film deposition even under relatively high oxygen pressures. In some cases, there will be an interfacial layer of oxygen-deficient SrTiO3 formed at the interface with the deposited oxide film, depending on the metals present in the film. By depositing a variety of metals layer by layer and measuring the evolution of the core level spectra of both the deposited metal and SrTiO3 using x-ray photoelectron spectroscopy, we show that there are three distinct types of behavior that occur for thin metal films on SrTiO3. We discuss the implications of these types of behavior for the growth of complex oxide thin films on SrTiO3, and which oxide thin films are expected to produce an interfacial oxygen-deficient layer depending on their elemental constituents.

  20. Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications

    NASA Astrophysics Data System (ADS)

    Faÿ, S.; Shah, A.

    Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.

  1. Stabilization of the cubic phase of HfO2 by Y addition in films grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Rauwel, E.; Dubourdieu, C.; Holländer, B.; Rochat, N.; Ducroquet, F.; Rossell, M. D.; Van Tendeloo, G.; Pelissier, B.

    2006-07-01

    Addition of yttrium in HfO2 thin films prepared on silicon by metal organic chemical vapor deposition is investigated in a wide compositional range (2.0-99.5at.%). The cubic structure of HfO2 is stabilized for 6.5at.%. The permittivity is maximum for yttrium content of 6.5-10at.%; in this range, the effective permittivity, which results from the contribution of both the cubic phase and silicate phase, is of 22. These films exhibit low leakage current density (5×10-7A /cm2 at -1V for a 6.4nm film). The cubic phase is stable upon postdeposition high temperature annealing at 900°C under NH3.

  2. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com; Panchal, A.K.

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the ordermore » of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.« less

  3. Method for microwave plasma assisted supersonic gas jet deposition of thin films

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1994-10-18

    A thin film is formed on a substrate positioned in a vacuum chamber by use of a gas jet apparatus affixed to a vacuum chamber port and having an outer nozzle with an interior cavity into which carrier gas is fed, an inner nozzle located within the outer nozzle interior cavity into which reactant gas is introduced, a tip of the inner nozzle being recessed from the vacuum chamber port within the outer nozzle interior cavity, and a microwave discharge device configured about the apparatus for generating a discharge in the carrier gas and reactant gas only in a portion of the outer nozzle interior cavity extending from approximately the inner nozzle tip towards the vacuum chamber. A supersonic free jet of carrier gas transports vapor species generated in the microwave discharge to the surface of the substrate to form a thin film on the substrate. The substrate can be translated from the supersonic jet to a second supersonic jet in less time than needed to complete film formation so that the film is chemically composed of chemical reaction products of vapor species in the jets. 5 figs.

  4. Method for microwave plasma assisted supersonic gas jet deposition of thin films

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1994-01-01

    A thin film is formed on a substrate positioned in a vacuum chamber by use of a gas jet apparatus affixed to a vacuum chamber port and having an outer nozzle with an interior cavity into which carrier gas is fed, an inner nozzle located within the outer nozzle interior cavity into which reactant gas is introduced, a tip of the inner nozzle being recessed from the vacuum chamber port within the outer nozzle interior cavity, and a microwave discharge device configured about the apparatus for generating a discharge in the carrier gas and reactant gas only in a portion of the outer nozzle interior cavity extending from approximately the inner nozzle tip towards the vacuum chamber. A supersonic free jet of carrier gas transports vapor species generated in the microwave discharge to the surface of the substrate to form a thin film on the substrate. The substrate can be translated from the supersonic jet to a second supersonic jet in less time than needed to complete film formation so that the film is chemically composed of chemical reaction products of vapor species in the jets.

  5. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  6. Glancing angle deposition of sculptured thin metal films at room temperature

    NASA Astrophysics Data System (ADS)

    Liedtke, S.; Grüner, Ch; Lotnyk, A.; Rauschenbach, B.

    2017-09-01

    Metallic thin films consisting of separated nanostructures are fabricated by evaporative glancing angle deposition at room temperature. The columnar microstructure of the Ti and Cr columns is investigated by high resolution transmission electron microscopy and selective area electron diffraction. The morphology of the sculptured metallic films is studied by scanning electron microscopy. It is found that tilted Ti and Cr columns grow with a single crystalline morphology, while upright Cr columns are polycrystalline. Further, the influence of continuous substrate rotation on the shaping of Al, Ti, Cr and Mo nanostructures is studied with view to surface diffusion and the shadowing effect. It is observed that sculptured metallic thin films deposited without substrate rotation grow faster compared to those grown with continuous substrate rotation. A theoretical model is provided to describe this effect.

  7. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  8. Physical and photoelectrochemical properties of Sb-doped SnO2 thin films deposited by chemical vapor deposition: application to chromate reduction under solar light

    NASA Astrophysics Data System (ADS)

    Outemzabet, R.; Doulache, M.; Trari, M.

    2015-05-01

    Sb-doped SnO2 thin films (Sb-SnO2) are prepared by chemical vapor deposition. The X-ray diffraction indicates a rutile phase, and the SEM analysis shows pyramidal grains whose size extends up to 200 nm. The variation of the film thickness shows that the elaboration technique needs to be optimized to give reproducible layers. The films are transparent over the visible region. The dispersion of the optical indices is evaluated by fitting the diffuse reflectance data with the Drude-Lorentz model. The refractive index ( n) and absorption coefficient ( k) depend on both the conditions of preparation and of the doping concentration and vary between 1.4 and 2.0 and 0.2 and 0.01, respectively. Tin oxide is nominally non-stoichiometric, and the conduction is dominated by thermally electrons jump with an electron mobility of 12 cm2 V-1 s-1 for Sb-SnO2 (1 %). The ( C 2- V) characteristic in aqueous electrolyte exhibits a linear behavior from which an electrons density of 4.15 × 1018 cm-3 and a flat-band potential of -0.83 V SCE are determined. The electrochemical impedance spectroscopy shows a semicircle attributed to a capacitive behavior with a low density of surface states. The center lies below the real axis with a depletion angle (12°), due to a constant phase element, i.e., a deviation from a pure capacitive behavior, presumably attributed to the roughness and porosity of the film. The straight line at low frequencies is attributed to the Warburg diffusion. The energy diagram reveals the photocatalytic feasibility of Sb-SnO2. As application, 90 % of the chromate concentration (20 mg L-1, pH ~3) disappears after 6 h of exposure to solar light.

  9. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  10. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  11. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    NASA Astrophysics Data System (ADS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  12. Spectroscopic Ellipsometry Studies of Thin Film a-Si:H Solar Cell Fabrication by Multichamber Deposition in the n-i-p Substrate Configuration

    NASA Astrophysics Data System (ADS)

    Dahal, Lila Raj

    Real time spectroscopic ellipsometry (RTSE), and ex-situ mapping spectroscopic ellipsometry (SE) are powerful characterization techniques capable of performance optimization and scale-up evaluation of thin film solar cells used in various photovoltaics technologies. These non-invasive optical probes employ multichannel spectral detection for high speed and provide high precision parameters that describe (i) thin film structure, such as layer thicknesses, and (ii) thin film optical properties, such as oscillator variables in analytical expressions for the complex dielectric function. These parameters are critical for evaluating the electronic performance of materials in thin film solar cells and also can be used as inputs for simulating their multilayer optical performance. In this Thesis, the component layers of thin film hydrogenated silicon (Si:H) solar cells in the n-i-p or substrate configuration on rigid and flexible substrate materials have been studied by RTSE and ex-situ mapping SE. Depositions were performed by magnetron sputtering for the metal and transparent conducting oxide contacts and by plasma enhanced chemical vapor deposition (PECVD) for the semiconductor doped contacts and intrinsic absorber layers. The motivations are first to optimize the thin film Si:H solar cell in n-i-p substrate configuration for single-junction small-area dot cells and ultimately to scale-up the optimized process to larger areas with minimum loss in device performance. Deposition phase diagrams for both i- and p -layers on 2" x 2" rigid borosilicate glass substrate were developed as functions of the hydrogen-to-silane flow ratio in PECVD. These phase diagrams were correlated with the performance parameters of the corresponding solar cells, fabricated in the Cr/Ag/ZnO/n/i/ p/ITO structure. In both cases, optimization was achieved when the layers were deposited in the protocrystalline phase. Identical solar cell structures were fabricated on 6" x 6" borosilicate glass with

  13. Thin films deposited by femtosecond pulsed laser ablation of tungsten carbide

    NASA Astrophysics Data System (ADS)

    De Bonis, A.; Teghil, R.; Santagata, A.; Galasso, A.; Rau, J. V.

    2012-09-01

    Ultra-short Pulsed Laser Deposition has been applied to the production of thin films from a tungsten carbide target. The gaseous phase obtained by the laser ablation shows a very weak primary plume, in contrast with a very strong secondary one. The deposited films, investigated by Scanning Electron Microscopy, Atomic Force Microscopy, X-Ray Photoelectron Spectroscopy and X-Ray Diffraction, present a mixture of WC and other phases with lower carbon content. All films are amorphous, independently from the substrate temperature. The characteristics of the deposits have been explained in terms of thermal evaporation and cooling rate of molten particles ejected from the target.

  14. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  15. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  16. Thin films of mixed metal compounds

    DOEpatents

    Mickelsen, R.A.; Chen, W.S.

    1985-06-11

    Disclosed is a thin film heterojunction solar cell, said heterojunction comprising a p-type I-III-IV[sub 2] chalcopyrite substrate and an overlying layer of an n-type ternary mixed metal compound wherein said ternary mixed metal compound is applied to said substrate by introducing the vapor of a first metal compound to a vessel containing said substrate from a first vapor source while simultaneously introducing a vapor of a second metal compound from a second vapor source of said vessel, said first and second metals comprising the metal components of said mixed metal compound; independently controlling the vaporization rate of said first and second vapor sources; reducing the mean free path between vapor particles in said vessel, said gas being present in an amount sufficient to induce homogeneity of said vapor mixture; and depositing said mixed metal compound on said substrate in the form of a uniform composition polycrystalline mixed metal compound. 5 figs.

  17. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor.

    PubMed

    Efremov, Mikhail Yu; Nealey, Paul F

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  18. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor

    NASA Astrophysics Data System (ADS)

    Efremov, Mikhail Yu.; Nealey, Paul F.

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  19. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  20. Microstructure engineering of Pt-Al alloy thin films through Monte Carlo simulations

    NASA Astrophysics Data System (ADS)

    Harris, R. A.; Terblans, J. J.; Swart, H. C.

    2014-06-01

    A kinetic algorithm, based on the regular solution model, was used in conjunction with the Monte Carlo method to simulate the evolution of a micro-scaled thin film system during exposure to a high temperature environment. Pt-Al thin films were prepared via electron beam physical vapor deposition (EB-PVD) with an atomic concentration ratio of Pt63:Al37. These films were heat treated at an annealing temperature of 400 °C for 16 and 49 minutes. Scanning Auger Microscopy (SAM) (PHI 700) was used to obtain elemental maps while sputtering through the thin films. Simulations were run for the same annealing temperatures and thin-film composition. From these simulations theoretical depth profiles and simulated microstructures were obtained. These were compared to the experimentally measured depth profiles and elemental maps.

  1. Near-zero IR transmission of VO2 thin films deposited on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Chunzi; Koughia, Cyril; Li, Yuanshi; Cui, Xiaoyu; Ye, Fan; Shiri, Sheida; Sanayei, Mohsen; Wen, Shi-Jie; Yang, Qiaoqin; Kasap, Safa

    2018-05-01

    Vanadium dioxide (VO2) thin films of different thickness have been deposited on Si substrates by using DC magnetron sputtering. The effects of substrate pre-treatment by means of seeding (spin coating and ultrasonic bathing) and biasing on the structure and optical properties were investigated. Seeding results in a smaller grain size in the oxide film, whereas biasing results in square-textured crystals. VO2 thin films of 150 nm thick show a near-zero IR transmission in switched state. Especially, the 150 nm thick VO2 thin film with seeding treatment shows an enhanced switching efficiency.

  2. Stable and High-Performance Flexible ZnO Thin-Film Transistors by Atomic Layer Deposition.

    PubMed

    Lin, Yuan-Yu; Hsu, Che-Chen; Tseng, Ming-Hung; Shyue, Jing-Jong; Tsai, Feng-Yu

    2015-10-14

    Passivation is a challenging issue for the oxide thin-film transistor (TFT) technologies because it requires prolonged high-temperature annealing treatments to remedy defects produced in the process, which greatly limits its manufacturability as well as its compatibility with temperature-sensitive materials such as flexible plastic substrates. This study investigates the defect-formation mechanisms incurred by atomic layer deposition (ALD) passivation processes on ZnO TFTs, based on which we demonstrate for the first time degradation-free passivation of ZnO TFTs by a TiO2/Al2O3 nanolaminated (TAO) film deposited by a low-temperature (110 °C) ALD process. By combining the TAO passivation film with ALD dielectric and channel layers into an integrated low-temperature ALD process, we successfully fabricate flexible ZnO TFTs on plastics. Thanks to the exceptional gas-barrier property of the TAO film (water vapor transmission rate (WVTR)<10(-6) g m(-2) day(-1)) as well as the defect-free nature of the ALD dielectric and ZnO channel layers, the TFTs exhibit excellent device performance with high stability and flexibility: field-effect mobility>20 cm2 V(-1) s(-1), subthreshold swing<0.4 V decade(-1) after extended bias-stressing (>10,000 s), air-storage (>1200 h), and bending (1.3 cm radius for 1000 times).

  3. Maskless deposition technique for the physical vapor deposition of thin film and multilayer coatings with subnanometer precision and accuracy

    DOEpatents

    Vernon, Stephen P.; Ceglio, Natale M.

    2000-01-01

    The invention is a method for the production of axially symmetric, graded and ungraded thickness thin film and multilayer coatings that avoids the use of apertures or masks to tailor the deposition profile. A motional averaging scheme permits the deposition of uniform thickness coatings independent of the substrate radius. Coating uniformity results from an exact cancellation of substrate radius dependent terms, which occurs when the substrate moves at constant velocity. If the substrate is allowed to accelerate over the source, arbitrary coating profiles can be generated through appropriate selection and control of the substrate center of mass equation of motion. The radial symmetry of the coating profile is an artifact produced by orbiting the substrate about its center of mass; other distributions are obtained by selecting another rotation axis. Consequently there is a direct mapping between the coating thickness and substrate equation of motion which can be used to tailor the coating profile without the use of masks and apertures.

  4. Pulsed Laser Deposited Ferromagnetic Chromium Dioxide thin Films for Applications in Spintronics

    NASA Astrophysics Data System (ADS)

    Dwivedi, S.; Jadhav, J.; Sharma, H.; Biswas, S.

    Stable rutile type tetragonal chromium dioxide (CrO2) thin films have been deposited on lattice-matched layers of TiO2 by KrF excimer laser based pulsed laser deposition (PLD) technique using Cr2O3 target. The TiO2 seed layer was deposited on oxidized Si substrates by the same PLD process followed by annealing at 1100 °C for 4 h. The lattice-matched interfacial layer is required for the stabilization of Cr (IV) phase in CrO2, since CrO2 behaves as a metastable compound under ambient conditions and readily converts into its stable phase of Cr (III) oxide, Cr2O3. Analyses with X-ray diffraction (XRD), Glancing-angle XRD (GIXRD), Raman spectroscopy and grazing-angle Fourier transform infra-red (FTIR) spectroscopy confirm the presence of tetragonal CrO2 phase in the as-deposited films. Microstructure and surface morphology in the films were studied with field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Electrical and magnetic characterizations of the films were performed at room temperature. Such type of stable half-metallic CrO2 thin films with low field magnetoresistive switching behaviour are in demand for applications as diverse as spin-FETs, magnetic sensors, and magneto-optical devices.

  5. Effect of angle of deposition on the Fractal properties of ZnO thin film surface

    NASA Astrophysics Data System (ADS)

    Yadav, R. P.; Agarwal, D. C.; Kumar, Manvendra; Rajput, Parasmani; Tomar, D. S.; Pandey, S. N.; Priya, P. K.; Mittal, A. K.

    2017-09-01

    Zinc oxide (ZnO) thin films were prepared by atom beam sputtering at various deposition angles in the range of 20-75°. The deposited thin films were examined by glancing angle X-ray diffraction and atomic force microscopy (AFM). Scaling law analysis was performed on AFM images to show that the thin film surfaces are self-affine. Fractal dimension of each of the 256 vertical sections along the fast scan direction of a discretized surface, obtained from the AFM height data, was estimated using the Higuchi's algorithm. Hurst exponent was computed from the fractal dimension. The grain sizes, as determined by applying self-correlation function on AFM micrographs, varied with the deposition angle in the same manner as the Hurst exponent.

  6. Study of Sb2S3 thin films deposited by SILAR method

    NASA Astrophysics Data System (ADS)

    Deshpande, M. P.; Chauhan, Krishna; Patel, Kiran N.; Rajput, Piyush; Bhoi, Hiteshkumar R.; Chaki, S. H.

    2018-05-01

    In the present work, we deposited Sb2S3 thin films on glass slide by successive ionic layer adsorption and reaction (SILAR) technique with different time cycles. From EDAX, we could observe that the films were non-stoichiometric and contained few elements from glass slide. X-ray diffraction has shown that these films are orthorhombic in structure from where we have calculated the lattice parameter and crystallize size. SEM images shows that SILAR synthesized Sb2S3 thin films are homogenous and well distributed indicating the formation of uniform thin films at lower concentration. The room temperature Raman spectra of Sb2S3 thin films showed sharp peaks at 250 cm‑1 and 300 cm‑1 for all cases. Room temperature photoluminescence emission spectrum shows broad bands over 430–480 nm range with strong blue emission peak centered at same wavelength of 460 nm (2.70 eV) for all cases.

  7. Initiated chemical vapor deposition polymers for high peak-power laser targets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Baxamusa, Salmaan H.; Lepro, Xavier; Lee, Tom

    2016-12-05

    Here, we report two examples of initiated chemical vapor deposition (iCVD) polymers being developed for use in laser targets for high peak-power laser systems. First, we show that iCVD poly(divinylbenzene) is more photo-oxidatively stable than the plasma polymers currently used in laser targets. Thick layers (10–12 μm) of this highly crosslinked polymer can be deposited with near-zero intrinsic film stress. Second, we show that iCVD epoxy polymers can be crosslinked after deposition to form thin adhesive layers for assembling precision laser targets. The bondlines can be made as thin as ~ 1 μm, approximately a factor of 2 thinner thanmore » achievable using viscous resin-based adhesives. These bonds can withstand downstream coining and stamping processes.« less

  8. 2017 Report for New LANL Physical Vapor Deposition Capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roman, Audrey Rae; Zhao, Xinxin; Bond, Evelyn M.

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF ismore » the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.« less

  9. Structural and mechanical properties of CVD deposited titanium aluminium nitride (TiAlN) thin films

    NASA Astrophysics Data System (ADS)

    Das, Soham; Guha, Spandan; Ghadai, Ranjan; Kumar, Dhruva; Swain, Bibhu P.

    2017-06-01

    Titanium aluminium nitride (TiAlN) thin films were deposited by chemical vapour deposition using TiO2 powder, Al powder and N2 gas. The morphology and mechanical properties of the films were characterized by scanning electron microscopy and nanoindentation technique, respectively. The structural properties were characterized by Raman spectroscopy and X-ray diffraction. The XRD result shows TiAlN films are of NaCl-type metal nitride structure. Micro-Raman peaks of the TiAlN thin film were observed within 450 and 642 cm-1 for acoustic and optic range, respectively. A maximum hardness and Young modulus up to 22 and 272.15 GPa, respectively, were observed in the TiAlN film deposited at 1200 °C.

  10. Preparation of tris(8-hydroxyquinolinato)aluminum thin films by sputtering deposition using powder and pressed powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Tanaka, Rei; Suda, Yoshiaki

    2017-06-01

    Tris(8-hydroxyquinolinato)aluminum (Alq3) thin films, for use in organic electroluminescence displays, were prepared by a sputtering deposition method using powder and pressed powder targets. Experimental results suggest that Alq3 thin films can be prepared using powder and pressed powder targets, although the films were amorphous. The surface color of the target after deposition became dark brown, and the Fourier transform infrared spectroscopy spectrum changed when using a pressed powder target. The deposition rate of the film using a powder target was higher than that using a pressed powder target. That may be because the electron and ion densities of the plasma generated using the powder target are higher than those when using pressed powder targets under the same deposition conditions. The properties of a thin film prepared using a powder target were almost the same as those of a film prepared using a pressed powder target.

  11. Enhanced photo response of mesoporous nanostructured CdS thin film via electrospray aerosol deposition technique

    NASA Astrophysics Data System (ADS)

    Logu, T.; Soundarrajan, P.; Sankarasubramanian, K.; Sethuraman, K.

    2018-04-01

    In this work, a high crystalline and mesoporous nanostructured cadmium sulfide (CdS) thin film was successfully grown on the FTO substrates using facile Electrospray Aerosol Deposition (ESAD) technique. The structural, optical, morphological and electrical properties of CdS thin film have been systematically examined. CdS thin film exhibits the hexagonal wurtzite crystal structure with polycrystalline nature. The optical band gap energy of the prepared film was estimated from the Tauc plot and is 2.43 eV. The SEM and AFM images show that the well-interconnected CdS nanoparticles gives mesoporous like morphology. The fine aerosol generated from the ESAD process induces the alteration in the surface morphological structure of deposited CdS film that consequences in enhanced electrical and photo-physical properties. The photoconductivity of the sample has been studied which demonstrates significant photo current. The present study predicts that mesoporous nanostructured CdS thin film would be given a special interest for optoelectronic applications.

  12. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  13. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    PubMed

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  14. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  15. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    PubMed Central

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  16. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  17. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    NASA Astrophysics Data System (ADS)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  18. Temporally and spatially resolved plasma spectroscopy in pulsed laser deposition of ultra-thin boron nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glavin, Nicholas R., E-mail: nicholas.glavin.1@us.af.mil, E-mail: andrey.voevodin@us.af.mil; School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907; Muratore, Christopher

    2015-04-28

    Physical vapor deposition (PVD) has recently been investigated as a viable, alternative growth technique for two-dimensional materials with multiple benefits over other vapor deposition synthesis methods. The high kinetic energies and chemical reactivities of the condensing species formed from PVD processes can facilitate growth over large areas and at reduced substrate temperatures. In this study, chemistry, kinetic energies, time of flight data, and spatial distributions within a PVD plasma plume ablated from a boron nitride (BN) target by a KrF laser at different pressures of nitrogen gas were investigated. Time resolved spectroscopy and wavelength specific imaging were used to identifymore » and track atomic neutral and ionized species including B{sup +}, B*, N{sup +}, N*, and molecular species including N{sub 2}*, N{sub 2}{sup +}, and BN. Formation and decay of these species formed both from ablation of the target and from interactions with the background gas were investigated and provided insights into fundamental growth mechanisms of continuous, amorphous boron nitride thin films. The correlation of the plasma diagnostic results with film chemical composition and thickness uniformity studies helped to identify that a predominant mechanism for BN film formation is condensation surface recombination of boron ions and neutral atomic nitrogen species. These species arrive nearly simultaneously to the substrate location, and BN formation occurs microseconds before arrival of majority of N{sup +} ions generated by plume collisions with background molecular nitrogen. The energetic nature and extended dwelling time of incident N{sup +} ions at the substrate location was found to negatively impact resulting BN film stoichiometry and thickness. Growth of stoichiometric films was optimized at enriched concentrations of ionized boron and neutral atomic nitrogen in plasma near the condensation surface, providing few nanometer thick films with 1:1 BN stoichiometry and

  19. Room temperature chemical bath deposition of cadmium selenide, cadmium sulfide and cadmium sulfoselenide thin films with novel nanostructures

    NASA Astrophysics Data System (ADS)

    VanderHyde, Cephas A.; Sartale, S. D.; Patil, Jayant M.; Ghoderao, Karuna P.; Sawant, Jitendra P.; Kale, Rohidas B.

    2015-10-01

    A simple, convenient and low cost chemical synthesis route has been used to deposit nanostructured cadmium sulfide, selenide and sulfoselenide thin films at room temperature. The films were deposited on glass substrates, using cadmium acetate as cadmium ion and sodium selenosulfate/thiourea as a selenium/sulfur ion sources. Aqueous ammonia was used as a complex reagent and also to adjust the pH of the final solution. The as-deposited films were uniform, well adherent to the glass substrate, specularly reflective and red/yellow in color depending on selenium and sulfur composition. The X-ray diffraction pattern of deposited cadmium selenide thin film revealed the nanocrystalline nature with cubic phase; cadmium sulfide revealed mixture of cubic along with hexagonal phase and cadmium sulfoselenide thin film were grown with purely hexagonal phase. The morphological observations revealed the growth and formation of interesting one, two and three-dimensional nanostructures. The band gap of thin films was calculated and the results are reported.

  20. Structural and optical properties of electron beam evaporated yttria stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kirubaharan, A. Kamalan; Kuppusami, P.; Singh, Akash; Dharini, T.; Ramachandran, D.; Mohandas, E.

    2015-06-01

    Yttria stabilized zirconia (10 mole % Y2O3) thin films were deposited on quartz substrates using electron beam physical vapor deposition at the substrate temperatures in the range 300 - 973 K. XRD analysis showed cubic crystalline phase of YSZ films with preferred orientation along (111). The surface roughness was found to increase with the increase of deposition temperatures. The optical band gap of ˜5.7 eV was calculated from transmittance curves. The variation in the optical properties is correlated with the changes in the microstructural features of the films prepared as a function of substrate temperature.

  1. Effect of composition on SILAR deposited CdxZn1-xS thin films

    NASA Astrophysics Data System (ADS)

    Ashith V., K.; Gowrish Rao, K.

    2018-04-01

    In the group of II-VI compound semiconductor, cadmium zinc sulphide (CdxZn1-xS) thin films have broad application in photovoltaic, optoelectronic devices etc. For heterojunction aspects, CdxZn1-xS thin film can be used as heterojunction partner for CdTe as the absorber layer. In this work, CdZnS thin films prepared on glass substrates by Successive Ion Layer Adsorption and Reaction (SILAR) method by varying the composition. The XRD patterns of deposited films showed polycrystalline with the hexagonal phase. The crystallite size of the films was estimated from W-H plot. The bond length of the film varied w.r.to the composition of the CdxZn1-xS films. The urbach energy of the films was calcualted from absorbance data.

  2. Vapor-deposited organic glasses exhibit enhanced stability against photodegradation.

    PubMed

    Qiu, Yue; Dalal, Shakeel S; Ediger, M D

    2018-04-18

    Photochemically stable solids are in demand for applications in organic electronics. Previous work has established the importance of the molecular packing environment by demonstrating that different crystal polymorphs of the same compound react at different rates when illuminated. Here we show, for the first time, that different amorphous packing arrangements of the same compound photodegrade at different rates. For these experiments, we utilize the ability of physical vapor deposition to prepare glasses with an unprecedented range of densities and kinetic stabilities. Indomethacin, a pharmaceutical molecule that can undergo photodecarboxylation when irradiated by UV light, is studied as a model system. Photodegradation is assessed through light-induced changes in the mass of glassy thin films due to the loss of CO2, as measured by a quartz crystal microbalance (QCM). Glasses prepared by physical vapor deposition degraded more slowly under UV illumination than did the liquid-cooled glass, with the difference as large as a factor of 2. Resistance to photodegradation correlated with glass density, with the vapor-deposited glasses being up to 1.3% more dense than the liquid-cooled glass. High density glasses apparently limit the local structural changes required for photodegradation.

  3. Characterization of Cu2ZnSnS4 thin films prepared by photo-chemical deposition

    NASA Astrophysics Data System (ADS)

    Moriya, Katsuhiko; Watabe, Jyunichi; Tanaka, Kunihiko; Uchiki, Hisao

    2006-09-01

    Cu2ZnSnS4 (CZTS) thin films were prepared by post-annealing films of metal sulfides of Cu2S, ZnS and SnS2 precursors deposited on soda-lime glass substrates by photo-chemical deposition (PCD) from aqueous solution containing CuSO4, ZnSO4, SnSO4 and Na2S2O3. In this study, sulfurization was employed to prepare high quality CZTS thin films. Deposited films of metal sulfides were annealed in a furnace in an atmosphere of N2 or N2+H2S(5%) at the temperature of 300°, 400° or 500 °C. The sulfured films showed X-ray diffraction peaks from (112), (220), and (312) planes of CZTS and the peaks became sharp by an increase in the sulfurization temperature. CZTS thin film annealed in atmosphere of N2 was S-poor. After annealing atmosphere was changed from N2 into N2+H2S(5%), the decrease of a composi- tional ratio of sulfur could be suppressed.

  4. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  5. Structural and optical properties of PbS thin films grown by chemical bath deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seghaier, S.; Kamoun, N.; Guasch, C.

    2007-09-19

    Lead sulphide thin films are grown on glass substrates at various deposition times tD, in the range of 40-60 min per step of 2 min, using the chemical bath deposition technique. X-ray diffraction and atomic force microscopy are used to characterize the film structure. The surface composition is analysed by Auger electron spectroscopy. It appears that the as-prepared thin films are polycrystalline with cubic structure. Nanometric scale crystallites are uniformly distributed on the surface. They exhibit almost a stoechiometric composition with a [Pb]/[S] ratio equal to 1.10. Optical properties are studied in the range of 300-3300 nm by spectrophotometric measurements.more » Analysis of the optical absorption data of lead sulphide thin layers reveals a narrow optical direct band gap equal to 0.46 eV for the layer corresponding to a deposition time equal to 60 min.« less

  6. Micro-Machined Thin Film Sensor Arrays For The Detection Of H2, Containing Gases, And Method Of Making And Using The Same.

    DOEpatents

    DiMeo, Jr., Frank; Baum, Thomas H.

    2003-07-22

    The present invention provides a hydrogen sensor including a thin film sensor element formed by metal organic chemical vapor deposition (MOCVD) or physical vapor deposition (PVD), on a micro-hotplate structure. The thin film sensor element includes a film of a hydrogen-interactive metal film that reversibly interacts with hydrogen to provide a correspondingly altered response characteristic, such as optical transmissivity, electrical conductance, electrical resistance, electrical capacitance, magneto resistance, photoconductivity, etc., relative to the response characteristic of the film in the absence of hydrogen. The hydrogen-interactive metal film may be overcoated with a thin film hydrogen-permeable barrier layer to protect the hydrogen-interactive film from deleterious interaction with non-hydrogen species. The hydrogen permeable barrier may comprise species to scavenge oxygen and other like species. The hydrogen sensor of the invention may be usefully employed for the detection of hydrogen in an environment susceptible to the incursion or generation of hydrogen and may be conveniently configured as a hand-held apparatus.

  7. Glow discharge plasma deposition of thin films

    DOEpatents

    Weakliem, Herbert A.; Vossen, Jr., John L.

    1984-05-29

    A glow discharge plasma reactor for deposition of thin films from a reactive RF glow discharge is provided with a screen positioned between the walls of the chamber and the cathode to confine the glow discharge region to within the region defined by the screen and the cathode. A substrate for receiving deposition material from a reactive gas is positioned outside the screened region. The screen is electrically connected to the system ground to thereby serve as the anode of the system. The energy of the reactive gas species is reduced as they diffuse through the screen to the substrate. Reactive gas is conducted directly into the glow discharge region through a centrally positioned distribution head to reduce contamination effects otherwise caused by secondary reaction products and impurities deposited on the reactor walls.

  8. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  9. Magnetism of Amorphous and Nano-Crystallized Dc-Sputter-Deposited MgO Thin Films

    PubMed Central

    Mahadeva, Sreekanth K.; Fan, Jincheng; Biswas, Anis; Sreelatha, K.S.; Belova, Lyubov; Rao, K.V.

    2013-01-01

    We report a systematic study of room-temperature ferromagnetism (RTFM) in pristine MgO thin films in their amorphous and nano-crystalline states. The as deposited dc-sputtered films of pristine MgO on Si substrates using a metallic Mg target in an O2 containing working gas atmosphere of (N2 + O2) are found to be X-ray amorphous. All these films obtained with oxygen partial pressure (PO2) ~10% to 80% while maintaining the same total pressure of the working gas are found to be ferromagnetic at room temperature. The room temperature saturation magnetization (MS) value of 2.68 emu/cm3 obtained for the MgO film deposited in PO2 of 10% increases to 9.62 emu/cm3 for film deposited at PO2 of 40%. However, the MS values decrease steadily for further increase of oxygen partial pressure during deposition. On thermal annealing at temperatures in the range 600 to 800 °C, the films become nanocrystalline and as the crystallite size grows with longer annealing times and higher temperature, MS decreases. Our study clearly points out that it is possible to tailor the magnetic properties of thin films of MgO. The room temperature ferromagnetism in MgO films is attributed to the presence of Mg cation vacancies. PMID:28348346

  10. Effect of deposition temperature on the structural and optical properties of CdSe QDs thin films deposited by CBD method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Laatar, F., E-mail: fakher8laatar@gmail.com; Harizi, A.; Smida, A.

    2016-06-15

    Highlights: • Synthesis of CdSe QDs with L-Cysteine capping agent for applications in nanodevices. • The films of CdSe QDs present uniform and good dispersive particles at the surface. • Effect of bath temperature on the structural and optical properties of CdSe QDs thin films. • Investigation of the optical constants and dispersion parameters of CdSe QDs thin films. - Abstract: Cadmium selenide quantum dots (CdSe QDs) thin films were deposited onto glass substrates by a chemical bath deposition (CBD) method at different temperatures from an aqueous solution containing L-Cysteine (L-Cys) as capping agent. The evolution of the surface morphologymore » and elemental composition of the CdSe films were studied by AFM, SEM, and EDX analyses. Structural and optical properties of CdSe thin films were investigated by XRD, UV–vis and PL spectroscopy. The dispersion behavior of the refractive index is described using the single oscillator Wemple-DiDomenico (W-D) model, and the physical dispersion parameters are calculated as a function of deposition temperature. The dispersive optical parameters such as average oscillator energy (E{sub o}), dispersion energy (E{sub d}), and static refractive index (n{sub o}) were found to vary with the deposition temperature. Besides, the electrical free carrier susceptibility (χ{sub e}) and the carrier concentration of the effective mass ratio (N/m*) were evaluated according to the Spitzer-Fan model.« less

  11. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    PubMed

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  12. Stabilizing laser energy density on a target during pulsed laser deposition of thin films

    DOEpatents

    Dowden, Paul C.; Jia, Quanxi

    2016-05-31

    A process for stabilizing laser energy density on a target surface during pulsed laser deposition of thin films controls the focused laser spot on the target. The process involves imaging an image-aperture positioned in the beamline. This eliminates changes in the beam dimensions of the laser. A continuously variable attenuator located in between the output of the laser and the imaged image-aperture adjusts the energy to a desired level by running the laser in a "constant voltage" mode. The process provides reproducibility and controllability for deposition of electronic thin films by pulsed laser deposition.

  13. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  14. Zirconium doped TiO2 thin films deposited by chemical spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Juma, A.; Oja Acik, I.; Oluwabi, A. T.; Mere, A.; Mikli, V.; Danilson, M.; Krunks, M.

    2016-11-01

    Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO2 thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO2 thin films were uniform and homogeneous showing much smaller grains than the undoped TiO2 films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO2 film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO4 phase started forming after annealing at 800 °C. The optical band gap for TiO2 decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO2:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  15. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  16. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    DOE PAGES

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; ...

    2016-01-29

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800–1100°C, we report an increase inmore » the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm ₋1 to 2300 cm ₋1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Lastly, Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100°C.« less

  17. Large-Area Growth of Turbostratic Graphene on Ni(111) via Physical Vapor Deposition

    PubMed Central

    Garlow, Joseph A.; Barrett, Lawrence K.; Wu, Lijun; Kisslinger, Kim; Zhu, Yimei; Pulecio, Javier F.

    2016-01-01

    Single-layer graphene has demonstrated remarkable electronic properties that are strongly influenced by interfacial bonding and break down for the lowest energy configuration of stacked graphene layers (AB Bernal). Multilayer graphene with relative rotations between carbon layers, known as turbostratic graphene, can effectively decouple the electronic states of adjacent layers, preserving properties similar to that of SLG. While the growth of AB Bernal graphene through chemical vapor deposition has been widely reported, we investigate the growth of turbostratic graphene on heteroepitaxial Ni(111) thin films utilizing physical vapor deposition. By varying the carbon deposition temperature between 800 –1100 °C, we report an increase in the graphene quality concomitant with a transition in the size of uniform thickness graphene, ranging from nanocrystallites to thousands of square microns. Combination Raman modes of as-grown graphene within the frequency range of 1650 cm−1 to 2300 cm−1, along with features of the Raman 2D mode, were employed as signatures of turbostratic graphene. Bilayer and multilayer graphene were directly identified from areas that exhibited Raman characteristics of turbostratic graphene using high-resolution TEM imaging. Raman maps of the pertinent modes reveal large regions of turbostratic graphene on Ni(111) thin films at a deposition temperature of 1100 °C. PMID:26821604

  18. High performance thin film transistor with ZnO channel layer deposited by DC magnetron sputtering.

    PubMed

    Moon, Yeon-Keon; Moon, Dae-Yong; Lee, Sang-Ho; Jeong, Chang-Oh; Park, Jong-Wan

    2008-09-01

    Research in large area electronics, especially for low-temperature plastic substrates, focuses commonly on limitations of the semiconductor in thin film transistors (TFTs), in particular its low mobility. ZnO is an emerging example of a semiconductor material for TFTs that can have high mobility, while a-Si and organic semiconductors have low mobility (<1 cm2/Vs). ZnO-based TFTs have achieved high mobility, along with low-voltage operation low off-state current, and low gate leakage current. In general, ZnO thin films for the channel layer of TFTs are deposited with RF magnetron sputtering methods. On the other hand, we studied ZnO thin films deposited with DC magnetron sputtering for the channel layer of TFTs. After analyzing the basic physical and chemical properties of ZnO thin films, we fabricated a TFT-unit cell using ZnO thin films for the channel layer. The field effect mobility (micro(sat)) of 1.8 cm2/Vs and threshold voltage (Vth) of -0.7 V were obtained.

  19. Sputtered magnesium diboride thin films: Growth conditions and surface morphology

    NASA Astrophysics Data System (ADS)

    O'Brien, April; Villegas, Brendon; Gu, J. Y.

    2009-01-01

    Magnesium diboride (MgB 2) thin films were deposited on C-plane sapphire substrates by sputtering pure B and Mg targets at different substrate temperatures, and were followed by in situ annealing. A systematic study about the effects of the various growth and annealing parameters on the physical properties of MgB 2 thin films showed that the substrate temperature is the most critical factor that determines the superconducting transition temperature ( Tc), while annealing plays a minor role. There was no superconducting transition in the thin films grown at room temperature without post-annealing. The highest Tc of the samples grown at room temperature after the optimized annealing was 22 K. As the temperature of the substrate ( Ts) increased, Tc rose. However, the maximum Ts was limited due to the low magnesium sticking coefficient and thus the Tc value was limited as well. The highest Tc, 29 K, was obtained for the sample deposited at 180 °C, annealed at 620 °C, and was subsequently annealed a second time at 800 °C. Three-dimensional (3D) AFM images clearly demonstrated that the thin films with no transition, or very low Tc, did not have the well-developed MgB 2 grains while the films with higher Tc displayed the well-developed grains and smooth surface. Although the Tc of sputtered MgB 2 films in the current work is lower than that for the bulk and ex situ annealed thin films, this work presents an important step towards the fabrication of MgB 2 heterostructures using rather simple physical vapor deposition method such as sputtering.

  20. Design and Operation of a Fast, Thin-Film Thermocouple Probe on a Turbine Engine

    NASA Technical Reports Server (NTRS)

    Meredith, Roger D.; Wrbanek, John D.; Fralick, Gustave C.; Greer, Lawrence C., III; Hunter, Gary W.; Chen, Liang-Yu

    2014-01-01

    As a demonstration of technology maturation, a thin-film temperature sensor probe was fabricated and installed on a F117 turbofan engine via a borescope access port to monitor the temperature experienced in the bleed air passage of the compressor area during an engine checkout test run. To withstand the harsh conditions experienced in this environment, the sensor probe was built from high temperature materials. The thin-film thermocouple sensing elements were deposited by physical vapor deposition using pure metal elements, thus avoiding the inconsistencies of sputter-depositing particular percentages of materials to form standardized alloys commonly found in thermocouples. The sensor probe and assembly were subjected to a strict protocol of multi-axis vibrational testing as well as elevated temperature pressure testing to be qualified for this application. The thin-film thermocouple probe demonstrated a faster response than a traditional embedded thermocouple during the engine checkout run.

  1. Structural and optical studied of nano structured lead sulfide thin films prepared by the chemical bath deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al Din, Nasser Saad, E-mail: nsaadaldin@yahoo.com; Hussain, Nabiha, E-mail: nabihahssin@yahoo.com; Jandow, Nidhal, E-mail: nidhaljandow@yahoo.com

    2016-07-25

    Lead (II) Sulfide PbS thin films were deposited on glass substrates at 25°C by chemical bath deposition (CBD) method. The structural properties of the films were studied as a function of the concentration of Thiourea (CS (NH{sub 2}){sub 2}) as Source of Sulfide and deposition time. The surface morphology of the films was characterized by X-ray diffraction and SEM. The obtained results showed that the as-deposited films Polycrystalline had cubic crystalline phase that belong to S.G: Fm3m. We found that they have preferred orientation [200]. Also the thickness of thin films decrease with deposition time after certain value and, itmore » observed free sulfide had orthorhombic phase. Optical properties showed that the thin films have high transmission at visible range and low transmission at UV, IR range. The films of PbS have direct band gap (I.68 - 2.32 ev) at 300 K the values of band energy decreases with increases thickness of the Lead (II) Sulfide films.« less

  2. Berkovich Nanoindentation on AlN Thin Films

    PubMed Central

    2010-01-01

    Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm−3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young’s modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young’s modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple “pop-ins” observed in the load–displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load–displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices. PMID:20672096

  3. Berkovich Nanoindentation on AlN Thin Films.

    PubMed

    Jian, Sheng-Rui; Chen, Guo-Ju; Lin, Ting-Chun

    2010-03-31

    Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm-3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young's modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young's modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple "pop-ins" observed in the load-displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load-displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices.

  4. Effect of substrate and post-deposition annealing on nanostructure and optical properties of CdTe thin films

    NASA Astrophysics Data System (ADS)

    Hasani, Ebrahim; Raoufi, Davood

    2018-04-01

    Thermal evaporation is one of the promising methods for depositing CdTe thin films, which can obtain the thin films with the small thickness. In this work, CdTe nanoparticles have deposited on SiO2 substrates such as quartz (crystal) and glass (amorphous) at a temperature (Ts) of 150 °C under a vacuum pressure of 2 × 10‑5 mbar. The thickness of CdTe thin films prepared under vacuum pressure is 100 nm. X-ray diffraction analysis (XRD) results showed the formation of CdTe cubic phase with a strong preferential orientation of (111) crystalline plane on both substrates. The grain size (D) in this orientation obtained about 7.41 and 5.48 nm for quartz and glass respectively. Ultraviolet-visible spectroscopy (UV–vis) measurements indicated the optical band gap about 1.5 and 1.52 eV for CdTe thin films deposited on quartz and glass respectively. Furthermore, to show the effect of annealing temperature on structure and optical properties of CdTe thin films on quartz and glass substrates, the thin films have been annealed at temperatures 50 and 70 °C for one hour. The results of this work indicate that the structure’s parameters and optical properties of CdTe thin films change due to increase in annealing temperature.

  5. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  6. Feasibility demonstration for electroplating ultra-thin polyimide film. [fabricating film for space erectable structures

    NASA Technical Reports Server (NTRS)

    Schneier, R.; Braswell, T. V.; Vaughn, R. W.

    1978-01-01

    The effect of electrodeposition variables on film thickness was investigated using a dilute polyimide solution as a bath into which aluminum (as foil or as a vapor deposited coating) was immersed. The electrodeposited film was dried for 2 hours at 93 C (primarily to remove solvent) and cured for 18 hours at 186 C. Infrared studies indicate that imide formation (curing) occurs at 149 C under vacuum. From a conceptual viewpoint, satisfactory film metallized on one side can be obtained by this method. The cured ultra thin polyimide film exhibits properties equivalent to those of commercial film, and the surface appearance of the strippable polyimide film compares favorably with that of a sample of commercial film of thicker gauge. The feasibility of manufacturing approximately one million sq m of ultra thin film capable of being joined to fabricate an 800 m by 9 800 m square from starting material 0.5 to 1 m wide for space erectable structures was demonstrated.

  7. Thin-film-based optical fiber Fabry-Perot interferometer used for humidity sensing.

    PubMed

    Peng, Jiankun; Qu, Yapeng; Wang, Weijia; Sun, Tengpeng; Yang, Minghong

    2018-04-20

    A thin-film-based optical fiber Fabry-Perot interferometer that consists of ZrO 2 and SiO 2 porous thin films is designed and fabricated by electron beam physical vapor deposition. Since the SiO 2 porous thin film has the capability of water adsorption, the proposed Fabry-Perot interferometer is appropriate to detect humidity. Experimental results show that the prepared sensor has a humidity detection range from 0.06% RH to 70% RH. A cycling test shows that the humidity sensor has a responding or recover time of 4 s and good repeatability among different humidity environments. Especially, the proposed humidity sensor is insensitive to temperature variation and suitable for the detection of low relative humidity.

  8. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    NASA Astrophysics Data System (ADS)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  9. Method and apparatus for conducting variable thickness vapor deposition

    DOEpatents

    Nesslage, G.V.

    1984-08-03

    A method of vapor depositing metal on a substrate in variable thickness comprises conducting the deposition continuously without interruption to avoid formation of grain boundaries. To achieve reduced deposition in specific regions a thin wire or ribbon blocking body is placed between source and substrate to partially block vapors from depositing in the region immediately below.

  10. Atomic layer deposition for fabrication of HfO2/Al2O3 thin films with high laser-induced damage thresholds.

    PubMed

    Wei, Yaowei; Pan, Feng; Zhang, Qinghua; Ma, Ping

    2015-01-01

    Previous research on the laser damage resistance of thin films deposited by atomic layer deposition (ALD) is rare. In this work, the ALD process for thin film generation was investigated using different process parameters such as various precursor types and pulse duration. The laser-induced damage threshold (LIDT) was measured as a key property for thin films used as laser system components. Reasons for film damaged were also investigated. The LIDTs for thin films deposited by improved process parameters reached a higher level than previously measured. Specifically, the LIDT of the Al2O3 thin film reached 40 J/cm(2). The LIDT of the HfO2/Al2O3 anti-reflector film reached 18 J/cm(2), the highest value reported for ALD single and anti-reflect films. In addition, it was shown that the LIDT could be improved by further altering the process parameters. All results show that ALD is an effective film deposition technique for fabrication of thin film components for high-power laser systems.

  11. Influence of Molecular Shape on the Thermal Stability and Molecular Orientation of Vapor-Deposited Organic Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walters, Diane M; Antony, Lucas; de Pablo, Juan

    High thermal stability and anisotropic molecular orientation enhance the performance of vapor-deposited organic semiconductors, but controlling these properties is a challenge in amorphous materials. To understand the influence of molecular shape on these properties, vapor-deposited glasses of three disk-shaped molecules were prepared. For all three systems, enhanced thermal stability is observed for glasses prepared over a wide range of substrate temperatures and anisotropic molecular orientation is observed at lower substrate temperatures. For two of the disk-shaped molecules, atomistic simulations of thin films were also performed and anisotropic molecular orientation was observed at the equilibrium liquid surface. We find that themore » structure and thermal stability of these vapor-deposited glasses results from high surface mobility and partial equilibration toward the structure of the equilibrium liquid surface during the deposition process. For the three molecules studied, molecular shape is a dominant factor in determining the anisotropy of vapor-deposited glasses.« less

  12. Solution-deposited CIGS thin films for ultra-low-cost photovoltaics

    NASA Astrophysics Data System (ADS)

    Eldada, Louay A.; Hersh, Peter; Stanbery, Billy J.

    2010-09-01

    We describe the production of photovoltaic modules with high-quality large-grain copper indium gallium selenide (CIGS) thin films obtained with the unique combination of low-cost ink-based precursors and a reactive transfer printing method. The proprietary metal-organic inks contain a variety of soluble Cu-, In- and Ga- multinary selenide materials; they are called metal-organic decomposition (MOD) precursors, as they are designed to decompose into the desired precursors. Reactive transfer is a two-stage process that produces CIGS through the chemical reaction between two separate precursor films, one deposited on the substrate and the other on a printing plate in the first stage. In the second stage, these precursors are rapidly reacted together under pressure in the presence of heat. The use of two independent thin films provides the benefits of independent composition and flexible deposition technique optimization, and eliminates pre-reaction prior to the synthesis of CIGS. In a few minutes, the process produces high quality CIGS films, with large grains on the order of several microns, and preferred crystallographic orientation, as confirmed by compositional and structural analysis by XRF, SIMS, SEM and XRD. Cell efficiencies of 14% and module efficiencies of 12% were achieved using this method. The atmospheric deposition processes include slot die extrusion coating, ultrasonic atomization spraying, pneumatic atomization spraying, inkjet printing, direct writing, and screen printing, and provide low capital equipment cost, low thermal budget, and high throughput.

  13. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp; Micro System Integration Center; Isobe, Shigehito

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  14. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    NASA Astrophysics Data System (ADS)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  15. Third order nonlinearity in pulsed laser deposited LiNbO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tumuluri, Anil; Rapolu, Mounika; Rao, S. Venugopal, E-mail: kcjrsp@uohyd.ernet.in, E-mail: svrsp@uohyd.ernet.in

    2016-05-06

    Lithium niobate (LiNbO{sub 3}) thin films were prepared using pulsed laser deposition technique. Structural properties of the same were examined from XRD and optical band gap of the thin films were measured from transmittance spectra recorded using UV-Visible spectrophotometer. Nonlinear optical properties of the thin films were recorded using Z-Scan technique. The films were exhibiting third order nonlinearity and their corresponding two photon absorption, nonlinear refractive index, real and imaginary part of nonlinear susceptibility were calculated from open aperture and closed aperture transmission curves. From these studies, it suggests that these films have potential applications in nonlinear optical devices.

  16. Photoexcited Carrier Dynamics of Cu 2S Thin Films

    DOE PAGES

    Riha, Shannon C.; Schaller, Richard D.; Gosztola, David J.; ...

    2014-11-11

    Copper sulfide is a simple binary material with promising attributes for low-cost thin film photovoltaics. However, stable Cu 2S-based device efficiencies approaching 10% free from cadmium have yet to be realized. In this paper, transient absorption spectroscopy is used to investigate the dynamics of the photoexcited state of isolated Cu 2S thin films prepared by atomic layer deposition or vapor-based cation exchange of ZnS. While a number of variables including film thickness, carrier concentration, surface oxidation, and grain boundary passivation were examined, grain structure alone was found to correlate with longer lifetimes. A map of excited state dynamics is deducedmore » from the spectral evolution from 300 fs to 300 μs. Finally, revealing the effects of grain morphology on the photophysical properties of Cu 2S is a crucial step toward reaching high efficiencies in operationally stable Cu 2S thin film photovoltaics.« less

  17. Devitrification and delayed crazing of SiO2 on single-crystal silicon and chemically vapor-deposited silicon nitride

    NASA Technical Reports Server (NTRS)

    Choi, Doo Jin; Scott, William D.

    1987-01-01

    The linear growth rate of cristobalite was measured in thin SiO2 films on silicon and chemically vapor-deposited silicon nitride. The presence of trace impurities from alumina furnace tubes greatly increased the crystal growth rate. Under clean conditions, the growth rate was still 1 order-of-magnitude greater than that for internally nucleated crystals in bulk silica. Crystallized films cracked and lifted from the surface after exposure to atmospheric water vapor. The crystallization and subsequent crazing and lifting of protective SiO2 films on silicon nitride should be considered in long-term applications.

  18. Precursor-Surface Reactions in Plasma Deposition of Silicon Thin Films

    NASA Astrophysics Data System (ADS)

    Bakos, Tamas

    2005-03-01

    Device-quality hydrogenated amorphous silicon (a-Si:H) thin films are usually grown by plasma deposition under conditions where the SiH3 radical is the dominant deposition precursor. In this presentation, we report results of first-principles density functional theory calculations on the interactions of the SiH3 radical with the crystalline Si(100)-(2x1):H surface in conjunction with molecular-dynamics simulations of a-Si:H thin film growth by SiH3 radicals, which elucidate the pathways and energetics of surface reactions that govern important film properties. In particular, we show that an SiH3 radical can insert into strained surface Si-Si dimer bonds, abstract surface H through an Eley-Rideal mechanism, and passivate surface dangling bonds; these reactions follow exothermic and barrierless pathways that lead to a temperature-independent growth rate in agreement with experimental measurements. We also identify a thermally activated surface H abstraction process, in which the SiH3 radical diffuses through overcoordinated surface Si atoms until it encounters a favorable site for H abstraction; the diffusion and H-abstraction steps have commensurate activation barriers. This mechanism explains partly the reduction of the film H content at elevated substrate temperatures.

  19. Zincblende to Wurtzite phase shift of CdSe thin films prepared by electrochemical deposition

    NASA Astrophysics Data System (ADS)

    Bai, Rekha; Chaudhary, Sujeet; Pandya, Dinesh K.

    2018-04-01

    Cadmium selenide (CdSe) nanostructured thin films have been deposited on conducting glass substrates by potentiostatic electrochemical deposition (ECD) technique. The effect of electrolyte bath pH on the structural, morphological and optical properties of CdSe films has been investigated. Crystal structure of these films is characterized by X-ray diffraction and Raman spectroscopy which reveal polycrystalline nature of CdSe films exhibiting phase shift from zincblende to wurtzite structure with increase in bath pH. Optical studies reveal that the CdSe thin films have good absorbance in visible spectral region and they possess direct optical band gap which increases from 1.68 to 1.97 eV with increase in bath pH. The results suggest CdSe is an efficient absorber material for next generation solar cells.

  20. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  1. Nanostructured Ti-Ta thin films synthesized by combinatorial glancing angle sputter deposition

    NASA Astrophysics Data System (ADS)

    Motemani, Yahya; Khare, Chinmay; Savan, Alan; Hans, Michael; Paulsen, Alexander; Frenzel, Jan; Somsen, Christoph; Mücklich, Frank; Eggeler, Gunther; Ludwig, Alfred

    2016-12-01

    Ti-Ta alloys are attractive materials for applications in actuators as well as biomedical implants. When fabricated as thin films, these alloys can potentially be employed as microactuators, components for micro-implantable devices and coatings on surgical implants. In this study, Ti100-x Ta x (x = 21, 30) nanocolumnar thin films are fabricated by glancing angle deposition (GLAD) at room temperature using Ti73Ta27 and Ta sputter targets. Crystal structure, morphology and microstructure of the nanostructured thin films are systematically investigated by XRD, SEM and TEM, respectively. Nanocolumns of ˜150-160 nm in width are oriented perpendicular to the substrate for both Ti79Ta21 and Ti70Ta30 compositions. The disordered α″ martensite phase with orthorhombic structure is formed in room temperature as-deposited thin films. The columns are found to be elongated small single crystals which are aligned perpendicular to the (20\\bar{4}) and (204) planes of α″ martensite, indicating that the films’ growth orientation is mainly dominated by these crystallographic planes. Laser pre-patterned substrates are utilized to obtain periodic nanocolumnar arrays. The differences in seed pattern, and inter-seed distances lead to growth of multi-level porous nanostructures. Using a unique sputter deposition geometry consisting of Ti73Ta27 and Ta sputter sources, a nanocolumnar Ti-Ta materials library was fabricated on a static substrate by a co-deposition process (combinatorial-GLAD approach). In this library, a composition spread developed between Ti72.8Ta27.2 and Ti64.4Ta35.6, as confirmed by high-throughput EDX analysis. The morphology over the materials library varies from well-isolated nanocolumns to fan-like nanocolumnar structures. The influence of two sputter sources is investigated by studying the resulting column angle on the materials library. The presented nanostructuring methods including the use of the GLAD technique along with pre-patterning and a

  2. Investigations into the formation of nanocrystalline quantum dot thin films by mist deposition process

    NASA Astrophysics Data System (ADS)

    Kshirsagar, Aditya

    Semiconductor nanocrystalline quantum dots (NQDs) have material properties remarkably different compared to bulk semiconductors with the same material composition. These NQDs have various novel applications in the electronic and photonic industry, such as light emitting diodes (LEDs) and flat-panel displays. In these applications, ultra-thin films of NQDs in the monolayer regime are needed to ensure optimal current transport properties and device efficiency. There is ongoing search to find a suitable method to deposit and pattern such ultra-thin films of quantum dots with few monolayer thicknesses. Several competing approaches are available, each with its pros and cons. This study explores mist deposition as the technique to fill this void. In this study, ultra-thin films of quantum dots are deposited on diverse substrates and are characterized to understand the mechanics of mist deposition. Various applications of blanket deposited and patterned quantum dot films are studied. The results discussed here include atomic force microscopy analysis of the films to study surface morphology, fluorescence microscopy to study light emission and optical microscope images to study patterning techniques. These results demonstrate the ability of mist deposition to form 1-4 monolayers thick, uniform, defect-free patterned films with root mean square (RMS) surface roughness less than 2 nm. LEDs fabricated using mist deposition show a peak luminescence greater than 500 cd/m2 for matched red, yellow and green devices using Alq3 as the electron transport layer, and over 9000 cd/m2 for red devices using ZnO as the electron transport layer, respectively. In addition to the experimental approach to study the process and explore potential applications, simulation and modeling are carried out to understand the various aspects of mist deposition. A mathematical model is presented which discusses the atomization process of the precursor solution, the physics involved during the deposition

  3. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    NASA Astrophysics Data System (ADS)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  4. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    NASA Astrophysics Data System (ADS)

    Pereira, M. J.; Lourenço, A. A. C. S.; Amaral, V. S.

    2014-07-01

    Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC). Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  5. Microstructure and ferroelectricity of BaTiO3 thin films on Si for integrated photonics

    NASA Astrophysics Data System (ADS)

    Kormondy, Kristy J.; Popoff, Youri; Sousa, Marilyne; Eltes, Felix; Caimi, Daniele; Rossell, Marta D.; Fiebig, Manfred; Hoffmann, Patrik; Marchiori, Chiara; Reinke, Michael; Trassin, Morgan; Demkov, Alexander A.; Fompeyrine, Jean; Abe, Stefan

    2017-02-01

    Significant progress has been made in integrating novel materials into silicon photonic structures in order to extend the functionality of photonic circuits. One of these promising optical materials is BaTiO3 or barium titanate (BTO) that exhibits a very large Pockels coefficient as required for high-speed light modulators. However, all previous demonstrations show a noticable reduction of the Pockels effect in BTO thin films deposited on silicon substrates compared to BTO bulk crystals. Here, we report on the strong dependence of the Pockels effect in BTO thin films on their microstructure, and provide guidelines on how to engineer thin films with strong electro-optic response. We employ several deposition methods such as molecular beam epitaxy and chemical vapor deposition to realize BTO thin films with different morphology and crystalline structure. While a linear electro-optic response is present even in porous, polycrystalline BTO thin films with an effective Pockels coefficient r eff = 6 pm V-1, it is maximized for dense, tetragonal, epitaxial BTO films (r eff = 140 pm V-1). By identifying the key structural predictors of electro-optic response in BTO/Si, we provide a roadmap to fully exploit the linear electro-optic effect in novel hybrid oxide/semiconductor nanophotonic devices.

  6. An in situ grazing incidence x-ray scattering study of block copolymer thin films during solvent vapor annealing

    NASA Astrophysics Data System (ADS)

    Gu, Xiaodan; Gunkel, Ilja; Hexemer, Alexander; Russell, Thomas

    2014-03-01

    Although solvent vapor annealing (SVA) has been widely applied to block copolymer (BCP) thin films to obtain well-ordered microdomains, the mechanism of enhancing lateral order is not well understood. Here, we used real time in situ grazing-incidence small-angle x-ray scattering (in situGISAXS) to study the self-assembly of PS-b-P2VP BCP BCPs with different molecular weights thin films in THF vapor, a near neutral solvent for both blocks. Both swelling and deswelling behavior of BCP thin films were examined. The extent of swellingand the solvent removal rate not only affect the domain spacing of BCPs but also dictate the extent of lateral ordering of the BCP microdomains. Larger grains were observed at higher values of the swelling ratio (close to disordering). To preserve the maximal lateral ordering of the microdomains in the swollen state, the fastest solvent removal rate is required to freeze in the ordered microdomain structure of the swollen BCP film. We thanks support from U.S. Department of Energy BES under contract BES-DE-FG02-96ER45612 and ALS doctoral fellowship.

  7. High sensitive formaldehyde graphene gas sensor modified by atomic layer deposition zinc oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mu, Haichuan; Zhang, Zhiqiang; Wang, Keke

    2014-07-21

    Zinc oxide (ZnO) thin films with various thicknesses were fabricated by Atomic Layer Deposition on Chemical Vapor Deposition grown graphene films and their response to formaldehyde has been investigated. It was found that 0.5 nm ZnO films modified graphene sensors showed high response to formaldehyde with the resistance change up to 52% at the concentration of 9 parts-per-million (ppm) at room temperature. Meanwhile, the detection limit could reach 180 parts-per-billion (ppb) and fast response of 36 s was also obtained. The high sensitivity could be attributed to the combining effect from the highly reactive, top mounted ZnO thin films, and high conductivemore » graphene base network. The dependence of ZnO films surface morphology and its sensitivity on the ZnO films thickness was also investigated.« less

  8. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    NASA Astrophysics Data System (ADS)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  9. Oriented niobate ferroelectric thin films for electrical and optical devices

    DOEpatents

    Wessels, Bruce W.; Nystrom, Michael J.

    2001-01-01

    Sr.sub.x Ba.sub.1-x Nb.sub.2 O.sub.6, where x is greater than 0.25 and less than 0.75, and KNbO.sub.3 ferroelectric thin films metalorganic chemical vapor deposited on amorphous or cyrstalline substrate surfaces to provide a crystal axis of the film exhibiting a high dielectric susceptibility, electro-optic coefficient, and/or nonlinear optic coefficient oriented preferentially in a direction relative to a crystalline or amorphous substrate surface. Such films can be used in electronic, electro-optic, and frequency doubling components.

  10. Depth profiling and morphological characterization of AlN thin films deposited on Si substrates using a reactive sputter magnetron

    NASA Astrophysics Data System (ADS)

    Macchi, Carlos; Bürgi, Juan; García Molleja, Javier; Mariazzi, Sebastiano; Piccoli, Mattia; Bemporad, Edoardo; Feugeas, Jorge; Sennen Brusa, Roberto; Somoza, Alberto

    2014-08-01

    It is well-known that the characteristics of aluminum nitride thin films mainly depend on their morphologies, the quality of the film-substrate interfaces and the open volume defects. A study of the depth profiling and morphological characterization of AlN thin films deposited on two types of Si substrates is presented. Thin films of thicknesses between 200 and 400 nm were deposited during two deposition times using a reactive sputter magnetron. These films were characterized by means of X-ray diffraction and imaging techniques (SEM and TEM). To analyze the composition of the films, energy dispersive X-ray spectroscopy was applied. Positron annihilation spectroscopy, specifically Doppler broadening spectroscopy, was used to gather information on the depth profiling of open volume defects inside the films and the AlN films-Si substrate interfaces. The results are interpreted in terms of the structural changes induced in the films as a consequence of changes in the deposition time (i.e., thicknesses) and of the orientation of the substrates.

  11. Study of the morphology of ZnS thin films deposited on different substrates via chemical bath deposition.

    PubMed

    Gómez-Gutiérrez, Claudia M; Luque, P A; Castro-Beltran, A; Vilchis-Nestor, A R; Lugo-Medina, Eder; Carrillo-Castillo, A; Quevedo-Lopez, M A; Olivas, A

    2015-01-01

    In this work, the influence of substrate on the morphology of ZnS thin films by chemical bath deposition is studied. The materials used were zinc acetate, tri-sodium citrate, thiourea, and ammonium hydroxide/ammonium chloride solution. The growth of ZnS thin films on different substrates showed a large variation on the surface, presenting a poor growth on SiO2 and HfO2 substrates. The thin films on ITO substrate presented a uniform and compact growth without pinholes. The optical properties showed a transmittance of about 85% in the visible range of 300-800 nm with band gap of 3.7 eV. © Wiley Periodicals, Inc.

  12. Fabrication of InGaN thin-film transistors using pulsed sputtering deposition.

    PubMed

    Itoh, Takeki; Kobayashi, Atsushi; Ueno, Kohei; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-07-07

    We report the first demonstration of operational InGaN-based thin-film transistors (TFTs) on glass substrates. The key to our success was coating the glass substrate with a thin amorphous layer of HfO2, which enabled a highly c-axis-oriented growth of InGaN films using pulsed sputtering deposition. The electrical characteristics of the thin films were controlled easily by varying their In content. The optimized InGaN-TFTs exhibited a high on/off ratio of ~10(8), a field-effect mobility of ~22 cm(2) V(-1) s(-1), and a maximum current density of ~30 mA/mm. These results lay the foundation for developing high-performance electronic devices on glass substrates using group III nitride semiconductors.

  13. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  14. Experimental studies of thin films deposition by magnetron sputtering method for CIGS solar cell fabrication

    NASA Astrophysics Data System (ADS)

    Gułkowski, Sławomir; Krawczak, Ewelina

    2017-10-01

    Among a variety of the thin film solar cell technologies of second generation, copper-indium-gallium-diselenide device (CIGS) with the latest highest lab cell efficiency record of 22.4 % seems to be the most promising for the power generation. This is partly due to the advantages of using low cost films of few microns thick not only as a metallic contacts but also as a main structure of the solar cell consisted of high quality semiconductor layers. This paper reports the experimental studies of the CIGS absorber formation on Soda Lime Glass substrate covered by thin molybdenum film as a back contact layer. All structures were deposited with the use of magnetron sputtering method only. Technological parameters of the deposition process such as deposition power, pressure and deposition time were optimized for each layer of the structure. Mo back contact was examined in terms of resistivity. EDS measurements were carried out to verify stoichiometric composition of CIGS absorber. Thin film of Al was used as a top contact in order to examine the quality of p-n junction. The I-V electrical characteristic of the p-n junction was analysed in terms of solar cell application.

  15. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr; Gumus, Cebrail

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized inmore » the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.« less

  16. Effect of Annealing Temperature on Flowerlike Cu3BiS3 Thin Films Grown by Chemical Bath Deposition

    NASA Astrophysics Data System (ADS)

    Deshmukh, S. G.; Patel, S. J.; Patel, K. K.; Panchal, A. K.; Kheraj, Vipul

    2017-10-01

    For widespread application of thin-film photovoltaic solar cells, synthesis of inexpensive absorber material is essential. In this work, deposition of ternary Cu3BiS3 absorber material, which contains abundant and environmentally benign elements, was carried out on glass substrate. Flowerlike Cu3BiS3 thin films with nanoflakes as building block were formed on glass substrate by chemical bath deposition. These films were annealed at 573 K and 673 K in sulfur ambient for structural improvement. Their structure was characterized using Raman spectroscopy, as well as their surface morphological and optical properties. The x-ray diffraction profile of as-deposited Cu3BiS3 thin film revealed amorphous structure, which transformed to orthorhombic phase after annealing. The Raman spectrum exhibited a characteristic peak at 290 cm-1. Scanning electron microscopy of as-deposited Cu3BiS3 film confirmed formation of nanoflowers with diameter of around 1052 nm. Wettability testing of as-deposited Cu3BiS3 thin film demonstrated hydrophobic nature, which became hydrophilic after annealing. The measured ultraviolet-visible (UV-Vis) absorption spectra of the Cu3BiS3 thin films gave an absorption coefficient of 105 cm-1 and direct optical bandgap of about 1.42 eV after annealing treatment. Based on all these results, such Cu3BiS3 material may have potential applications in the photovoltaic field as an absorber layer.

  17. Structural and electrical characterization of microcrystalline silicon films prepared by a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system

    NASA Astrophysics Data System (ADS)

    Hong, J. P.; Kim, C. O.; Nahm, T. U.; Kim, C. M.

    2000-02-01

    Microcrystalline silicon films have been prepared on indium-coated glass utilizing a layer-by-layer technique with a plasma-enhanced chemical-vapor deposition system. The microcrystalline films were fabricated by varying the number of cycles from 10 to 60 under a fixed H2 time (t2) of 120 s, where the corresponding deposition time (t1) of amorphous silicon thin film was 60 s. Structural properties, such as the crystalline volume fraction (Xc) and grain sizes were analyzed by using Raman spectroscopy and a scanning electron microscopy. The carrier transport was characterized by the temperature dependence of dark conductivity, giving rise to the calculation of activation energy (Ea). Optical energy gaps (Eg) were also investigated using an ultraviolet spectrophotometer. In addition, the process under different hydrogen plasma time (t2) at a fixed number of 20 cycles was extensively carried out to study the dominant role of hydrogen atoms in layer-by-layer deposition. Finally, the correlation between structural and electrical properties has been discussed on the basis of experimental results.

  18. An investigation of flow-limited field-injection electrostatic spraying (FFESS) and its applications to thin film deposition

    NASA Astrophysics Data System (ADS)

    Singh, Ravindra Pratap

    Electrostatic spraying is the process of controlled disruption of a liquid surface due to excess surface charge density. The technique has found applications in a wide range of fields from agricultural sprays to fuel injectors to colloidal thrusters for space vehicle propulsion. Over the past 20 years, the technique has been intensely studied in material processing for synthesis of ceramic and metal powders, nanoparticles and thin films. The importance of the technique lies in its simple setup, high deposition efficiency, and ambient atmosphere operation. In conventional electrostatic spraying (CESS), one uses a conducting nozzle to charge the liquid, mostly by induction charging. CESS is therefore restricted to the single jet mode of spraying which occurs at low spray currents. It lacks stability and reproducibility in the high current, multiple jet regime, which can generate much finer sprays. In flow-limited field-injection electrostatic spraying (FFESS), one uses a field-injection electrode to stably and controllably inject higher currents into the liquid, a la Fowler-Nordheim, using an otherwise insulating nozzle. This way, it is possible to stably electrospray in the multiple jet mode. In addition to producing much finer sprays, the multi-jet mode atomizes liquids at higher rates, and spreads the spray over a wider region and more uniformly than single jet sprays, thus paving way for large-area uniform thin film deposition. A simple yet comprehensive theory is formulated to describe the multi jet formation. The theory, which is based on the energy minimization principle, takes into account, for the first time, the interactions between charged jets which leads to saturation in the number of jets at high spray currents. The possibility of using an array of nozzles to obtain uniform large-area high-throughput thin film deposition is also investigated. A large number of FFESS nozzles with alternating positive and negative polarities arranged in a periodic 2

  19. Femtosecond pulsed laser deposition of amorphous, ultrahard boride thin films

    NASA Astrophysics Data System (ADS)

    Stock, Michael; Molian, Pal

    2004-05-01

    Amorphous thin films (300-500 nm) of ultrahard AlMgB10 with oxygen and carbon impurities were grown on Si (100) substrates at 300 K using a solid target of AlMgB14 containing a spinel phase (MgAl2O4) and using a 120 fs pulsed, 800 nm wavelength Ti:sapphire laser. The films were subsequently annealed in argon gas up to 1373 K for 2 h. Scanning electron microscopy (SEM) was used to examine the particulate formation, atomic force microscopy was employed to characterize the film surface topography, x-ray diffraction and transmission electron microscopy were used to determine the microstructure, x-ray photoelectron spectroscopy was performed to examine the film composition, and nanoindentation was employed to study the hardness of thin films. The as-deposited and postannealed films (up to 1273 K) had a stochiometry of AlMgB10 with a significant amount of oxygen and carbon impurities and exhibited amorphous structures for a maximum hardness of 40+/-3 GPa. However, postannealing at higher temperatures led to crystallization and transformation of the film to SiB6 with a substantial loss in hardness. Results are also compared with our previous study on 23 ns, 248 nm wavelength (KrF excimer) pulsed laser deposition of AlMgB14 reported in this journal [Y. Tian, A. Constant, C. C. H. Lo, J. W. Anderegg, A. M. Russell, J. E. Snyder, and P. A. Molian, J. Vac. Sci. Technol. A 21, 1055 (2003)]. .

  20. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  1. Process for depositing thin film layers onto surfaces modified with organic functional groups and products formed thereby

    DOEpatents

    Tarasevich, B.J.; Rieke, P.C.

    1998-06-02

    A method is provided for producing a thin film product, comprising a first step in which an underlying substrate of a first material is provided. The underlying substrate includes a plurality of unmodified sites. The underlying substrate is then chemically modified wherein a plurality of organic functional groups are attached to a plurality of the unmodified sites. The arrangement and type of the functional group used can be selected for the purpose of controlling particular properties of the second material deposited. A thin film layer of at least one second material is then deposited onto the chemically modified underlying substrate. This can be accomplished by connecting the thin film to the underlying substrate by binding the thin film to the functional groups. 5 figs.

  2. Process for depositing thin film layers onto surfaces modified with organic functional groups and products formed thereby

    DOEpatents

    Tarasevich, Barbara J.; Rieke, Peter C.

    1998-01-01

    A method is provided for producing a thin film product, comprising a first step in which an underlying substrate of a first material is provided. The underlying substrate includes a plurality of unmodified sites. The underlying substrate is then chemically modified wherein a plurality of organic functional groups are attached to a plurality of the unmodified sites. The arrangement and type of the functional group used can be selected for the purpose of controlling particular properties of the second material deposited. A thin film layer of at least one second material is then deposited onto the chemically modified underlying substrate. This can be accomplished by connecting the thin film to the underlying substrate by binding the thin film to the functional groups.

  3. Oriented niobate ferroelectric thin films for electrical and optical devices and method of making such films

    DOEpatents

    Wessels, B.W.; Nystrom, M.J.

    1998-05-19

    Sr{sub x}Ba{sub 1{minus}x}Nb{sub 2}O{sub 6}, where x is greater than 0.25 and less than 0.75, and KNbO{sub 3} ferroelectric thin films metalorganic chemical vapor deposited on amorphous or crystalline substrate surfaces to provide a crystal axis of the film exhibiting a high dielectric susceptibility, electro-optic coefficient, and/or nonlinear optic coefficient oriented preferentially in a direction relative to a crystalline or amorphous substrate surface are disclosed. Such films can be used in electronic, electro-optic, and frequency doubling components. 8 figs.

  4. Oriented niobate ferroelectric thin films for electrical and optical devices and method of making such films

    DOEpatents

    Wessels, Bruce W.; Nystrom, Michael J.

    1998-01-01

    Sr.sub.x Ba.sub.1-x Nb.sub.2 O.sub.6, where x is greater than 0.25 and less than 0.75, and KNbO.sub.3 ferroelectric thin films metalorganic chemical vapor deposited on amorphous or crystalline substrate surfaces to provide a crystal axis of the film exhibiting a high dielectric susceptibility, electro-optic coefficient, and/or nonlinear optic coefficient oriented preferentially in a direction relative to a crystalline or amorphous substrate surface. Such films can be used in electronic, electro-optic, and frequency doubling components.

  5. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, Rointan; Nath, Prem

    1982-01-01

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment.

  6. Chemical bath deposition of II-VI compound thin films

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah Olatunde

    II-VI compounds are direct bandgap semiconductors with great potentials in optoelectronic applications. Solar cells, where these materials are in greater demand, require a low cost production technology that will make the final product more affordable. Chemical bath deposition (CBD) a low cost growth technique capable of producing good quality thin film semiconductors over large area and at low temperature then becomes a suitable technology of choice. Heterogeneous reaction in a basic aqueous solution that is responsible for the II-VI compound film growth in CBD requires a metal complex. We have identified the stability constant (k) of the metal complex compatible with CBD growth mechanism to be about 106.9. This value is low enough to ensure that the substrate adsorbed complex relax for subsequent reaction with the chalcogen precursor to take place. It is also high enough to minimize the metal ion concentration in the bath participating in the precipitation of the bulk compounds. Homogeneous reaction that leads to precipitation in the reaction bath takes place because the solubility products of bulk II-VI compounds are very low. This reaction quickly depletes the bath of reactants, limit the film thickness, and degrade the film quality. While ZnS thin films are still hard to grow by CBD because of lack of suitable complexing agent, the homogeneous reaction still limits quality and thickness of both US and ZnS thin films. In this study, the zinc tetraammine complex ([Zn(NH3) 4]2+) with k = 108.9 has been forced to acquire its unsaturated form [Zn(NH3)3]2+ with a moderate k = 106.6 using hydrazine and nitrilotriacetate ion as complementary complexing agents and we have successfully grown ZnS thin films. We have also, minimized or eliminated the homogeneous reaction by using ammonium salt as a buffer and chemical bath with low reactant concentrations. These have allowed us to increase the saturation thickness of ZnS thin film by about 400% and raise that of US film

  7. Growth of ternary CdxZn1-xO thin films in oxygen ambient using pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sharma, Sugandha; Saini, Basant; Kaur, Ravinder; Gupta, Vinay; Tomar, Monika; Kapoor, Avinashi

    2018-05-01

    This study reports the growth of cadmium alloyed zinc (CdxZn1-xO) oxide thin films using pulsed laser deposition. The films are deposited on Corning glass substrates at different oxygen pressures of 5, 20, and 40 mTorr. High resolution X-ray diffraction studies reveal mixed phase (hexagonal and cubic) for films deposited at 20 and 40 mTorr, while a cubic phase for film deposited at 5 mTorr pressure. Optical transmittance studies indicate red-shifting of transmission edge as oxygen pressure decreases to 5 mTorr from 20 mTorr, hinting at a possible increase in cadmium content in thin films. Minimum band gap energy is obtained at growth pressure of 5 mTorr. Resistivity measurements have been performed using Hall effect measurement set up at 298 K.

  8. Preparation and characterization of ALD deposited ZnO thin films studied for gas sensors

    NASA Astrophysics Data System (ADS)

    Boyadjiev, S. I.; Georgieva, V.; Yordanov, R.; Raicheva, Z.; Szilágyi, I. M.

    2016-11-01

    Applying atomic layer deposition (ALD), very thin zinc oxide (ZnO) films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The gas sensing of the ZnO films to NO2 was tested in the concentration interval between 10 and 5000 ppm. On the basis of registered frequency change of the QCM, for each concentration the sorbed mass was calculated. Further characterization of the films was carried out by various techniques, i.e. by SEM-EDS, XRD, ellipsometry, and FTIR spectroscopy. Although being very thin, the films were gas sensitive to NO2 already at room temperature and could register very well as low concentrations as 100 ppm, while the sorption was fully reversible. Our results for very thin ALD ZnO films show that the described fast, simple and cost-effective technology could be implemented for producing gas sensors working at room temperature and being capable to detect in real time low concentrations of NO2.

  9. Semiconducting boron carbide thin films: Structure, processing, and diode applications

    NASA Astrophysics Data System (ADS)

    Bao, Ruqiang

    ) heterojunction with 200 nm thick B4C thin film when the Si (100) substrate surface was not pre-cleaned by RF sputtering. When this heterojunction was characterized using solar simulator with air mass 1.5 spectra, the short circuit current density is 1.484 mA/cm2, the open circuit voltage is about 0.389 V, and the power conversion efficiency is about 0.214 %. In addition, B5C thin films deposited by plasma enhanced chemical vapor deposition were used to make some of the devices studied in this dissertation. It was found that the Si-doped BC / n-Si (111) heterojunctions also demonstrates their photovoltaic and betavoltaic responses. Even after irradiated by a 120 keV electron beam to a fluence of 4.38x1017 electrons/cm 2, the heterojunctions still posses betavoltaic behavior and their responses to the incident irradiance density are similar to that before irradiation.

  10. Ultrasonic Spray Pyrolysis Deposited Copper Sulphide Thin Films for Solar Cell Applications

    PubMed Central

    Firat, Y. E.; Yildirim, H.; Erturk, K.

    2017-01-01

    Polycrystalline copper sulphide (CuxS) thin films were grown by ultrasonic spray pyrolysis method using aqueous solutions of copper chloride and thiourea without any complexing agent at various substrate temperatures of 240, 280, and 320°C. The films were characterized for their structural, optical, and electrical properties by X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive analysis of X-rays (EDAX), atomic force microscopy (AFM), contact angle (CA), optical absorption, and current-voltage (I-V) measurements. The XRD analysis showed that the films had single or mixed phase polycrystalline nature with a hexagonal covellite and cubic digenite structure. The crystalline phase of the films changed depending on the substrate temperature. The optical band gaps (Eg) of thin films were 2.07 eV (CuS), 2.50 eV (Cu1.765S), and 2.28 eV (Cu1.765S–Cu2S). AFM results indicated that the films had spherical nanosized particles well adhered to the substrate. Contact angle measurements showed that the thin films had hydrophobic nature. Hall effect measurements of all the deposited CuxS thin films demonstrated them to be of p-type conductivity, and the current-voltage (I-V) dark curves exhibited linear variation. PMID:29109807

  11. Experimental study of Pulsed Laser Deposited Cu2ZnSnS 4 (CZTS) thin films for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Nandur, Abhishek S.

    Thin film solar cells are gaining momentum as a renewable energy source. Reduced material requirements (< 2 mum in total film thickness) coupled with fast, low-cost production processes make them an ideal alternative to Si (>15 mum in total thickness) solar cells. Among the various thin film solar absorbers that have been proposed, CZTS (Cu2ZnSnS4) has become the subject of intense interest because of its optimal band gap (1.45 eV), high absorption coefficient (104 cm--1 ) and abundant elemental components. Pulsed Laser Deposition (PLD) provides excellent control over film composition since films are deposited under high vacuum with excellent stoichiometry transfer from the target. Defect-free, near-stoichiometric poly-crystalline CZTS thin films were deposited using PLD from a stoichiometrically close CZTS target (Cu2.6Zn1.1Sn0.7S3.44). The effects of fabrication parameters such as laser energy density, deposition time, substrate temperature and sulfurization (annealing in sulfur) on the surface morphology, composition and optical absorption of the CZTS thin films were examined. The results show that the presence of secondary phases, present both in the bulk and on the surface, affected the electrical and optical properties of the CZTS thin films and the CZTS based TFSCs. After selectively etching away the secondary phases with DIW, HCl and KCN, it was observed that their removal improved the performance of CZTS based TFSCs. Optimal CZTS thin films exhibited an optical band gap of 1.54 eV with an absorption coefficient of 4x10 4cm-1 with a low volume of secondary phases. A TFSC fabricated with the best CZTS thin film obtained from the experimental study done in this thesis showed a conversion efficiency of 6.41% with Voc = 530 mV, Jsc= 27.5 mA/cm2 and a fill factor of 0.44.

  12. Anomalous electrical conductivity of a gold thin film percolation system

    NASA Astrophysics Data System (ADS)

    Tao, Xiang-Ming; Ye, Gao-Xiang; Ye, Quan-Lin; Jin, Jin-Sheng; Lao, Yan-Feng; Jiao, Zheng-Kuan

    2002-09-01

    A gold thin film percolation system, deposited on a glass surface by the vapor deposition method, has been fabricated. By using the expansive and mobile properties of the silicone oil drop, a characteristic wedge-shaped film system with a slope of ~10-5 naturally forms during deposition. The electrical conductivity of the bandlike film, i.e., the uniform part of the wedge-shaped film with a fixed thickness, is measured with the four-probe method. It is found that the hopping and tunneling effects of the films are stronger than those of the other films. The dependence between the dc sheet resistance R0 and temperature T shows that the samples exhibit a negative coefficient dR0/dT below the temperature T*. According to our experiment, it is suggested that all the anomalous behaviors of the system should be related to the characteristic microstructure of the samples, which results from the immediate quench processes by the oil drop during deposition. The experiment indicates that the relaxation period of the microstructure of the samples may be longer than 30 min.

  13. High-Throughput Characterization of Vapor-Deposited Organic Glasses

    NASA Astrophysics Data System (ADS)

    Dalal, Shakeel S.

    Glasses are non-equilibrium materials which on short timescales behave like solids, and on long timescales betray their liquid-like structure. The most common way of preparing a glass is to cool the liquid faster than it can structurally rearrange. Until recently, most preparation schemes for a glass were considered to result in materials with undifferentiable structure and properties. This thesis utilizes a particular preparation method, physical vapor deposition, in order to prepare glasses of organic molecules with properties otherwise considered to be unobtainable. The glasses are characterized using spectroscopic ellipsometry, both as a dilatometric technique and as a reporter of molecular packing. The results reported here develop ellipsometry as a dilatometric technique on a pair of model glass formers, alpha,alpha,beta-trisnaphthylbenzene and indomethacin. It is found that the molecular orientation, as measured by birefringence, can be tuned by changing the substrate temperature during the deposition. In order to efficiently characterize the properties of vapor-deposited indomethacin as a function of substrate temperature, a high-throughput method is developed to capture the entire interesting range of substrate temperatures in just a few experiments. This high-throughput method is then leveraged to describe molecular mobility in vapor-deposited indomethacin. It is also used to demonstrate that the behavior of organic semiconducting molecules agrees with indomethacin quantitatively, and this agreement has implications for emerging technologies such as light-emitting diodes, photovoltaics and thin-film transistors made from organic molecules.

  14. Silicon thin film homoepitaxy by rapid thermal atmospheric-pressure chemical vapor deposition (RT-APCVD)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Monna, R.; Angermeier, D.; Slaoui, A.

    1996-12-01

    The homoepitaxy of thin film silicon layers in a horizontal, atmospheric pressure RTCVD reactor is reported. The experiments were conducted in a temperature range from 900 C to 1,300 C employing the precursor trichlorosilane (TCS) and the dopant trichloroborine (TCB) diluted in hydrogen. The epilayers were evaluated by Nomarski microscopy, Rutherford backscattering spectroscopy, and scanning electron microscopy (SEM). The electrical properties of the thin film were analyzed by sheet resistance and four point probe characterization methods. The authors propose that the responsible mechanisms for the observed growth decline at higher precursor concentration in hydrogen are due to the reaction ofmore » the gaseous HCl with the silicon surface and the supersaturation of silicon.« less

  15. Chemical Vapor Deposition Of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Larkin, David J.; Matus, Lawrence G.; Petit, Jeremy B.

    1993-01-01

    Large single-crystal SiC boules from which wafers of large area cut now being produced commerically. Availability of wafers opens door for development of SiC semiconductor devices. Recently developed chemical vapor deposition (CVD) process produces thin single-crystal SiC films on SiC wafers. Essential step in sequence of steps used to fabricate semiconductor devices. Further development required for specific devices. Some potential high-temperature applications include sensors and control electronics for advanced turbine engines and automobile engines, power electronics for electromechanical actuators for advanced aircraft and for space power systems, and equipment used in drilling of deep wells. High-frequency applications include communication systems, high-speed computers, and microwave power transistors. High-radiation applications include sensors and controls for nuclear reactors.

  16. Thin-film optical initiator

    DOEpatents

    Erickson, Kenneth L.

    2001-01-01

    A thin-film optical initiator having an inert, transparent substrate, a reactive thin film, which can be either an explosive or a pyrotechnic, and a reflective thin film. The resultant thin-film optical initiator system also comprises a fiber-optic cable connected to a low-energy laser source, an output charge, and an initiator housing. The reactive thin film, which may contain very thin embedded layers or be a co-deposit of a light-absorbing material such as carbon, absorbs the incident laser light, is volumetrically heated, and explodes against the output charge, imparting about 5 to 20 times more energy than in the incident laser pulse.

  17. Calculation of optical band gaps of a-Si:H thin films by ellipsometry and UV-Vis spectrophotometry

    NASA Astrophysics Data System (ADS)

    Qiu, Yijiao; Li, Wei; Wu, Maoyang; Fu, Junwei; Jiang, Yadong

    2010-10-01

    Hydrogenated amorphous silicon (a-Si:H) thin films doped with Phosphorus (P) and Nitrogen (N) were deposited by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD). The optical band gaps of the thin films obtained through either changing the gas pressure (P-doped only) or adulterating nitrogen concentration (with fixed P content) were investigated by means of Ellipsometric and Ultraviolet-Visible (UV-Vis) spectroscopy, respectively. Tauc formula was used in calculating the optical band gaps of the thin films in both methods. The results show that Ellipsometry and UV-Vis spectrophotometry can be applied in the research of the optical properties of a-Si:H thin films experimentally. Both methods reflect the variation law of the optical band gaps caused by CVD process parameters, i.e., the optical band gap of the a-Si:H thin films is increased with the rise of the gas pressure or the nitrogen concentration respectively. The difference in optical band gaps of the doped a-Si:H thin films calculated by Ellipsometry or UV-Vis spectrophotometry are not so great that they both can be used to measure the optical band gaps of the thin films in practical applications.

  18. TiOx thin films grown on Pd(100) and Pd(111) by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Farstad, M. H.; Ragazzon, D.; Grönbeck, H.; Strømsheim, M. D.; Stavrakas, C.; Gustafson, J.; Sandell, A.; Borg, A.

    2016-07-01

    The growth of ultrathin TiOx (0≤x≤2) films on Pd(100) and Pd(111) surfaces by chemical vapor deposition (CVD), using Titanium(IV)isopropoxide (TTIP) as precursor, has been investigated by high resolution photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Three different TiOx phases and one Pd-Ti alloy phase have been identified for both surfaces. The Pd-Ti alloy phase is observed at the initial stages of film growth. Density functional theory (DFT) calculations for Pd(100) and Pd(111) suggest that Ti is alloyed into the second layer of the substrate. Increasing the TTIP dose yields a wetting layer comprising Ti2 + species (TiOx, x ∼0.75). On Pd(100), this phase exhibits a mixture of structures with (3 × 5) and (4 × 5) periodicity with respect to the Pd(100) substrate, while an incommensurate structure is formed on Pd(111). Most importantly, on both surfaces this phase consists of a zigzag pattern similar to observations on other reactive metal surfaces. Further increase in coverage results in growth of a fully oxidized (TiO2) phase on top of the partially oxidized layer. Preliminary investigations indicate that the fully oxidized phase on both Pd(100) and Pd(111) may be the TiO2(B) phase.

  19. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  20. Synthesis and Characterization of Molybdenum Doped ZnO Thin Films by SILAR Deposition Method

    NASA Astrophysics Data System (ADS)

    Radha, R.; Sakthivelu, A.; Pradhabhan, D.

    2016-08-01

    Molybdenum (Mo) doped zinc oxide (ZnO) thin films were deposited on the glass substrate by Successive Ionic Layer Adsorption and Reaction (SILAR) deposition method. The effect of Mo dopant concentration of 5, 6.6 and 10 mol% on the structural, morphological, optical and electrical properties of n-type Mo doped ZnO films was studied. The X-ray diffraction (XRD) results confirmed that the Mo doped ZnO thin films were polycrystalline with wurtzite structure. The field emission scanning electron microscopy (FESEM) studies shows that the surface morphology of the films changes with Mo doping. A blue shift of the optical band gap was observed in the optical studies. Effect of Mo dopant concentration on electrical conductivity was studied and it shows comparatively high electrical conductivity at 10 mol% of Mo doping concentration.

  1. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    PubMed Central

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  2. Suppression of copper thin film loss during graphene synthesis.

    PubMed

    Lee, Alvin L; Tao, Li; Akinwande, Deji

    2015-01-28

    Thin metal films can be used to catalyze the growth of nanomaterials in place of the bulk metal, while greatly reducing the amount of material used. A big drawback of copper thin films (0.5-1.5 μm thick) is that, under high temperature/vacuum synthesis, the mass loss of films severely reduces the process time due to discontinuities in the metal film, thereby limiting the time scale for controlling metal grain and film growth. In this work, we have developed a facile method, namely "covered growth" to extend the time copper thin films can be exposed to high temperature/vacuum environment for graphene synthesis. The key to preventing severe mass loss of copper film during the high temperature chemical vapor deposition (CVD) process is to have a cover piece on top of the growth substrate. This new "covered growth" method enables the high-temperature annealing of the copper film upward of 4 h with minimal mass loss, while increasing copper film grain and graphene domain size. Graphene was then successfully grown on the capped copper film with subsequent transfer for device fabrication. Device characterization indicated equivalent physical, chemical, and electrical properties to conventional CVD graphene. Our "covered growth" provides a convenient and effective solution to the mass loss issue of thin films that serve as catalysts for a variety of 2D material syntheses.

  3. Scaling behavior of columnar structure during physical vapor deposition

    NASA Astrophysics Data System (ADS)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  4. Water Vapor Permeation of Metal Oxide/Polymer Coated Plastic Films

    NASA Astrophysics Data System (ADS)

    Numata, Yukihiro; Oya, Toshiyuki; Kuwahara, Mitsuru; Ito, Katsuya

    Barrier performance to water vapor permeation of ceramic coated layers deposited on flexible polymer films is of great interest to food packaging, medical device packaging and flat panel display industries. In this study, a new type film in which a ceramic layer is deposited on a polymer coated film was proposed for lower water vapor permeation. It is important how to control interfacial properties between each layer and film for good barrier performance. Several kinds of polymer coated materials were prepared for changing surface free energy of the films before and after depositing the ceramic layer. The ceramic layer, which is composed of mixed material of SiO2 and Al2O3, was adopted under the same conditions. The following results were obtained; 1) Water vapor permeation is not related to the surface energy of polymer coated films, 2) After depositing the ceramic layer, however, a strong correlation is observed between the water vapor permeation and surface free energy. 3) The phenomenon is considered that the polarity of the polymer layers plays a key role in changing the structure of ceramic coated layers.

  5. PVD Silicon Carbide as a Thin Film Packaging Technology for Antennas on LCP Substrates for Harsh Environments

    NASA Technical Reports Server (NTRS)

    Scardelletti, Maximilian C.; Stanton, John W.; Ponchak, George E.; Jordan, Jennifer L.; Zorman, Christian A.

    2010-01-01

    This paper describes an effort to develop a thin film packaging technology for microfabricated planar antennas on polymeric substrates based on silicon carbide (SiC) films deposited by physical vapor deposition (PVD). The antennas are coplanar waveguide fed dual frequency folded slot antennas fabricated on liquid crystal polymer (LCP) substrates. The PVD SiC thin films were deposited directly onto the antennas by RF sputtering at room temperature at a chamber pressure of 30 mTorr and a power level of 300 W. The SiC film thickness is 450 nm. The return loss and radiation patterns were measured before and after the SiC-coated antennas were submerged into perchloric acid for 1 hour. No degradation in RF performance or physical integrity of the antenna was observed.

  6. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S.

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3},more » while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.« less

  7. Raman studied of undoped amorphous carbon thin film deposited by bias assisted-CVD

    NASA Astrophysics Data System (ADS)

    Ishak, A.; Fadzilah, A. N.; Dayana, K.; Saurdi, I.; Malek, M. F.; Nurbaya, Z.; Shafura, A. K.; Rusop, M.

    2018-05-01

    The undoped amorphous carbon thin film carbon was deposited at 200°C-350°C by bias assisted-CVD using palm oil as a precursor material. The effect of different substrate deposition temperatures on structural and electrical properties of undoped doped amorphous carbon film was discussed. The structural of undoped amorphous carbon films were correlated with Raman analysis through the evolution of D and G bands, Fourier spectra, and conductivity measurement. The spectral evolution observed showed the increase of upward shift of D and G peaks as substrate deposition temperatures increased. The spectral evolution observed at different substrate deposition temperatures show progressive formation of crystallites. It was predicted that small number of hydrogen is terminated with carbon at surface of thin film as shown by FTIR spectra since palm oil has high number of hydrogen (C67H127O8). These structural changes were further correlated with conductivity and the results obtained are discussed and compared. The conductivity is found in the range of 10-8 Scm-1. The increase of conductivity is correlated by the change of structural properties as correlated with characteristic parameters of Raman spectra including the position of G peak, full width at half maximum of G peak, and ID/IG and FTIR result.

  8. Multiple-layered effective medium approximation approach to modeling environmental effects on alumina passivated highly porous silicon nanostructured thin films measured by in-situ Mueller matrix ellipsometry

    NASA Astrophysics Data System (ADS)

    Mock, Alyssa; Carlson, Timothy; VanDerslice, Jeremy; Mohrmann, Joel; Woollam, John A.; Schubert, Eva; Schubert, Mathias

    2017-11-01

    Optical changes in alumina passivated highly porous silicon slanted columnar thin films during controlled exposure to toluene vapor are reported. Electron-beam evaporation glancing angle deposition and subsequent atomic layer deposition are utilized to deposit alumina passivated nanostructured porous silicon thin films. In-situ Mueller matrix generalized spectroscopic ellipsometry in an environmental cell is then used to determine changes in optical properties of the nanostructured thin films by inspection of individual Mueller matrix elements, each of which exhibit sensitivity to adsorption. The use of a multiple-layered effective medium approximation model allows for accurate description of the inhomogeneous nature of toluene adsorption onto alumina passivated highly porous silicon slanted columnar thin films.

  9. Thin Film CuInS2 Prepared by Spray Pyrolysis with Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael H.; Banger, Kulinder K.; Harris, Jerry D.; Cowen, Jonathan E.; Hepp, Aloysius F.; Lyons, Valerie (Technical Monitor)

    2002-01-01

    Both horizontal hot-wall and vertical cold-wall atmospheric chemical spray pyrolysis processes deposited near single-phase stoichiometric CuInS2 thin films. Single-source precursors developed for ternary chalcopyrite materials were used for this study, and a new liquid phase single-source precursor was tested with a vertical cold-wall reactor. The depositions were carried out under an argon atmosphere, and the substrate temperature was kept at 400 C. Columnar grain structure was obtained with vapor deposition, and the granular structure was obtained with (liquid) droplet deposition. Conductive films were deposited with planar electrical resistivities ranging from 1 to 30 Omega x cm.

  10. Thermoelectric properties of V2O5 thin films deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Santos, R.; Loureiro, J.; Nogueira, A.; Elangovan, E.; Pinto, J. V.; Veiga, J. P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I.

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V2O5) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V2O5 phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of -218 μV/K and electrical conductivity of 5.5 (Ω m)-1. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  11. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  12. Sensitivity enhancement of OD- and OD-CNT-based humidity sensors by high gravity thin film deposition technique

    NASA Astrophysics Data System (ADS)

    Karimov, Kh. S.; Fatima, Noshin; Sulaiman, Khaulah; Mahroof Tahir, M.; Ahmad, Zubair; Mateen, A.

    2015-03-01

    The humidity sensing properties of the thin films of an organic semiconductor material orange dye (OD) and its composite with CNTs deposited at high gravity conditions have been reported. Impedance, phase angle, capacitance and dissipation of the samples were measured at 1 kHz and room temperature conditions. The impedance decreases and capacitance increases with an increase in the humidity level. It was found that the sensitivity of the OD-based thin film samples deposited at high gravity condition is higher than the samples deposited at low gravity condition. The impedances and capacitance sensitivities of the of the samples deposited under high gravity condition are 6.1 times and 1.6 times higher than the films deposited under low gravity condition.

  13. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, R.; Nath, P.

    1982-06-22

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation is disclosed. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment. 1 fig.

  14. The properties of plasma-enhanced atomic layer deposition (ALD) ZnO thin films and comparison with thermal ALD

    NASA Astrophysics Data System (ADS)

    Kim, Doyoung; Kang, Hyemin; Kim, Jae-Min; Kim, Hyungjun

    2011-02-01

    Zinc oxide (ZnO) thin films were prepared by plasma-enhanced atomic layer deposition (PE-ALD) using oxygen plasma as a reactant and the properties were compared with those of thermal atomic layer deposition (TH-ALD) ZnO thin films. While hexagonal wurzite phase with preferential (0 0 2) orientation was obtained for both cases, significant differences were observed in various aspects of film properties including resistivity values between these two techniques. Photoluminescence (PL) measurements have shown that high resistivity of PE-ALD ZnO thin films is due to the oxygen interstitials at low growth temperature of 200 °C, whose amount decreases with increasing growth temperature. Thin film transistors (TFT) using TH- and PE-ALD ZnO as an active layer were also fabricated and the device properties were evaluated comparatively.

  15. Hot-filament chemical vapor deposition chamber and process with multiple gas inlets

    DOEpatents

    Deng, Xunming; Povolny, Henry S.

    2004-06-29

    A thin film deposition method uses a vacuum confinement cup that employs a dense hot filament and multiple gas inlets. At least one reactant gas is introduced into the confinement cup both near and spaced apart from the heated filament. An electrode inside the confinement cup is used to generate plasma for film deposition. The method is used to deposit advanced thin films (such as silicon based thin films) at a high quality and at a high deposition rate.

  16. Atomic Layer-Deposited Titanium-Doped Vanadium Oxide Thin Films and Their Thermistor Applications

    DOE PAGES

    Wang, Shuyu; Yu, Shifeng; Lu, Ming; ...

    2016-11-30

    In this paper, we report the enhancement in the temperature coefficient of resistance (TCR) of atomic layer-deposited vanadium oxide thin films through the doping of titanium oxide. The Hall effect measurement provides a potential explanation for the phenomenon. The composition and morphology of the thin films are investigated by x-ray diffraction and scanning electron microscopy techniques. The high TCR, good uniformity, and low processing temperature of the material make it a good candidate for thermistor application.

  17. Metal-organic chemical vapour deposition of polycrystalline tetragonal indium sulphide (InS) thin films

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Cleaver, William M.; Barron, Andrew R.; Power, Michael B.; Hepp, Aloysius F.

    1992-01-01

    The dimeric indium thiolate /(t Bu)2In(mu-S sup t Bu)/2 has been used as a single-source precursor for the MOCVD of InS thin films. The dimeric In2S2 core is proposed to account for the formation of the nonequilibrium high-pressure tetragonal phase in the deposited films. Analysis of the deposited films has been obtained by TEM, with associated energy-dispersive X-ray analysis and X-ray photoelectron spectroscopy.

  18. Experimental approaches to well controlled studies of thin-film nucleation and growth.

    NASA Technical Reports Server (NTRS)

    Poppa, H.; Moorhead, R. D.; Heinemann, K.

    1972-01-01

    Particular features and the performance of two experimental systems are described for quantitative studies of thin-film nucleation and growth processes including epitaxial depositions. System I consists of a modified LEED-Auger instrument combined with high-resolution electron microscopy. System II is a UHV electron microscope adapted for in-situ deposition studies. The two systems complement each other ideally, and the combined use of both can result in a comprehensive investigation of vapor deposition processes not obtainable with any other known method.

  19. Growth of thin films of dicyanovinylanisole on quartz and teflon-coated quartz by physical vapor transport

    NASA Technical Reports Server (NTRS)

    Pearson, Earl F.

    1994-01-01

    Organic compounds offer the possibility of molecular engineering in order to optimize the nonlinearity and minimize damage due to the high-power lasers used in nonlinear optical devices. Recently dicyanovinylanisole (DIVA), ((2-methoxyphenyl) methylenepropanedinitrile) has been shown to have a second order nonlinearity 40 times that of alpha-quartz. Debe et. al. have shown that a high degree of orientational order exists for thin films of phthalocyanine grown by physical vapor transport in microgravity. The microgravity environment eliminates convective flow and was critical to the formation of highly ordered dense continuous films in these samples. This work seeks to discover the parameters necessary for the production of thin continuous films of high optical quality in Earth gravity. These parameters must be known before the experiment can be planned for growing DIVA in a microgravity environment. The microgravity grown films are expected to be denser and of better optical quality than the unit gravity films as was observed in the phthalocyanine films.

  20. Characterization of ethylcellulose and hydroxypropyl methylcellulose thin films deposited by matrix-assisted pulsed laser evaporation

    NASA Astrophysics Data System (ADS)

    Palla-Papavlu, A.; Rusen, L.; Dinca, V.; Filipescu, M.; Lippert, T.; Dinescu, M.

    2014-05-01

    In this study is reported the deposition of hydroxypropyl methylcellulose (HPMC) and ethylcellulose (EC) by matrix-assisted pulsed laser evaporation (MAPLE). Both HPMC and EC were deposited on silicon substrates using a Nd:YAG laser (266 nm, 5 ns laser pulse and 10 Hz repetition rate) and then characterized by atomic force microscopy and Fourier transform infrared spectroscopy. It was found that for laser fluences up to 450 mJ/cm2 the structure of the deposited HPMC and EC polymer in the thin film resembles to the bulk. Morphological investigations reveal island features on the surface of the EC thin films, and pores onto the HPMC polymer films. The obtained results indicate that MAPLE may be an alternative technique for the fabrication of new systems with desired drug release profile.

  1. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  2. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  3. Initial stages of growth and the influence of temperature during chemical vapor deposition of sp{sup 2}-BN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chubarov, Mikhail; Pedersen, Henrik; Högberg, Hans

    2015-11-15

    Knowledge of the structural evolution of thin films, starting by the initial stages of growth, is important to control the quality and properties of the film. The authors present a study on the initial stages of growth and the temperature influence on the structural evolution of sp{sup 2} hybridized boron nitride (BN) thin films during chemical vapor deposition (CVD) with triethyl boron and ammonia as precursors. Nucleation of hexagonal BN (h-BN) occurs at 1200 °C on α-Al{sub 2}O{sub 3} with an AlN buffer layer (AlN/α-Al{sub 2}O{sub 3}). At 1500 °C, h-BN grows with a layer-by-layer growth mode on AlN/α-Al{sub 2}O{sub 3} upmore » to ∼4 nm after which the film structure changes to rhombohedral BN (r-BN). Then, r-BN growth proceeds with a mixed layer-by-layer and island growth mode. h-BN does not grow on 6H-SiC substrates; instead, r-BN nucleates and grows directly with a mixed layer-by-layer and island growth mode. These differences may be caused by differences in substrate surface temperature due to different thermal conductivities of the substrate materials. These results add to the understanding of the growth process of sp{sup 2}-BN employing CVD.« less

  4. Stresses in chemical vapor deposited epitaxial 3C-SiC membranes

    NASA Astrophysics Data System (ADS)

    Su, C. M.; Fekade, A.; Spencer, M.; Wuttig, Manfred

    1995-02-01

    The internal stresses in chemical-vapor-deposited 3C-SiC films were studied by a vibrating membrane technique. The differential thermal expansivity of 3C-SiC films was investigated by the change of the internal stress as a function of temperature. It was found that the internal stress of the films is dominated by thermal stresses and its magnitude depends both on doping and the film thickness. While p doping substantially increases the stress, increasing the film thickness reduces the stress of the SiC layer. The thermal expansivity of the SiC layer shows a lower value which is significantly less than of bulk 3C-SiC and tends to approach the expansivity of the Si substrate. It is proposed that the stress dependence of the SiC films on doping and film thickness is the result of the film morphology which is heavily faulted for very thin films and more perfect as the film thickness increases.

  5. Optical Properties and Electrochemical Performance of LiFePO4 Thin Films Deposited on Transparent Current Collectors.

    PubMed

    Lee, HyunSeok; Yim, Haena; Kim, Kwang-Bum; Choi, Ji-Won

    2015-11-01

    LiFePO4 thin film cathodes are deposited on various transparent conducting oxide thin films on glass, which are used as cathode current collectors. The XRD patterns show that the thin films have the phase of LiFePO4 with an ordered olivine structure indexed to the orthorhombic Pmna space group. LiFePO4 thin film deposited on various TCO glass substrates exhibits transmittance of about 53%. The initial specific discharge capacities of LiFePO4 thin films are 25.0 μAh/cm2 x μm on FTO, 33.0 μAh/cm2 x μm on ITO, and 13.0 μAh/cm2 x μm on AZO coated glass substrates. Interestingly, the retention capacities of LiFePO4 thin films are 76.0% on FTO, 31.2% on ITO, and 37.7% on AZO coated glass substrates at 20th cycle. The initial specific discharge capacity of the LiFePO4/FTO electrode is slightly lower, but the discharge capacities of the LiFePO4/FTO electrode relatively decrease less than those of the others such as LiFePO4/ITO and LiFePO4/AZO with cycling. The results reported here provide the high transparency of LiFePO4 thin films cathode materials and the good candidate as FTO current collector of the LiFePO4 thin film cathode of transparent thin film rechargeable batteries due to its high transparency and cyclic retention.

  6. Properties of NiO thin films deposited by chemical spray pyrolysis using different precursor solutions

    NASA Astrophysics Data System (ADS)

    Cattin, L.; Reguig, B. A.; Khelil, A.; Morsli, M.; Benchouk, K.; Bernède, J. C.

    2008-07-01

    NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl 2·6H 2O), nickel nitrate hexahydrate (Ni(NO 3) 2·6H 2O), nickel hydroxide hexahydrate (Ni(OH) 2·6H 2O), nickel sulfate tetrahydrate (NiSO 4·4H 2O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 °C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl 2 and Ni(NO 3) 2 precursors. These films have been post-annealed at 425 °C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10 -2 Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.

  7. Photoluminescence of ZnS-SiO2:Ce Thin Films Deposited by Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Mizuno, Masao

    2011-12-01

    Photoluminescent emissions of zinc sulfide-silica-cerium thin films deposited by magnetron sputtering were observed. The films consisted of ZnS nanocrystals embedded in amorphous SiO2 matrices. ZnS-SiO2:Ce films exhibited photoluminescence even without postannealing. Their emission spectra showed broad patterns in the visible range; the emitted colors depended on film composition.

  8. Thermally evaporated conformal thin films on non-traditional/non-planar substrates

    NASA Astrophysics Data System (ADS)

    Pulsifer, Drew Patrick

    Conformal thin films have a wide variety of uses in the microelectronics, optics, and coatings industries. The ever-increasing capabilities of these conformal thin films have enabled tremendous technological advancement in the last half century. During this period, new thin-film deposition techniques have been developed and refined. While these techniques have remarkable performance for traditional applications which utilize planar substrates such as silicon wafers, they are not suitable for the conformal coating of non-traditional substrates such as biological material. The process of thermally evaporating a material under vacuum conditions is one of the oldest thin-film deposition techniques which is able to produce functional film morphologies. A drawback of thermally evaporated thin films is that they are not intrinsically conformal. To overcome this, while maintaining the advantages of thermal evaporation, a procedure for varying the substrates orientation with respect to the incident vapor flux during deposition was developed immediately prior to the research undertaken for this doctoral dissertation. This process was shown to greatly improve the conformality of thermally evaporated thin films. This development allows for several applications of thermally evaporated conformal thin films on non-planar/non-traditional substrates. Three settings in which to evaluate the improved conformal deposition of thermally evaporated thin films were investigated for this dissertation. In these settings the thin-film morphologies are of different types. In the first setting, a bioreplication approach was used to fabricate artificial visual decoys for the invasive species Agrilus planipennis, commonly known as the emerald ash borer (EAB). The mating behavior of this species involves an overflying EAB male pouncing on an EAB female at rest on an ash leaflet before copulation. The male spots the female on the leaflet by visually detecting the iridescent green color of the

  9. A stochastic model of solid state thin film deposition: Application to chalcopyrite growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lovelett, Robert J.; Pang, Xueqi; Roberts, Tyler M.

    Developing high fidelity quantitative models of solid state reaction systems can be challenging, especially in deposition systems where, in addition to the multiple competing processes occurring simultaneously, the solid interacts with its atmosphere. In this work, we develop a model for the growth of a thin solid film where species from the atmosphere adsorb, diffuse, and react with the film. The model is mesoscale and describes an entire film with thickness on the order of microns. Because it is stochastic, the model allows us to examine inhomogeneities and agglomerations that would be impossible to characterize with deterministic methods. We demonstratemore » the modeling approach with the example of chalcopyrite Cu(InGa)(SeS){sub 2} thin film growth via precursor reaction, which is a common industrial method for fabricating thin film photovoltaic modules. The model is used to understand how and why through-film variation in the composition of Cu(InGa)(SeS){sub 2} thin films arises and persists. We believe that the model will be valuable as an effective quantitative description of many other materials systems used in semiconductors, energy storage, and other fast-growing industries.« less

  10. A stochastic model of solid state thin film deposition: Application to chalcopyrite growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lovelett, Robert J.; Pang, Xueqi; Roberts, Tyler M.

    Developing high fidelity quantitative models of solid state reaction systems can be challenging, especially in deposition systems where, in addition to the multiple competing processes occurring simultaneously, the solid interacts with its atmosphere. Here, we develop a model for the growth of a thin solid film where species from the atmosphere adsorb, diffuse, and react with the film. The model is mesoscale and describes an entire film with thickness on the order of microns. Because it is stochastic, the model allows us to examine inhomogeneities and agglomerations that would be impossible to characterize with deterministic methods. We also demonstrate themore » modeling approach with the example of chalcopyrite Cu(InGa)(SeS) 2 thin film growth via precursor reaction, which is a common industrial method for fabricating thin film photovoltaic modules. The model is used to understand how and why through-film variation in the composition of Cu(InGa)(SeS) 2 thin films arises and persists. Finally, we believe that the model will be valuable as an effective quantitative description of many other materials systems used in semiconductors, energy storage, and other fast-growing industries.« less

  11. A stochastic model of solid state thin film deposition: Application to chalcopyrite growth

    DOE PAGES

    Lovelett, Robert J.; Pang, Xueqi; Roberts, Tyler M.; ...

    2016-04-01

    Developing high fidelity quantitative models of solid state reaction systems can be challenging, especially in deposition systems where, in addition to the multiple competing processes occurring simultaneously, the solid interacts with its atmosphere. Here, we develop a model for the growth of a thin solid film where species from the atmosphere adsorb, diffuse, and react with the film. The model is mesoscale and describes an entire film with thickness on the order of microns. Because it is stochastic, the model allows us to examine inhomogeneities and agglomerations that would be impossible to characterize with deterministic methods. We also demonstrate themore » modeling approach with the example of chalcopyrite Cu(InGa)(SeS) 2 thin film growth via precursor reaction, which is a common industrial method for fabricating thin film photovoltaic modules. The model is used to understand how and why through-film variation in the composition of Cu(InGa)(SeS) 2 thin films arises and persists. Finally, we believe that the model will be valuable as an effective quantitative description of many other materials systems used in semiconductors, energy storage, and other fast-growing industries.« less

  12. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  13. In Situ Preparation of Biomimetic Thin Films and Their Surface-Shielding Effect for Organisms in High Vacuum

    PubMed Central

    Muranaka, Yoshinori; Shimomura, Masatsugu; Hariyama, Takahiko

    2013-01-01

    Self-standing biocompatible films have yet to be prepared by physical or chemical vapor deposition assisted by plasma polymerization because gaseous monomers have thus far been used to create only polymer membranes. Using a nongaseous monomer, we previously found a simple fabrication method for a free-standing thin film prepared from solution by plasma polymerization, and a nano-suit made by polyoxyethylene (20) sorbitan monolaurate can render multicellular organisms highly tolerant to high vacuum. Here we report thin films prepared by plasma polymerization from various monomer solutions. The films had a flat surface at the irradiated site and were similar to films produced by vapor deposition of gaseous monomers. However, they also exhibited unique characteristics, such as a pinhole-free surface, transparency, solvent stability, flexibility, and a unique out-of-plane molecular density gradient from the irradiated to the unirradiated surface of the film. Additionally, covering mosquito larvae with the films protected the shape of the organism and kept them alive under the high vacuum conditions in a field emission-scanning electron microscope. Our method will be useful for numerous applications, particularly in the biological sciences. PMID:24236023

  14. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    NASA Astrophysics Data System (ADS)

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices.

  15. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1984-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  16. Tribological characteristics of gold films deposited on metals by ion plating and vapor deposition

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Spalvins, T.; Buckley, D. H.

    1986-01-01

    The graded interface between an ion-plated film and a substrate is discussed as well as the friction and wear properties of ion-plated gold. X-ray photoelectron spectroscopy (XPS) depth profiling and microhardness depth profiling were used to investigate the interface. The friction and wear properties of ion-plated and vapor-deposited gold films were studied both in an ultra high vacuum system to maximize adhesion and in oil to minimize adhesion. The results indicate that the solubility of gold on the substrate material controls the depth of the graded interface. Thermal diffusion and chemical diffusion mechanisms are thought to be involved in the formation of the gold-nickel interface. In iron-gold graded interfaces the gold was primarily dispersed in the iron and thus formed a physically bonded interface. The hardness of the gold film was influenced by its depth and was also related to the composition gradient between the gold and the substrate. The graded nickel-gold interface exhibited the highest hardness because of an alloy hardening effect. The effects of film thickness on adhesion and friction were established.

  17. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  18. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Quan, E-mail: wangq@mail.ujs.edu.cn; State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000; Zhang, Yanmin

    2013-11-14

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructuremore » after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases.« less

  19. Vapor phase synthesis of compound semiconductors, from thin films to nanoparticles

    NASA Astrophysics Data System (ADS)

    Sarigiannis, Demetrius

    A counterflow jet reactor was developed to study the gas-phase decomposition kinetics of organometallics used in the vapor phase synthesis of compound semiconductors. The reactor minimized wall effects by generating a reaction zone near the stagnation point of two vertically opposed counterflowing jets. Smoke tracing experiments were used to confirm the stability of the flow field and validate the proposed heat, mass and flow models of the counterflow jet reactor. Transport experiments using ethyl acetate confirmed the overall mass balance for the system and verified the ability of the model to predict concentrations at various points in the reactor under different flow conditions. Preliminary kinetic experiments were performed with ethyl acetate and indicated a need to redesign the reactor. The counterflow jet reactor was adapted for the synthesis of ZnSe nanoparticles. Hydrogen selenide was introduced through one jet and dimethylzinc-triethylamine through the other. The two precursors reacted in a region near the stagnation zone and polycrystalline particles of zinc selenide were reproducibly synthesized at room temperature and collected for analysis. Raman spectroscopy confirmed that the particles were crystalline zinc selenide, Morphological analysis using SEM clearly showed the presence of aggregates of particles, 40 to 60 nanometers in diameter. Analysis by TEM showed that the particles were polycrystalline in nature and composed of smaller single crystalline nanocrystallites, five to ten nanometers in diameter. The particles in the aggregate had the appearance of being sintered together. To prevent this sintering, a split inlet lower jet was designed to introduce dimethylzinc through the inner tube and a surface passivator through the outer one. This passivating agent appeared to prevent the particles from agglomerating. An existing MOVPE reactor for II-VI thin film growth was modified to grow III-V semiconductors. A novel new heater was designed and built

  20. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    NASA Astrophysics Data System (ADS)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of