Sample records for vapor-phase epitaxial growth

  1. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  2. A Kinetic Model for GaAs Growth by Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Simon, John; Jain, Nikhil

    2016-11-21

    Precise control of the growth of III-V materials by hydride vapor phase epitaxy (HVPE) is complicated by the fact that the growth rate depends on the concentrations of nearly all inputs to the reactor and also the reaction temperature. This behavior is in contrast to metalorganic vapor phase epitaxy (MOVPE), which in common practice operates in a mass transport limited regime where growth rate and alloy composition are controlled almost exclusively by flow of the Group III precursor. In HVPE, the growth rate and alloy compositions are very sensitive to temperature and reactant concentrations, which are strong functions of themore » reactor geometry. HVPE growth, particularly the growth of large area materials and devices, will benefit from the development of a growth model that can eventually be coupled with a computational fluid dynamics (CFD) model of a specific reactor geometry. In this work, we develop a growth rate law using a Langmuir-Hinshelwood (L-H) analysis, fitting unknown parameters to growth rate data from the literature that captures the relevant kinetic and thermodynamic phenomena of the HVPE process. We compare the L-H rate law to growth rate data from our custom HVPE reactor, and develop quantitative insight into reactor performance, demonstrating the utility of the growth model.« less

  3. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  4. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  5. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  6. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  7. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  8. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  9. Thermodynamic considerations of the vapor phase reactions in III-nitride metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2017-04-01

    We analyzed the metal organic vapor phase epitaxial growth mechanism of the III-nitride semiconductors GaN, AlN, and InN by first-principles calculations and thermodynamic analyses. In these analyses, we investigated the decomposition processes of the group III source gases X(CH3)3 (X = Ga, Al, In) at finite temperatures and determined whether the (CH3)2GaNH2 adduct can be formed or not. The results of our calculations show that the (CH3)2GaNH2 adduct cannot be formed in the gas phase in GaN metal organic vapor phase epitaxy (MOVPE), whereas, in AlN MOVPE, the formation of the (CH3)2AlNH2 adduct in the gas phase is exclusive. In the case of GaN MOVPE, trimethylgallium (TMG, [Ga(CH3)3]) decomposition into Ga gas on the growth surface with the assistance of H2 carrier gas, instead of the formation of the (CH3)2GaNH2 adduct, occurs almost exclusively. Moreover, in the case of InN MOVPE, the formation of the (CH3)2InNH2 adduct does not occur and it is relatively easy to produce In gas even without H2 in the carrier gas.

  10. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  11. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Metal-organic vapor phase epitaxy of (GaAl)As for 0.85-μm laser diodes

    NASA Astrophysics Data System (ADS)

    Jacobs, K.; Bugge, F.; Butzke, G.; Lehmann, L.; Schimko, R.

    1988-11-01

    Metal-organic vapor phase epitaxy was used to grow stripe heterolaser diodes that were hitherto fabricated by liquid phase epitaxy. The main relationships between the growth parameters (partial input pressures, temperatures) and the properties of materials (thicknesses, solid-solution compositions, carrier densities) were investigated. The results were in full agreement with the mechanism of growth controlled by a vapor-phase diffusion. The results achieved routinely in the growth of GaAs are reported. It is shown that double heterostructure laser diodes fabricated by metal-organic vapor phase epitaxy compete favorably with those grown so far by liquid phase epitaxy, including their degradation and reliability.

  12. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    DTIC Science & Technology

    2016-09-15

    controlled synthesis of single-wall carbon nanotubes. Firstly, we have successfully demonstrated a vapor-phase-epitaxy-analogous general strategy for...preselected chirality. Moreover, we carried out systematic investigations of the chirality-dependent growth kinetics and termination mechanism for the... generally believed that the diameters of the nanotubes are determined by the size of the catalytic metal particles. Unfortunately, attempts to control

  13. Metalorganic vapor phase epitaxial growth of red and infrared vertical-cavity surface-emitting laser diodes

    NASA Astrophysics Data System (ADS)

    Schneider, R. P.; Lott, J. A.; Lear, K. L.; Choquette, K. D.; Crawford, M. H.; Kilcoyne, S. P.; Figiel, J. J.

    1994-12-01

    Metalorganic vapor phase epitaxy (MOVPE) is used for the growth of vertical-cavity surface-emitting laser (VCSEL) diodes. MOVPE exhibits a number of important advantages over the more commonly-used molecular-beam epitaxial (MBE) techniques, including ease of continuous compositional grading and carbon doping for low-resistance p-type distributed Bragg reflectors (DBRs), higher growth rates for rapid throughput and greater versatility in choice of materials and dopants. Planar gain-guided red VCSELs based on AlGaInP/AlGaAs heterostructures lase continuous-wave at room temperature, with voltage thresholds between 2.5 and 3 V and maximum power outputs of over 0.3 mW. Top-emitting infra-red (IR) VCSELs exhibit the highest power-conversion (wall-plug) efficiencies (21%), lowest threshold voltage (1.47 V), and highest single mode power (4.4 mW from an 8 μm device) yet reported. These results establish MOVPE as a preferred growth technique for this important new family of photonic devices.

  14. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Technical Reports Server (NTRS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-01-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  15. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Astrophysics Data System (ADS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-02-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  16. Metalorganic Vapor-Phase Epitaxy Growth Parameters for Two-Dimensional MoS2

    NASA Astrophysics Data System (ADS)

    Marx, M.; Grundmann, A.; Lin, Y.-R.; Andrzejewski, D.; Kümmell, T.; Bacher, G.; Heuken, M.; Kalisch, H.; Vescan, A.

    2018-02-01

    The influence of the main growth parameters on the growth mechanism and film formation processes during metalorganic vapor-phase epitaxy (MOVPE) of two-dimensional MoS2 on sapphire (0001) have been investigated. Deposition was performed using molybdenum hexacarbonyl and di- tert-butyl sulfide as metalorganic precursors in a horizontal hot-wall MOVPE reactor from AIXTRON. The structural properties of the MoS2 films were analyzed by atomic force microscopy, scanning electron microscopy, and Raman spectroscopy. It was found that a substrate prebake step prior to growth reduced the nucleation density of the polycrystalline film. Simultaneously, the size of the MoS2 domains increased and the formation of parasitic carbonaceous film was suppressed. Additionally, the influence of growth parameters such as reactor pressure and surface temperature is discussed. An upper limit for these parameters was found, beyond which strong parasitic deposition or incorporation of carbon into MoS2 took place. This carbon contamination became significant at reactor pressure above 100 hPa and temperature above 900°C.

  17. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  18. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  19. Direct Growth of CdTe on a (211) Si Substrate with Vapor Phase Epitaxy Using a Metallic Cd Source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Gokudan, Yuya; Shiraishi, Masumi; Murakami, Hisashi; Koukitu, Akinori

    2017-10-01

    We successfully performed epitaxial CdTe growth on a Si (211) substrate with vapor-phase epitaxy using a cost-effective metallic cadmium source as a group-II precursor. The thermodynamic data demonstrate that the combination of metallic Cd and diisopropyl-telluride (DiPTe) with a H2 carrier gas enables the growth of CdTe crystals. A CdTe single crystal with a (422) surface orientation was obtained when a growth temperature between 600°C and 650°C was employed. The surface morphology and crystalline quality were improved with increasing film thickness. The full-width at half-maximum of the x-ray rocking curves with a film thickness of 15.7 μm for the skew-symmetrical (422) and asymmetrical (111) reflection were 528 arcsec and 615 arcsec, respectively.

  20. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  1. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  2. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  3. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  4. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  5. Use of column V alkyls in organometallic vapor phase epitaxy (OMVPE)

    NASA Technical Reports Server (NTRS)

    Ludowise, M. J.; Cooper, C. B., III

    1982-01-01

    The use of the column V-trialkyls trimethylarsenic (TMAs) and trimethylantimony (TMSb) for the organometallic vapor phase epitaxy (OM-VPE) of III-V compound semiconductors is reviewed. A general discussion of the interaction chemistry of common Group III and Group V reactants is presented. The practical application of TMSb and TMAs for OM-VPE is demonstrated using the growth of GaSb, GaAs(1-y)Sb(y), Al(x)Ga(1-x)Sb, and Ga(1-x)In(x)As as examples.

  6. Environmentally friendly method to grow wide-bandgap semiconductor aluminum nitride crystals: Elementary source vapor phase epitaxy

    PubMed Central

    Wu, PeiTsen; Funato, Mitsuru; Kawakami, Yoichi

    2015-01-01

    Aluminum nitride (AlN) has attracted increasing interest as an optoelectronic material in the deep ultraviolet spectral range due to its wide bandgap of 6.0 eV (207 nm wavelength) at room temperature. Because AlN bulk single crystals are ideal device substrates for such applications, the crystal growth of bulky AlN has been extensively studied. Two growth methods seem especially promising: hydride vapor phase epitaxy (HVPE) and sublimation. However, the former requires hazardous gases such as hydrochloric acid and ammonia, while the latter needs extremely high growth temperatures around 2000 °C. Herein we propose a novel vapor-phase-epitaxy-based growth method for AlN that does not use toxic materials; the source precursors are elementary aluminum and nitrogen gas. To prepare our AlN, we constructed a new growth apparatus, which realizes growth of AlN single crystals at a rate of ~18 μm/h at 1550 °C using argon as the source transfer via the simple reaction Al + 1/2N2 → AlN. This growth rate is comparable to that by HVPE, and the growth temperature is much lower than that in sublimation. Thus, this study opens up a novel route to achieve environmentally friendly growth of AlN. PMID:26616203

  7. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  8. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  9. Hydride vapor phase epitaxy of AlN using a high temperature hot-wall reactor

    NASA Astrophysics Data System (ADS)

    Baker, Troy; Mayo, Ashley; Veisi, Zeinab; Lu, Peng; Schmitt, Jason

    2014-10-01

    Aluminum nitride (AlN) was grown on c-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). The experiments utilized a two zone inductively heated hot-wall reactor. The surface morphology, crystal quality, and growth rate were investigated as a function of growth temperature in the range of 1450-1575 °C. AlN templates grown to a thickness of 1 μm were optimized with double axis X-ray diffraction (XRD) rocking curve full width half maximums (FWHMs) of 135″ for the (002) and 513″ for the (102).

  10. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  11. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE PAGES

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.; ...

    2017-10-18

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  12. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Braun, Anna; Simon, John

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  13. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE PAGES

    Schulte, Kevin L.; Braun, Anna; Simon, John; ...

    2018-01-22

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  14. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  15. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alberi, Kirstin; Scarpulla, Michael A.

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  16. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE PAGES

    Alberi, Kirstin; Scarpulla, Michael A.

    2017-11-22

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  17. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. Itmore » is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.« less

  18. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  19. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  20. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  1. Phase degradation in BxGa1-xN films grown at low temperature by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; Allerman, Andrew A.; Lee, Stephen R.

    2017-04-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of BxGa1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750-900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to 7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stacking faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at 362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. Only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.

  2. Defect structure of high temperature hydride vapor phase epitaxy-grown epitaxial (0 0 0 1) AlN/sapphire using growth mode modification process

    NASA Astrophysics Data System (ADS)

    Su, Xujun; Zhang, Jicai; Huang, Jun; Zhang, Jinping; Wang, Jianfeng; Xu, Ke

    2017-06-01

    Defect structures were investigated by transmission electron microscopy for AlN/sapphire (0 0 0 1) epilayers grown by high temperature hydride vapor phase epitaxy using a growth mode modification process. The defect structures, including threading dislocations, inversion domains, and voids, were analyzed by diffraction contrast, high-resolution imaging, and convergent beam diffraction. AlN film growth was initiated at 1450 °C with high V/III ratio for 8 min. This was followed by low V/III ratio growth for 12 min. The near-interfacial region shows a high density of threading dislocations and inversion domains. Most of these dislocations have Burgers vector b = 1/3〈1 1 2 0〉 and were reduced with the formation of dislocation loops. In the middle range 400 nm < h < 2 μm, dislocations gradually aggregated and reduced to ∼109 cm-2. The inversion domains have a shuttle-like shape with staggered boundaries that deviate by ∼ ±5° from the c axis. Above 2 μm thickness, the film consists of isolated threading dislocations with a total density of 8 × 108 cm-2. Most of threading dislocations are either pure edge or mixed dislocations. The threading dislocation reduction in these films is associated with dislocation loops formation and dislocation aggregation-interaction during island growth with high V/III ratio.

  3. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  4. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  5. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  6. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  7. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE PAGES

    Perret, Edith; Xu, Dongwei; Highland, M. J.; ...

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  8. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  9. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  10. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growthmore » rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  11. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  12. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  13. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  14. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  15. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE PAGES

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; ...

    2016-11-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  16. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  17. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  18. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  19. Real-time x-ray studies of crystal growth modes during metal-organic vapor phase epitaxy of GaN on c- and m-plane single crystals

    DOE PAGES

    Perret, Edith; Highland, M. J.; Stephenson, G. B.; ...

    2014-08-04

    Non-polar orientations of III-nitride semiconductors have attracted significant interest due to their potential application in optoelectronic devices with enhanced efficiency. Using in-situ surface x-ray scattering during metal-organic vapor phase epitaxy (MOVPE) of GaN on non-polar (m-plane) and polar (c-plane) orientations of single crystal substrates, we have observed the homoepitaxial growth modes as a function of temperature and growth rate. On the m-plane surface we observe all three growth modes (step-flow, layer-by-layer, and three-dimensional) as conditions are varied. In contrast, the +c-plane surface exhibits a direct cross over between step-flow and 3-D growth, with no layer-by-layer regime. The apparent activation energymore » of 2.8 ± 0.2 eV observed for the growth rate at the layer-by-layer to step-flow boundary on the m-plane surface is consistent with those observed for MOVPE growth of other III-V compounds, indicating a large critical nucleus size for islands.« less

  20. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    DOE PAGES

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; ...

    2017-03-21

    Here, we describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and filmmore » structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.« less

  1. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides.

    PubMed

    Ju, Guangxu; Highland, Matthew J; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A; Zhou, Hua; Brennan, Sean M; Stephenson, G Brian; Fuoss, Paul H

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  2. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    NASA Astrophysics Data System (ADS)

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A.; Zhou, Hua; Brennan, Sean M.; Stephenson, G. Brian; Fuoss, Paul H.

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  3. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  4. Preparation of 2-in.-diameter (001) β-Ga2O3 homoepitaxial wafers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Sasaki, Kohei; Goto, Ken; Konishi, Keita; Murakami, Hisashi; Kuramata, Akito; Kumagai, Yoshinao; Yamakoshi, Shigenobu

    2017-11-01

    The homoepitaxial growth of thick β-Ga2O3 layers on 2-in.-diameter (001) wafers was demonstrated by halide vapor phase epitaxy. Growth rates of 3 to 4 µm/h were confirmed for growing intentionally Si-doped n-type layers. A homoepitaxial layer with an average thickness and carrier concentration of 10.9 µm and 2.7 × 1016 cm-3 showed standard deviations of 1.8 µm (16.5%) and 0.5 × 1016 cm-3 (19.7%), respectively. Ni Schottky barrier diodes fabricated directly on a 5.3-µm-thick homoepitaxial layer with a carrier concentration of 3.4 × 1016 cm-3 showed reasonable reverse and forward characteristics, i.e., breakdown voltages above 200 V and on-resistances of 3.8-7.7 mΩ cm2 at room temperature.

  5. Comparison of O2 and H2O as oxygen source for homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Konishi, Keita; Goto, Ken; Togashi, Rie; Murakami, Hisashi; Higashiwaki, Masataka; Kuramata, Akito; Yamakoshi, Shigenobu; Monemar, Bo; Kumagai, Yoshinao

    2018-06-01

    Homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy (HVPE) using O2 or H2O as an oxygen source was investigated by thermodynamic analysis, and compared with measured properties after growth. The thermodynamic analysis revealed that Ga2O3 growth is expected even at 1000 °C using both oxygen sources due to positive driving forces for Ga2O3 deposition. The experimental results for homoepitaxial growth on (0 0 1) β-Ga2O3 substrates showed that the surfaces of the layers grown with H2O were smoother than those grown with O2, although the growth rate with H2O was approximately half that with O2. However, in the homoepitaxial layer grown using H2O, incorporation of Si impurities with a concentration almost equal to the effective donor concentration (2 × 1016 cm-3) was confirmed, which was caused by decomposition of the quartz glass reactor due to the presence of hydrogen in the system.

  6. Morphological, compositional, and geometrical transients of V-groove quantum wires formed during metalorganic vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Dimastrodonato, Valeria; Pelucchi, Emanuele; Zestanakis, Panagiotis A.; Vvedensky, Dimitri D.

    2013-07-01

    We present a theoretical model of the formation of self-limited (Al)GaAs quantum wires within V-grooves on GaAs(001) substrates during metalorganic vapor-phase epitaxy. We identify the facet-dependent rates of the kinetic processes responsible for the formation of the self-limiting profile, which is accompanied by Ga segregation along the axis perpendicular to the bottom of the original template, and analyze their interplay with the facet geometry in the transient regime. A reduced model is adopted for the evolution of the patterned profile, as determined by the angle between the different crystallographic planes as a function of the growth conditions. Our results provide a comprehensive phenomenological understanding of the self-ordering mechanism on patterned surfaces which can be harnessed for designing the quantum optical properties of low-dimensional systems.

  7. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  8. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  9. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  10. Epitaxial growth of hybrid nanostructures

    NASA Astrophysics Data System (ADS)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  11. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  12. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  13. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  14. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  15. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Demaurex, Bénédicte, E-mail: benedicte.demaurex@epfl.ch; Bartlome, Richard; Seif, Johannes P.

    2014-08-07

    Low-temperature (≤200 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-enhanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems not only frommore » the growth conditions but also from unintentional contamination of the reactor. Based on our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  16. Low-temperature plasma-deposited silicon epitaxial films: Growth and properties

    DOE PAGES

    Demaurex, Bénédicte; Bartlome, Richard; Seif, Johannes P.; ...

    2014-08-05

    Low-temperature (≤ 180 °C) epitaxial growth yields precise thickness, doping, and thermal-budget control, which enables advanced-design semiconductor devices. In this paper, we use plasma-ehanced chemical vapor deposition to grow homo-epitaxial layers and study the different growth modes on crystalline silicon substrates. In particular, we determine the conditions leading to epitaxial growth in light of a model that depends only on the silane concentration in the plasma and the mean free path length of surface adatoms. For such growth, we show that the presence of a persistent defective interface layer between the crystalline silicon substrate and the epitaxial layer stems notmore » only from the growth conditions but also from unintentional contamination of the reactor. As a result of our findings, we determine the plasma conditions to grow high-quality bulk epitaxial films and propose a two-step growth process to obtain device-grade material.« less

  17. Phase transformations during the growth of paracetamol crystals from the vapor phase

    NASA Astrophysics Data System (ADS)

    Belyaev, A. P.; Rubets, V. P.; Antipov, V. V.; Bordei, N. S.

    2014-07-01

    Phase transformations during the growth of paracetamol crystals from the vapor phase are studied by differential scanning calorimetry. It is found that the vapor-crystal phase transition is actually a superposition of two phase transitions: a first-order phase transition with variable density and a second-order phase transition with variable ordering. The latter, being a diffuse phase transition, results in the formation of a new, "pretransition," phase irreversibly spent in the course of the transition, which ends in the appearance of orthorhombic crystals. X-ray diffraction data and micrograph are presented.

  18. III-nitride nanopyramid light emitting diodes grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wildeson, Isaac H.; Colby, Robert; Ewoldt, David A.; Liang, Zhiwen; Zakharov, Dmitri N.; Zaluzec, Nestor J.; García, R. Edwin; Stach, Eric A.; Sands, Timothy D.

    2010-08-01

    Nanopyramid light emitting diodes (LEDs) have been synthesized by selective area organometallic vapor phase epitaxy. Self-organized porous anodic alumina is used to pattern the dielectric growth templates via reactive ion etching, eliminating the need for lithographic processes. (In,Ga)N quantum well growth occurs primarily on the six {11¯01} semipolar facets of each of the nanopyramids, while coherent (In,Ga)N quantum dots with heights of up to ˜20 nm are incorporated at the apex by controlling growth conditions. Transmission electron microscopy (TEM) indicates that the (In,Ga)N active regions of the nanopyramid heterostructures are completely dislocation-free. Temperature-dependent continuous-wave photoluminescence of nanopyramid heterostructures yields a peak emission wavelength of 617 nm and 605 nm at 300 K and 4 K, respectively. The peak emission energy varies with increasing temperature with a double S-shaped profile, which is attributed to either the presence of two types of InN-rich features within the nanopyramids or a contribution from the commonly observed yellow defect luminescence close to 300 K. TEM cross-sections reveal continuous planar defects in the (In,Ga)N quantum wells and GaN cladding layers grown at 650-780 °C, present in 38% of the nanopyramid heterostructures. Plan-view TEM of the planar defects confirms that these defects do not terminate within the nanopyramids. During the growth of p-GaN, the structure of the nanopyramid LEDs changed from pyramidal to a partially coalesced film as the thickness requirements for an undepleted p-GaN layer result in nanopyramid impingement. Continuous-wave electroluminescence of nanopyramid LEDs reveals a 45 nm redshift in comparison to a thin-film LED, suggesting higher InN incorporation in the nanopyramid LEDs. These results strongly encourage future investigations of III-nitride nanoheteroepitaxy as an approach for creating efficient long wavelength LEDs.

  19. Phase-field model of vapor-liquid-solid nanowire growth

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  20. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  1. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  2. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  3. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  4. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE PAGES

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.; ...

    2018-01-12

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  5. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  6. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  7. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  8. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  9. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  10. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  11. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  12. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  13. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  14. Hydride vapor phase epitaxy and characterization of high-quality ScN epilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oshima, Yuichi, E-mail: OSHIMA.Yuichi@nims.go.jp; Víllora, Encarnación G.; Shimamura, Kiyoshi

    2014-04-21

    The heteroepitaxial growth of ScN films was investigated on various substrates by hydride vapor phase epitaxy (HVPE). Single crystalline mirror-like ScN(100) and ScN(110) layers were successfully deposited on r- and m-plane sapphire substrates, respectively. Homogeneous stoichiometric films (N/Sc ratio 1.01 ± 0.10) up to 40 μm in thickness were deposited. Their mosaicity drastically improved with increasing the film thickness. The band gap was determined by optical methods to be 2.06 eV. Impurity concentrations including H, C, O, Si, and Cl were investigated through energy dispersive X-ray spectrometry and secondary ion mass spectrometry. As a result, it was found that the presence of impurities wasmore » efficiently suppressed in comparison with that of HVPE-grown ScN films reported in the past, which was possible thanks to the home-designed corrosion-free HVPE reactor. Room-temperature Hall measurements indicated that the residual free electron concentrations ranged between 10{sup 18}–10{sup 20} cm{sup −3}, which was markedly lower than the reported values. The carrier mobility increased monotonically with the decreasing in carrier concentration, achieving the largest value ever reported, 284 cm{sup 2} V{sup −1} s{sup −1} at n = 3.7 × 10{sup 18} cm{sup −3}.« less

  15. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  16. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  17. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  18. Development of GaInP Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Schulte, Kevin L.; Simon, John; Mangum, John; ...

    2017-04-30

    We demonstrate the growth of homojunction GaInP solar cells by dynamic hydride vapor phase epitaxy for the first time. Simple unpassivated n-on-p structures grown in an inverted configuration with gold back reflectors were analyzed. Short wavelength performance varied strongly with emitter thickness, since collection in the emitter was limited by the lack of surface passivation. Collection in the base increased strongly with decreasing doping density, in the range 1 x 10 16 - 5 x 10 17 cm -3. Optical modeling indicated that, in our best device, doped ~1 x 10 16 cm -3, almost 94% of photons that passedmore » through the emitter were collected. Modeling also indicated that the majority of collection occurs in the depletion region with this design, suggesting that nonradiative recombination there might limit device performance. In agreement with this observation, the experimental dark J-V curve exhibited an ideality factor near n = 2. Thus, limitation of deep level carrier traps in the material is a path to improved performance. Preliminary experiments indicate that a reduced V/III ratio, which potentially affects the density of these presumed traps, improves cell performance. With reduced V/III ratio, we demonstrate a ~13% efficient GaInP cell measured under the 1-sun AM1.5G spectrum. In conclusion, this cell had an antireflective coating, but no front surface passivation.« less

  19. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  20. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  1. Numerical simulations of epitaxial growth process in MOVPE reactor as a tool for design of modern semiconductors for high power electronics

    NASA Astrophysics Data System (ADS)

    Skibinski, Jakub; Caban, Piotr; Wejrzanowski, Tomasz; Kurzydlowski, Krzysztof J.

    2014-10-01

    In the present study numerical simulations of epitaxial growth of gallium nitride in Metal Organic Vapor Phase Epitaxy reactor AIX-200/4RF-S is addressed. Epitaxial growth means crystal growth that progresses while inheriting the laminar structure and the orientation of substrate crystals. One of the technological problems is to obtain homogeneous growth rate over the main deposit area. Since there are many agents influencing reaction on crystal area such as temperature, pressure, gas flow or reactor geometry, it is difficult to design optimal process. According to the fact that it's impossible to determine experimentally the exact distribution of heat and mass transfer inside the reactor during crystal growth, modeling is the only solution to understand the process precisely. Numerical simulations allow to understand the epitaxial process by calculation of heat and mass transfer distribution during growth of gallium nitride. Including chemical reactions in numerical model allows to calculate the growth rate of the substrate and estimate the optimal process conditions for obtaining the most homogeneous product.

  2. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  3. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy

    NASA Astrophysics Data System (ADS)

    Halder, Nripendra N.; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-01

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  4. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy.

    PubMed

    Halder, Nripendra N; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-17

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  5. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    NASA Astrophysics Data System (ADS)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  6. Epitaxial growth and chemical vapor transport of ZnTe by closed-tube method

    NASA Astrophysics Data System (ADS)

    Ogawa, H.; Nishio, M.; Arizumi, T.

    1981-04-01

    The epitaxial growth of ZnTe in a ZnTe- I2 system by a closed tube method is investigated by varying the charged iodine concentration ( MI2) or the temperature difference ( ΔT) between the high and low temperature zones. The transport rate is a function of MI2 and ΔT and has a minimum value increasing monotonically at higher and lower iodine concentration, and it increases with increasing ΔT. This experimental result can be explained well by thermodynamical calculations. The growth rate of ZnTe has the same tendency as the transport rate. The surface morphology of epitaxial layer on (110)ZnTe is not sinificantly affected by MI2 but becomes smoother with increasing temperature. The surface morphology and the growth rate of ZnTe layers also depend upon the orientation of substrate. The epitaxial layer can be obtained at temperature as low as 623°C.

  7. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysismore » also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.« less

  8. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  9. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  10. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  11. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  12. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  13. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  14. All metalorganic chemical vapor phase epitaxy of p/n-GaN tunnel junction for blue light emitting diode applications

    NASA Astrophysics Data System (ADS)

    Neugebauer, S.; Hoffmann, M. P.; Witte, H.; Bläsing, J.; Dadgar, A.; Strittmatter, A.; Niermann, T.; Narodovitch, M.; Lehmann, M.

    2017-03-01

    We report on III-Nitride blue light emitting diodes (LEDs) comprising a GaN-based tunnel junction (TJ) all realized by metalorganic vapor phase epitaxy in a single growth process. The TJ grown atop the LED structures consists of a Mg-doped GaN layer and subsequently grown highly Ge-doped GaN. Long thermal annealing of 60 min at 800 °C is important to reduce the series resistance of the LEDs due to blockage of acceptor-passivating hydrogen diffusion through the n-type doped top layer. Secondary ion mass spectroscopy measurements reveal Mg-incorporation into the topmost GaN:Ge layer, implying a non-abrupt p-n tunnel junction and increased depletion width. Still, significantly improved lateral current spreading as compared to conventional semi-transparent Ni/Au p-contact metallization and consequently a more homogeneous electroluminescence distribution across 1 × 1 mm2 LED structures is achieved. Direct estimation of the depletion width is obtained from electron holography experiments, which allows for a discussion of the possible tunneling mechanism.

  15. Vapor phase growth technique of III-V compounds utilizing a preheating step

    NASA Technical Reports Server (NTRS)

    Olsen, Gregory Hammond (Inventor); Zamerowski, Thomas Joseph (Inventor); Buiocchi, Charles Joseph (Inventor)

    1978-01-01

    In the vapor phase epitaxy fabrication of semiconductor devices and in particular semiconductor lasers, the deposition body on which a particular layer of the laser is to be grown is preheated to a temperature about 40.degree. to 60.degree. C. lower than the temperature at which deposition occurs. It has been discovered that by preheating at this lower temperature there is reduced thermal decomposition at the deposition surface, especially for semiconductor materials such as indium gallium phosphide and gallium arsenide phosphide. A reduction in thermal decomposition reduces imperfections in the deposition body in the vicinity of the deposition surface, thereby providing a device with higher efficiency and longer lifetime.

  16. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  17. Growth kinetics and island evolution during double-pulsed molecular beam epitaxy of InN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, A.; Hein, C.; Bremers, H.

    The kinetic processes of InN growth using alternating source fluxes with sub-monolayer In pulses in plasma-assisted molecular beam epitaxy have been investigated. Growth at various temperatures reveals the existence of two growth regimes. While growth at low temperatures is solely governed by surface diffusion, a combination of decomposition, desorption, and diffusion becomes decisive at growth temperatures of 470 °C and above. At this critical temperature, the surface morphology changes from a grainy structure to a structure made of huge islands. The formation of those islands is attributed to the development of an indium adlayer, which can be observed via reflection highmore » energy electron diffraction monitoring. Based on the growth experiments conducted at temperatures below T{sub Growth} = 470 °C, an activation energy for diffusion of 0.54 ± 0.02 eV has been determined from the decreasing InN island density. A comparison between growth on metalorganic vapor phase epitaxy GaN templates and pseudo bulk GaN indicates that step edges and dislocations are favorable nucleation sites. Based on the results, we developed a growth model, which describes the main mechanisms of the growth.« less

  18. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  19. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  20. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  1. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  2. Deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase-epitaxy n-GaAs

    NASA Technical Reports Server (NTRS)

    Partin, D. L.; Chen, J. W.; Milnes, A. G.; Vassamillet, L. F.

    1979-01-01

    The paper presents deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase epitaxy n-GaAs. Nickel diffused into VPE n-GaAs reduces the hole diffusion length L sub p from 4.3 to 1.1 microns. Deep-level transient spectroscopy was used to identify energy levels in Ni-diffused GaAs; the as-grown VPE GaAs contains traces of these levels and an electron trap. Ni diffusion reduces the concentration of this level by an amount that matches the increase in concentration of each of the two Ni-related levels. A technique for measuring minority-carrier capture cross sections was developed, which indicates that L sub p in Ni-diffused VPE n-GaAs is controlled by the E sub c - 0.39 eV defect level.

  3. Reduction of degradation in vapor phase transported InP/InGaAsP mushroom stripe lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, H.; Burkhardt, E.G.; Pfister, W.

    1988-10-03

    The rapid degradation rate generally observed in InP/InGaAsP mushroom stripe lasers can be considerably decreased by regrowing the open sidewalls of the active stripe with low-doped InP in a second epitaxial step using the hydride vapor phase transport technique. This technique does not change the fundamental laser parameters like light-current and current-voltage characteristics. Because of this drastic reduction in degradation, the vapor phase epitaxy regrown InP/InGaAsP mushroom laser seems to be an interesting candidate for application in optical communication.

  4. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  5. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  6. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  7. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  8. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  9. Development of high-bandgap AlGaInP solar cells grown by organometallic vapor-phase epitaxy

    DOE PAGES

    Perl, Emmett E.; Simon, John; Geisz, John F.; ...

    2016-03-29

    AlGaInP solar cells with bandgaps between 1.9 and 2.2 eV are investigated for use in next-generation multijunction photovoltaic devices. This quaternary alloy is of great importance to the development of III-V solar cells with five or more junctions and for cells optimized for operation at elevated temperatures because of the high bandgaps required in these designs. In this work, we explore the conditions for the organometallic vapor-phase epitaxy growth of AlGaInP and study their effects on cell performance. Initial efforts focused on developing ~2.0-eV AlGaInP solar cells with a nominal aluminum composition of 12%. Under the direct spectrum at 1000more » W/m 2 (AM1.5D), the best of these samples had an open-circuit voltage of 1.59 V, a bandgap-voltage offset of 440 mV, a fill factor of 88.0%, and an efficiency of 14.8%. We then varied the aluminum composition of the alloy from 0% to 24% and were able to tune the bandgap of the AlGaInP layers from ~1.9 to ~2.2 eV. Furthermore, while the samples with a higher aluminum composition exhibited a reduced quantum efficiency and increased bandgap-voltage offset, the bandgap-voltage offset remained at 500 mV or less, up to a bandgap of ~2.1 eV.« less

  10. Gordon Research Conference on Crystal Growth (1990)

    DTIC Science & Technology

    1990-04-01

    Labs, MH) 14. Cox Vapor Levitation Epitaxy of Quantum Wires and Wire-like Structures Using Laterally Propagating Surface Steps. (Bellcore, Red Bank) 15...introduced many new aspects of crystal growth, including strained layer superlattices, quantum cluster growth, and vertical zone melting of GaAs...Films 2. E. Bauser Semiconductor Liquid Phase Epitaxy: Growth and Properties of Layers and Heterostructures 3. M. L. Steigerwald Growth of Quantum

  11. Growth of strontium ruthenate films by hybrid molecular beam epitaxy

    DOE PAGES

    Marshall, Patrick B.; Kim, Honggyu; Ahadi, Kaveh; ...

    2017-09-01

    We report on the growth of epitaxial Sr 2RuO 4 films using a hybrid molecular beam epitaxy approach in which a volatile precursor containing RuO 4 is used to supply ruthenium and oxygen. The use of the precursor overcomes a number of issues encountered in traditional molecular beam epitaxy that uses elemental metal sources. Phase-pure, epitaxial thin films of Sr 2RuO 4 are obtained. At high substrate temperatures, growth proceeds in a layer-by-layer mode with intensity oscillations observed in reflection high-energy electron diffraction. Films are of high structural quality, as documented by x-ray diffraction, atomic force microscopy, and transmission electronmore » microscopy. In conclusion, the method should be suitable for the growth of other complex oxides containing ruthenium, opening up opportunities to investigate thin films that host rich exotic ground states.« less

  12. Low-Cost Approaches to III–V Semiconductor Growth for Photovoltaic Applications

    DOE PAGES

    Greenaway, Ann L.; Boucher, Jason W.; Oener, Sebastian Z.; ...

    2017-08-31

    III–V semiconductors form the most efficient single- and multijunction photovoltaics. Metal–organic vapor-phase epitaxy, which uses toxic and pyrophoric gas-phase precursors, is the primary commercial growth method for these materials. In order for the use of highly efficient III–V-based devices to be expanded as the demand for renewable electricity grows, a lower-cost approach to the growth of these materials is needed. This Review focuses on three deposition techniques compatible with current device architectures: hydride vapor-phase epitaxy, close-spaced vapor transport, and thin-film vapor–liquid–solid growth. Here, we consider recent advances in each technique, including the available materials space, before providing an in-depth comparisonmore » of growth technology advantages and limitations and considering the impact of modifications to the method of production on the cost of the final photovoltaics.« less

  13. Growth and characterization of III-V epitaxial films

    NASA Astrophysics Data System (ADS)

    Tripathi, A.; Adamski, J.

    1991-11-01

    Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.

  14. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  15. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  16. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  17. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  18. A three-dimensional phase field model for nanowire growth by the vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Wang, Yanming; Ryu, Seunghwa; McIntyre, Paul C.; Cai, Wei

    2014-07-01

    We present a three-dimensional multi-phase field model for catalyzed nanowire (NW) growth by the vapor-liquid-solid (VLS) mechanism. The equation of motion contains both a Ginzburg-Landau term for deposition and a diffusion (Cahn-Hilliard) term for interface relaxation without deposition. Direct deposition from vapor to solid, which competes with NW crystal growth through the molten catalyst droplet, is suppressed by assigning a very small kinetic coefficient at the solid-vapor interface. The thermodynamic self-consistency of the model is demonstrated by its ability to reproduce the equilibrium contact angles at the VLS junction. The incorporation of orientation dependent gradient energy leads to faceting of the solid-liquid and solid-vapor interfaces. The model successfully captures the curved shape of the NW base and the Gibbs-Thomson effect on growth velocity.

  19. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  20. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  1. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  2. Condensed phase conversion and growth of nanorods and other materials instead of from vapor

    DOEpatents

    Geohegan, David B.; Seals, Roland D.; Puretzky, Alex A.; Fan, Xudong

    2010-10-19

    Compositions, systems and methods are described for condensed phase conversion and growth of nanorods and other materials. A method includes providing a condensed phase matrix material; and activating the condensed phase matrix material to produce a plurality of nanorods by condensed phase conversion and growth from the condensed phase matrix material instead of from vapor. The compositions are very strong. The compositions and methods provide advantages because they allow (1) formation rates of nanostructures necessary for reasonable production rates, and (2) the near net shaped production of component structures.

  3. Hybrid vapor phase-solution phase growth techniques for improved CZT(S,Se) photovoltaic device performance

    DOEpatents

    Chang, Liang-Yi; Gershon, Talia S.; Haight, Richard A.; Lee, Yun Seog

    2016-12-27

    A hybrid vapor phase-solution phase CZT(S,Se) growth technique is provided. In one aspect, a method of forming a kesterite absorber material on a substrate includes the steps of: depositing a layer of a first kesterite material on the substrate using a vapor phase deposition process, wherein the first kesterite material includes Cu, Zn, Sn, and at least one of S and Se; annealing the first kesterite material to crystallize the first kesterite material; and depositing a layer of a second kesterite material on a side of the first kesterite material opposite the substrate using a solution phase deposition process, wherein the second kesterite material includes Cu, Zn, Sn, and at least one of S and Se, wherein the first kesterite material and the second kesterite material form a multi-layer stack of the absorber material on the substrate. A photovoltaic device and method of formation thereof are also provided.

  4. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  5. Investigation of the growth of garnet films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sandfort, R. M.

    1974-01-01

    Liquid phase expitaxy was investigated to determine its applicability to fabricating magnetic rare earth garnet films for spacecraft data recording systems. Two mixed garnet systems were investigated in detail: (1) Gd-Y and (2) Eu-Yb-Y. All films were deposited on Gd3Ga5012 substrates. The uniaxial anisotropy of the Gd-Y garnets is primarily stress-induced. These garnets are characterized by high-domain wall mobility, low coercivity and modest anisotropy. Characteristic length was found to be relatively sensitive to temperature. The Eu-Yb-Y garnets exhibit acceptable mobilities, good temperature stability and reasonable quality factors. The uniaxial anisotropy of these garnets is primarily growth-induced. The system is well suited for compositional "tailoring" to optimize specific desirable properties. Liquid phase epitaxy can be used to deposit Gd3Ga5012 spacing layers on magnetic garnet films and this arrangement possesses certain advantages over more conventional magnetic filmspacing layer combinations. However, it cannot be used if the magnetic film is to be ion implanted.

  6. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  7. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  8. Indirectly pumped 3.7 THz InGaAs/InAlAs quantum-cascade lasers grown by metal-organic vapor-phase epitaxy.

    PubMed

    Fujita, Kazuue; Yamanishi, Masamichi; Furuta, Shinichi; Tanaka, Kazunori; Edamura, Tadataka; Kubis, Tillmann; Klimeck, Gerhard

    2012-08-27

    Device-performances of 3.7 THz indirect-pumping quantum-cascade lasers are demonstrated in an InGaAs/InAlAs material system grown by metal-organic vapor-phase epitaxy. The lasers show a low threshold-current-density of ~420 A/cm2 and a peak output power of ~8 mW at 7 K, no sign of parasitic currents with recourse to well-designed coupled-well injectors in the indirect pump scheme, and a maximum operating temperature of Tmax ~100 K. The observed roll-over of output intensities in current ranges below maximum currents and limitation of Tmax are discussed with a model for electron-gas heating in injectors. Possible ways toward elevation of Tmax are suggested.

  9. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  10. Modeling and Optimization for Epitaxial Growth: Transport and Growth Studies

    DTIC Science & Technology

    1999-01-01

    effect . It is expressed as the sum of these two components j i jC i jT i where jC i and jT i denote the concentration driven and thermally driven...improve manufacturing effectiveness for epitaxial growth of silicon and silicon-germanium (Si-Ge) thin films on a silicon wafer. Growth takes place in the...non-uniformity to compensate for the effects of other phenomena such as reactant depletion, gas heating and gas phase reactions, thermal diffusion of

  11. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  12. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch

    2015-09-28

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less

  13. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun

    2015-09-01

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.

  14. Electron mobility enhancement in metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors by control of surface morphology of spacer layer

    NASA Astrophysics Data System (ADS)

    Yamada, Atsushi; Ishiguro, Tetsuro; Kotani, Junji; Nakamura, Norikazu

    2018-01-01

    We demonstrated low-sheet-resistance metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors using AlGaN spacers with excellent surface morphology. We systematically investigated the effects of AlGaN spacer growth conditions on surface morphology and electron mobility. We found that the surface morphology of InAlN barriers depends on that of AlGaN spacers. Ga desorption from AlGaN spacers was suppressed by increasing the trimethylaluminum (TMA) supply rate, resulting in the small surface roughnesses of InAlN barriers and AlGaN spacers. Moreover, we found that an increase in the NH3 supply rate also improved the surface morphologies of InAlN barriers and AlGaN spacers as long as the TMA supply rate was high enough to suppress the degradation of GaN channels. Finally, we realized a low sheet resistance of 185.5 Ω/sq with a high electron mobility of 1210 cm2 V-1 s-1 by improving the surface morphologies of AlGaN spacers and InAlN barriers.

  15. Epitaxial growth of 6H silicon carbide in the temperature range 1320 C to 1390 C

    NASA Technical Reports Server (NTRS)

    Will, H. A.; Powell, J. A.

    1974-01-01

    High-quality epitaxial layers of 6H SiC have been grown on 6H SiC substrates with the grown direction perpendicular to the crystal c-axis. The growth was by chemical vapor deposition from methyltrichlorosilane (CH3SiCl3) in hydrogen at temperatures in the range of 1320 to 1390 C. Epitaxial layers up to 80 microns thick were grown at rates of 0.4 microns/min. Attempts at growth on the (0001) plane of 6H SiC substrates under similar conditions resulted in polycrystalline cubic SiC layers. Optical and X-ray diffraction techniques were used to characterize the grown layers.

  16. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  17. Crystal growth from the vapor phase experiment MA-085

    NASA Technical Reports Server (NTRS)

    Wiedemeir, H.; Sadeek, H.; Klaessig, F. C.; Norek, M.

    1976-01-01

    Three vapor transport experiments on multicomponent systems were performed during the Apollo Soyuz mission to determine the effects of microgravity forces on crystal morphology and mass transport rates. The mixed systems used germanium selenide, tellurium, germanium tetraiodide (transport agent), germanium monosulfide, germanium tetrachloride (transport agent), and argon (inert atmosphere). The materials were enclosed in evacuated sealed ampoules of fused silica and were transported in a temperature gradient of the multipurpose electric furnace onboard the Apollo Soyuz spacecraft. Preliminary evaluation of 2 systems shows improved quality of space grown crystals in terms of growth morphology and bulk perfection. This conclusion is based on a direct comparison of space grown and ground based crystals by means of X-ray diffraction, microscopic, and chemical etching techniques. The observation of greater mass transport rates than predicted for a microgravity environment by existing vapor transport models indicates the existence of nongravity caused transport effects in a reactive solid/gas phase system.

  18. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  19. Real-Time Optical Monitoring and Simulations of Gas Phase Kinetics in InN Vapor Phase Epitaxy at High Pressure

    NASA Technical Reports Server (NTRS)

    Dietz, Nikolaus; Woods, Vincent; McCall, Sonya D.; Bachmann, Klaus J.

    2003-01-01

    Understanding the kinetics of nucleation and coalescence of heteroepitaxial thin films is a crucial step in controlling a chemical vapor deposition process, since it defines the perfection of the heteroepitaxial film both in terms of extended defect formation and chemical integrity of the interface. The initial nucleation process also defines the film quality during the later stages of film growth. The growth of emerging new materials heterostructures such as InN or In-rich Ga(x)In(1-x)N require deposition methods operating at higher vapor densities due to the high thermal decomposition pressure in these materials. High nitrogen pressure has been demonstrated to suppress thermal decomposition of InN, but has not been applied yet in chemical vapor deposition or etching experiments. Because of the difficulty with maintaining stochiometry at elevated temperature, current knowledge regarding thermodynamic data for InN, e.g., its melting point, temperature-dependent heat capacity, heat and entropy of formation are known with far less accuracy than for InP, InAs and InSb. Also, no information exists regarding the partial pressures of nitrogen and phosphorus along the liquidus surfaces of mixed-anion alloys of InN, of which the InN(x)P(1-x) system is the most interesting option. A miscibility gap is expected for InN(x)P(1-x) pseudobinary solidus compositions, but its extent is not established at this point by experimental studies under near equilibrium conditions. The extension of chemical vapor deposition to elevated pressure is also necessary for retaining stoichiometric single phase surface composition for materials that are characterized by large thermal decomposition pressures at optimum processing temperatures.

  20. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  1. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  2. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  3. High-Performance InGaAs/InP Composite-Channel High Electron Mobility Transistors Grown by Metal-Organic Vapor-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Sugiyama, Hiroki; Kosugi, Toshihiko; Yokoyama, Haruki; Murata, Koichi; Yamane, Yasuro; Tokumitsu, Masami; Enoki, Takatomo

    2008-04-01

    This paper reports InGaAs/InP composite-channel (CC) high electron mobility transistors (HEMTs) grown by metal-organic vapor-phase epitaxy (MOVPE) with excellent breakdown and high-speed characteristics. Atomic force microscopy (AFM) reveals high-quality heterointerfaces between In(Ga,Al)As and In(Al)P. Fabricated 80-nm-gate CC HEMTs exhibit on- and off-state breakdown (burnout) voltages estimated at higher than 3 and 8 V. An excellent current-gain cutoff frequency ( fT) of 186 GHz is also obtained in the CC HEMTs. The on-wafer uniformity of CC-HEMT characteristics is comparable to those of our mature 100-nm-gate InGaAs single-channel HEMTs. Bias-stress aging tests reveals that the lifetime of CC HEMTs is expected to be comparable to that of our conventional InGaAs single-channel HEMTs.

  4. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  5. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  6. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  7. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  8. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  9. Liquid phase heteroepitaxial growth on convex substrate using binary phase field crystal model

    NASA Astrophysics Data System (ADS)

    Lu, Yanli; Zhang, Tinghui; Chen, Zheng

    2018-06-01

    The liquid phase heteroepitaxial growth on convex substrate is investigated with the binary phase field crystal (PFC) model. The paper aims to focus on the transformation of the morphology of epitaxial films on convex substrate with two different radiuses of curvature (Ω) as well as influences of substrate vicinal angles on films growth. It is found that films growth experience different stages on convex substrate with different radiuses of curvature (Ω). For Ω = 512 Δx , the process of epitaxial film growth includes four stages: island coupled with layer-by-layer growth, layer-by-layer growth, island coupled with layer-by-layer growth, layer-by-layer growth. For Ω = 1024 Δx , film growth only experience islands growth and layer-by-layer growth. Also, substrate vicinal angle (π) is an important parameter for epitaxial film growth. We find the film can grow well when π = 2° for Ω = 512 Δx , while the optimized film can be obtained when π = 4° for Ω = 512 Δx .

  10. Epitaxial growth and characterization of Si/NiSi 2/Si(111) heterostructures

    NASA Astrophysics Data System (ADS)

    Rizzi, Angela; Förster, A.; Lüth, H.; Slijkerman, W.

    1989-04-01

    Si/NiSi 2/Si(111) heterostructures are grown under UHV conditions. The well known "template" method is used to produce the epitaxial NiSi 2 interlayer. On top of the suicide, the silicon epitaxial growth is obtained by means of gas phase reaction of SiH 4 at a surface temperature of 500° C. The Si growth rate is strongly enhanced by predissociation of SiH 4 using a hot tungsten filament in the vicinity of the surface. The single steps of the growth are followed in-situ by means of AES, HREELS and LEED analysis. Ex-situ high resolution RBS analysis is also applied for characterization.

  11. Effect of indium droplets on growth of InGaN film by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zheng, Xiantong; Liang, Hongwei; Wang, Ping; Sun, Xiaoxiao; Chen, Zhaoying; Wang, Tao; Sheng, Bowen; Wang, Yixin; Chen, Ling; Wang, Ding; Rong, Xin; Li, Mo; Zhang, Jian; Wang, Xinqiang

    2018-01-01

    Effect of indium (In) droplets on InGaN thin films grown by molecular beam epitaxy (MBE) has been investigated. The surface of InGaN covered by In droplets shows a smoother topography than that without droplets, indicating that the presence of In droplets is beneficial to the two dimensional growth. Beneath the In droplets, many ring-like structures are observed. The arrangement of these "ring" shows the movement of the In droplets during the InGaN growth. A qualitative growth model is proposed to explain the evolution of the InGaN surface morphology in In-droplet-induced-epitaxy process, giving an explanation that a local vapor-liquid-solid (VLS) system is preferentially formed at the edge of the droplets, leading to a high growth rate. Furthermore, the energy dispersive X-ray spectroscopy results reveal that the relatively higher In/Ga flux ratio in the region covered by the In droplet results in a locally higher In content.

  12. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  13. Growth of NH4Cl Single Crystal from Vapor Phase in Vertical Furnace

    NASA Astrophysics Data System (ADS)

    Nigara, Yutaka; Yoshizawa, Masahito; Fujimura, Tadao

    1983-02-01

    A pure and internally stress-free single crystal of NH4Cl was grown successfully from the vapor phase. The crystal measured 1.6 cmφ× 2 cm and had the disordered CsCl structure, which was stable below 184°C. The crystal was grown in an ampoule in a vertical furnace, in which the vapor was efficiently transported both by diffusion and convection. In line with the growth mechanism of a single crystal, the temperature fluctuation (°C/min) on the growth interface was kept smaller than the product of the temperature gradient (°C/cm) and the growth rate (cm/min). The specific heat of the crystal was measured around -31°C (242 K) during cooling and heating cycles by AC calorimetry. The thermal hysteresis (0.4 K) obtained here was smaller than that (0.89 K) of an NH4Cl crystal grown from its aqueous solution with urea added as a habit modifier.

  14. Epitaxial growth of VO2 by periodic annealing

    NASA Astrophysics Data System (ADS)

    Tashman, J. W.; Lee, J. H.; Paik, H.; Moyer, J. A.; Misra, R.; Mundy, J. A.; Spila, T.; Merz, T. A.; Schubert, J.; Muller, D. A.; Schiffer, P.; Schlom, D. G.

    2014-02-01

    We report the growth of ultrathin VO2 films on rutile TiO2 (001) substrates via reactive molecular-beam epitaxy. The films were formed by the cyclical deposition of amorphous vanadium and its subsequent oxidation and transformation to VO2 via solid-phase epitaxy. Significant metal-insulator transitions were observed in films as thin as 2.3 nm, where a resistance change ΔR/R of 25 was measured. Low angle annular dark field scanning transmission electron microscopy was used in conjunction with electron energy loss spectroscopy to study the film/substrate interface and revealed the vanadium to be tetravalent and the titanium interdiffusion to be limited to 1.6 nm.

  15. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  16. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  17. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    PubMed

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  18. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  19. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico; Cabinian, Brian C.; Zhao, Hongwei; Oakley, Douglas C.; Bowers, John E.; Klamkin, Jonathan

    2018-02-01

    We employ a simple two-step growth technique to grow large-area 1550-nm laser structures by direct hetero-epitaxy of III-V compounds on patterned exact-oriented (001) silicon (Si) substrates by metal organic chemical vapor deposition. Densely-packed, highly uniform, flat and millimeter-long indium phosphide (InP) nanowires were grown from Si v-grooves separated by silicon dioxide (SiO2) stripes with various widths and pitches. Following removal of the SiO2 patterns, the InP nanowires were coalesced and, subsequently, 1550-nm laser structures were grown in a single overgrowth without performing any polishing for planarization. X-ray diffraction, photoluminescence, atomic force microscopy and transmission electron microscopy analyses were used to characterize the epitaxial material. PIN diodes were fabricated and diode-rectifying behavior was observed.

  20. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  1. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  2. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  3. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-01

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  4. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    PubMed

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  5. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  6. Crystal Growth of ZnSe and Related Ternary Compound Semiconductors by Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Burger, Arnold; Dudley, Michael; Matyi, Richard J.; Ramachandran, Narayanan; Sha, Yi-Gao; Volz, Martin; Shih, Hung-Dah

    1998-01-01

    Interest in optical devices which can operate in the visible spectrum has motivated research interest in the II-VI wide band gap semiconductor materials. The recent challenge for semiconductor opto-electronics is the development of a laser which can operate at short visible wavelengths, In the past several years, major advances in thin film technology such as molecular beam epitaxy and metal organic chemical vapor deposition have demonstrated the applicability of II-VI materials to important devices such as light-emitting diodes, lasers, and ultraviolet detectors.The demonstration of its optical bistable properties in bulk and thin film forms also make ZnSe a possible candidate material for the building blocks of a digital optical computer. Despite this, developments in the crystal growth of bulk II-VI semiconductor materials has not advanced far enough to provide the low price, high quality substrates needed for the thin film growth technology. The electrical and optical properties of semiconductor materials depend on the native point defects, (the deviation from stoichiometry), and the impurity or dopant distribution. To date, the bulk growth of ZnSe substrates has been plagued with problems related to defects such as non-uniform distributions of native defects, impurities and dopants, lattice strain, dislocations, grain boundaries, and second phase inclusions which greatly effect the device performance. In the bulk crystal growth of some technologically important semiconductors, such as ZnTe, CdS, ZnSe and ZnS, vapor growth techniques have significant advantages over melt growth techniques due to the high melting points of these materials.

  7. Growth and Performance of GaInP/A1GaInP Visible Light Emitting Laser-Diodes,

    DTIC Science & Technology

    SEMICONDUCTOR LASERS, *EPITAXIAL GROWTH, ALLOYS, LAYERS, LOW PRESSURE, PRESSURE, QUALITY, ROOM TEMPERATURE, SUBSTRATES, GALLIUM PHOSPHIDES, INDIUM PHOSPHIDES, THERMAL PROPERTIES, ENERGY GAPS, ENERGY BANDS, VAPOR PHASES.

  8. Chemical Beam Epitaxial Growth of Indium Phosphide Using Alternative, Safer Phosphorus Sources

    NASA Astrophysics Data System (ADS)

    Kim, Chungwoo

    1995-11-01

    Chemical beam epitaxy (CBE) is a relatively new III-V semiconductor growth technique that combines important advantages of molecular beam epitaxy (MBE) and organometallic vapor phase epitaxy (OMVPE). Although CBE grown-InP using phosphine (PH_3) combined with trimethylindium (TMIn) or triethylindium (TEIn) has produced high quality material comparable to OMVPE-and gas source MBE-grown InP, the highly hazardous and toxic nature of PH_3 is becoming a main obstacle to mass production of semiconductor devices. In this dissertation, InP epilayers were grown using tertiarybutylphosphine (TBP) and bisphosphinoethane (BPE) as possible replacements for PH_3, together with ethyldimethylindium (EDMIn) as the indium source. For the first time, InP epilayers have been grown using TBP and EDMIn by CBE. The surface morphology and the electrical and optical properties improved with increasing substrate and cracker cell temperatures and input V/III ratio. High quality n-type InP epilayers with electron mobilities of up to 3830 cm^2/Vs and net carrier concentrations of approximately 6 times 10^{14} cm^{-3} at room temperature were achieved at a growth temperature of 500^ circC using a V/III ratio of 70 and a TBP cracker cell temperature of 900^circ C. Strong band-edge emission was observed at growth temperatures between 460 and 500^circ C. The bound exciton halfwidth of the sample grown at 500^circC was as narrow as 3.6 meV at 14 K with a barely observable acceptor related peak indicating a very low concentration of acceptors. For growth of InP using BPE and EDMIn, good surface morphologies were obtained at a substrate temperature of 485^circC using V/III ratios of >=q53. At fixed growth and cracker cell temperatures of 485 and 800^circ C, respectively, the net carrier concentration at a V/III ratio of 53 was 7.8 times 10 ^{15} at room temperature and 3.2 times 10^{15} cm^{-3} at 77 K with respective electron mobilities of 3,630 and 21,800 cm^2 /Vs. The 14 K PL spectra were

  9. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  10. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  11. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  12. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  13. Substantiation of Epitaxial Growth of Diamond Crystals on the Surface of Carbide Fe3AlC0.66 Phase Nanoparticles.

    PubMed

    Dzevin, Ievgenij M; Mekhed, Alexander A

    2017-12-01

    Samples of Fe-Al-C alloys of varying composition were synthesized under high pressures and temperatures. From X-ray analysis data, only K-phase with usual for it average parameter of elemental lattice cell, a = 0.376 nm, carbide Fe 3 C and cubic diamond reflexes were present before and after cooling to the temperature of liquid nitrogen.Calculations were made of the parameters of unit cells, the enthalpy of formation of the Fe 3 AlC, Fe 3.125 Al 0.825 C 0.5 , Fe 3.5 Al 0.5 C 0.5 , Fe 3.5 Al 0.5 C, Fe 3 Al 0.66 C 0.66 , and Fe 3 AlC 0.66 unit cells and crystallographic planes were identified on which epitaxial growth of the diamond phase was possible, using density functional theory as implemented in the WIEN2k package.The possibility of epitaxial growth of diamond crystals on Fe 3 AlC 0.66 (K-phase) nanoparticles was, therefore, demonstrated. The [200] plane was established to be the most suitable plane for diamond growth, having four carbon atoms arranged in a square and a central vacancy which can be occupied by carbon during thermal-and-pressure treatment. Distances between carbon atoms in the [200] plane differ by only 5% from distances between the carbon atoms of a diamond. The electronic structure and energetic parameters of the substrate were also investigated. It was shown that the substrate with at least four intermediate layers of K-phase exhibits signs of stability such as negative enthalpy of formation and the Fermi level falling to minimum densities of states.

  14. Epitaxial growth of CZT(S,Se) on silicon

    DOEpatents

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  15. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  16. Photoinduced current transient spectroscopy of deep levels and transport mechanisms in iron-doped GaN thin films grown by low pressure-metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Muret, P.; Pernot, J.; Azize, M.; Bougrioua, Z.

    2007-09-01

    Electrical transport and deep levels are investigated in GaN:Fe layers epitaxially grown on sapphire by low pressure metalorganic vapor phase epitaxy. Photoinduced current transient spectroscopy and current detected deep level spectroscopy are performed between 200 and 650 K on three Fe-doped samples and an undoped sample. A detailed study of the detected deep levels assigns dominant centers to a deep donor 1.39 eV below the conduction band edge EC and to a deep acceptor 0.75 eV above the valence band edge EV at low electric field. A strong Poole-Frenkel effect is evidenced for the donor. Schottky diodes characteristics and transport properties in the bulk GaN:Fe layer containing a homogenous concentration of 1019 Fe/cm3 are typical of a compensated semiconductor. They both indicate that the bulk Fermi level is located typically 1.4 eV below EC, in agreement with the neutrality equation and dominance of the deep donor concentration. This set of results demonstrates unambiguously that electrical transport in GaN:Fe is governed by both types, either donor or acceptor, of the iron impurity, either substitutional in gallium sites or associated with other defects.

  17. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  18. Alternatives to Arsine: The Atmospheric Pressure Organometallic Chemical Vapor Deposition Growth of GaAs Using Triethylarsenic.

    DTIC Science & Technology

    1987-08-15

    SUPPLEMENTARY NOTATION 17. COSATI CODES 18 SUBJECT TERMS (Corinue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Epitaxy GaAs 9...Zr leiK m I141’ FIGURES 1 . Effect of Growth Parameters on Residual Doping Type ................... 7 2. Photoluminescence Spectrum of a GaAs Epilayer... 1 3 Successful homoepitaxial growth of high purity, unintentionally doped GaAs epilayers by organometallic chemical vapor deposition (OMCVD) has

  19. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  20. Nucleation and Epitaxy-Mediated Phase Transformation of a Precursor Cadmium Carbonate Phase at the Calcite/Water Interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riechers, Shawn L.; Rosso, Kevin M.; Kerisit, Sebastien N.

    Mineral nucleation can be catalyzed by the presence of mineral substrates; however, the mechanisms of heterogeneous nucleation remain poorly understood. A combination of in situ time-sequenced measurements and nano-manipulation experiments were performed using atomic force microscopy (AFM) to probe the mechanisms of heteroepitaxial nucleation of otavite (CdCO3) on calcite (CaCO3) single crystals that exposed the (10-14) surface. Otavite and calcite are isostructural carbonates that display a 4% lattice mismatch, based on their (10-14) surface areas. AFM observations revealed a two-stage process in the nucleation of cadmium carbonate surface precipitates. As evidenced by changes in height, shape, growth behavior, and frictionmore » signal of the precipitates, a precursor phase was observed to initially form on the surface and subsequently undergo an epitaxy-mediated phase transformation to otavite, which then grew epitaxially. Nano-manipulation experiments, in which the applied force was increased progressively until precipitates were removed from the surface, showed that adhesion of the precursor phase to the substrate was distinctively weaker than that of the epitaxial phase, consistent with that of an amorphous phase. These findings demonstrate for the first time that heterogeneous mineral nucleation can follow a non-classical pathway like that found in homogenous aqueous conditions.« less

  1. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  2. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  3. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  4. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  5. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  6. Growth and properties of semi-metallic and semiconducting phases of MoTe2 monolayer by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Chen, Jinglei; Wang, Guanyong; Tang, Yanan; Xu, Jinpeng; Dai, Xianqi; Jia, Jinfeng; Ho, Wingkin; Xie, Maohai

    Hexagonal (2H) and distorted octahedral (1T') phases are the two common structures of monolayer MoTe2 showing, respectively, semiconducting and semi-metallic properties. The formation energies between the two structures of MoTe2 are almost equal, so there is a high chance to tune the structures of MoTe2 and to bring in new applications such as phase-change electronics. In this work, we report growth of both 2H and 1T' MoTe2 ML by molecular-beam epitaxy (MBE) and demonstrate the tunability of the structural phases by changing the growth conditions of MBE. We present experimental and theoretical evidences showing the important role of Te surface adsorption in promoting and stabilizing the otherwise metastable 1T'-MoTe2 during MBE. By scanning tunneling microscopy and spectroscopy, we also reveal quantum dot states and quantum inter-valley interference patterns in the 2H and 1T' domains, respectively. RGC(HKU9/CRF/13G), the Ministry of Science and Technology of China(2013CB921902), NSFC (11521404, 11227404), NSFC (11504334 and U1404109).

  7. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  8. Growth of single crystal silicon carbide by halide chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fanton, Mark A.

    The goal of this thesis is to understand relationships between the major process variables and the growth rate, doping, and defect density of SiC grown by halide chemical vapor deposition (HCVD). Specifically this work addresses the maximum C/Si ratios that can be utilized for single crystal SiC growth by providing a thermodynamic model for determining the boundary between single crystal growth and SiC+C mixed phase growth in the Si-C-Cl-H system. SiC epitaxial layers ranging from 50--200microm thick were grown at temperatures near 2000°C on 6H and 4H-SiC substrates at rates up to 250microm/hr. Experimental trends in the growth rate as a function of precursor flow rates and temperature closely match those expected from thermodynamic equilibrium in a closed system. The equilibrium model can be used to predict the trends in growth rate with the changes in precursor flow rates as well as the boundary between deposition of pure SiC and deposition of a mixture of SiC and C. Calculation of the boundary position in terms of the SiCl 4 and CH4 concentrations provides an upper limit on the C/Si ratio that can be achieved for any given set of crystal growth conditions. The model can be adjusted for changes in temperature, pressure, and chlorine concentration as well. The boundary between phase pure and mixed phase growth was experimentally shown to be very abrupt, thereby providing a well defined window for Si-rich and C-rich growth conditions. Growth of SiC epitaxial layers by HCVD under both Si-rich and C-rich conditions generally yielded the same trends in dopant incorporation as those observed in conventional silane-based CVD processes. Nitrogen incorporation was highest on the C-face of 4H-SiC substrates but could be reduced to concentrations as low as 1x1015 atoms/cm3 at C/Si ratios greater than 1. Residual B concentrations were slightly higher for epitaxial layers grown on the Si-face of substrates. However, changes in the C/Si ratio had no effect on B incorporation

  9. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  10. Epitaxial growth of cobalt oxide phases on Ru(0001) for spintronic device applications

    NASA Astrophysics Data System (ADS)

    Olanipekun, Opeyemi; Ladewig, Chad; Kelber, Jeffry A.; Randle, Michael D.; Nathawat, Jubin; Kwan, Chun-Pui; Bird, Jonathan P.; Chakraborti, Priyanka; Dowben, Peter A.; Cheng, Tao; Goddard, W. A., III

    2017-09-01

    Cobalt oxide films are of technological interest as magnetic substrates that may support the direct growth of graphene, for use in various spintronic applications. In this work, we demonstrate the controlled growth of both Co3O4(111) and CoO(111) on Ru(0001) substrates. The growth is performed by Co molecular beam epitaxy, at a temperature of 500 K and in an O2 partial pressure of 10-4 Torr for Co3O4(111), and 7.5 × 10-7 Torr for CoO(111). The films are distinguished by their dissimilar Co 2p x-ray photoemission (XPS) spectra, while XPS-derived O/Co stoichiometric ratios are 1.33 for Co3O4(111) and 1.1 for CoO(111). Electron energy loss (EELS) spectra for Co3O4(111) indicate interband transitions at ˜2.1 and 3.0 eV, while only a single interband transition near 2.0 eV is observed for CoO(111). Low energy electron diffraction (LEED) data for Co3O4(111) indicate twinning during growth, in contrast to the LEED data for CoO(111). For Co3O4(111) films of less than 20 Å average thickness, however, XPS, LEED and EELS data are similar to those of CoO(111). XPS data indicate that both Co oxide phases are hydroxylated at all thicknesses. The two phases are moreover found to be thermally stable to at least 900 K in UHV, while ex situ atomic force microscopy measurements of Co3O4(111)/Ru(0001) indicate an average surface roughness below 1 nm. Electrical measurements indicate that Co3O4(111)/Ru(0001) films exhibit dielectric breakdown at threshold voltages of ˜1 MV cm-1. Collectively, these data show that the growth procedures yield Co3O4(111) films with topographical and electrical characteristics that are suitable for a variety of advanced device applications.

  11. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  12. Reduction of threading dislocation density in SiGe epilayer on Si (0 0 1) by lateral growth liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel J.

    2018-02-01

    Si0.973Ge0.027 epilayers were grown on a Si (0 0 1) substrate by a lateral liquid-phase epitaxy (LLPE) technique. The lateral growth mechanism favoured the glide of misfit dislocations and inhibited the nucleation of new dislocations by maintaining the thickness less than the critical thicknesses for dislocation nucleation and greater than the critical thickness for glide. This promoted the formation of an array of long misfit dislocations parallel to the [1 1 0] growth direction and reduced the threading dislocation density to 103 cm-2, two orders of magnitude lower than the seed area with an isotropic misfit dislocation network.

  13. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  14. High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells

    NASA Technical Reports Server (NTRS)

    Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.

    2005-01-01

    In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.

  15. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  16. Nanostructures produced by phase-separation during growth of (III-V).sub.1-x(IV.sub.2).sub.x alloys

    DOEpatents

    Norman, Andrew G [Evergreen, CO; Olson, Jerry M [Lakewood, CO

    2007-06-12

    Nanostructures (18) and methods for production thereof by phase separation during metal organic vapor-phase epitaxy (MOVPE). An embodiment of one of the methods may comprise providing a growth surface in a reaction chamber and introducing a first mixture of precursor materials into the reaction chamber to form a buffer layer (12) thereon. A second mixture of precursor materials may be provided into the reaction chamber to form an active region (14) on the buffer layer (12), wherein the nanostructure (18) is embedded in a matrix (16) in the active region (14). Additional steps are also disclosed for preparing the nanostructure (18) product for various applications.

  17. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  18. Template assisted strain tuning and phase stabilization in epitaxial BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Saj Mohan M., M.; Ramadurai, Ranjith

    2018-04-01

    Strain engineering is a key to develop novel properties in functional materials. We report a strain mediated phase stabilization and epitaxial growth of bismuth ferrite(BiFeO3) thin films on LaAlO3 (LAO) substrates. The strain in the epitaxial layer is controlled by controlling the thickness of bottom electrode where the thickness of the BFO is kept constant. The thickness of La0.7Sr0.3MnO3(LSMO) template layer was optimized to grow completely strained tetragonal, tetragonal/rhombohedral mixed phase and fully relaxed rhombohedral phase of BFO layers. The results were confirmed with coupled-θ-2θ scan, and small area reciprocal space mapping. The piezoelectric d33 (˜ 45-48 pm/V) coefficient of the mixed phase was relatively larger than the strained tetragonal and relaxed rhombohedral phase for a given thickness.

  19. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Liquid phase epitaxial growth of GaInAsP/InP laser structures

    NASA Astrophysics Data System (ADS)

    Nohavica, D.; Têminová, J.; Berková, D.; Zagrádková, M.; Kortan, I.; Zelinka, I.; Walachová, I.; Malina, V.

    1988-11-01

    A modified single-phase liquid phase epitaxy method was developed on the basis of a novel variant of the growth boat. The method was used to grow GaInAsP/InP double heterostructures for lasers emitting at 1.3 and 1.55 μm. The main properties of wide-contact diodes (radiation power and threshold current density) were adopted as the characteristics of the quality of heterostructures characterized by different configurations of active and guiding layers. The quality of the structure was confirmed by the fabrication of laser diodes of the following types: stripe with oxide insulation, clad-ridge waveguide, and double-channel planar buried.

  20. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    NASA Astrophysics Data System (ADS)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  1. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    PubMed

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  2. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  3. Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications

    DTIC Science & Technology

    2006-11-01

    pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to

  4. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  5. Thermodynamic analysis of vapor-phase epitaxy of CdTe using a metallic Cd source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Murakami, Hisashi; Koukitu, Akinori

    2017-07-01

    Thermodynamic analysis of CdTe growth using cost-effective metallic Cd and dialkyl telluride was performed. The major vapor species at source zone in equilibrium were gaseous Cd for the group-II precursor, and Te2 and H2Te for the group-VI precursors. The driving force for the CdTe deposition was still positive even at 650 °C. This indicates that CdTe formation from gaseous Cd can proceed thermodynamically. Furthermore, the calculations showed that CdTe decomposes at higher temperature and increasing the II/VI ratio increases the limit of the growth temperature, which coincides with the experimental results.

  6. Study of thin film growth kinetics of homoepitaxy by molecular beam epitaxy and pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Shin, Byungha

    This thesis presents an extensive study of the growth kinetics during low temperature homoepitaxy by Molecular Beam Epitaxy (MBE) and Pulsed Laser Deposition (PLD) of our model system Ge(001). The range of the study covers from the sub-monolayer (sub-ML) regime to the later stage where film thickness amounts to a few thousand MLs; it also covers epitaxial breakdown in which epitaxial growth is no longer sustained and the growing phase becomes amorphous. First, we have conducted a systematic investigation of the phase shift of the RHEED intensity oscillations during Ge(001) homoepitaxy MBE for a wide range of diffraction conditions. We conclude that the phase shift is caused by the overlap of the specular spot and the Kikuchi features, in contrast to models involving dynamical scattering theory for the phase shift. We have studied the sub-ML growth of Ge(001) homoepitaxy by MBE at low temperatures using RHEED intensity oscillations obtained for a range of low incidence angles where the influence of the dynamical nature of electron scattering such as the Kikuchi features is minimized. We have developed a new model for RHEED specular intensity that includes the diffuse scattering off surface steps and the layer interference between terraces of different heights using the kinematic approximation. By using the model to interpret the measured RHEED intensity, we find the evolution of the coverage of the first 2--3 layers, from which we infer the ES barrier height to be 0.077 +/- 0.014 eV. Finally, using a dual MBE-PLD UHV chamber, we have conducted experiments under identical thermal, background, and surface preparation conditions to compare Ge(001) homoepitaxial growth morphology in PLD and MBE at low temperatures. To isolate the effect of kinetic energy of depositing species during PLD, we varied the average kinetic energy: ˜450 eV in PLD-HKE, ˜300 eV in PLD-LKE, and <1 eV in PLD-TH. At 150°C, we find that in PLD-LKE and in MBE the film morphology evolves in a

  7. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  8. Single crystal growth in spin-coated films of polymorphic phthalocyanine derivative under solvent vapor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Higashi, T.; Ohmori, M.; Ramananarivo, M. F.

    2015-12-01

    The effects of solvent vapor on spin-coated films of a polymorphic phthalocyanine derivative were investigated. Growth of single crystal films via redissolving organic films under solvent vapor was revealed by in situ microscopic observations of the films. X-ray diffraction measurement of the films after exposing to solvent vapor revealed the phase transition of polymorphs under solvent vapor. The direction of crystal growth was clarified by measuring the crystal orientation in a grown monodomain film. The mechanism of crystal growth based on redissolving organic films under solvent vapor was discussed in terms of the different solubilities of the polymorphs.

  9. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as amore » function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  10. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  11. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  12. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  13. Growth and characterization of epitaxial silver indium diselenide

    NASA Astrophysics Data System (ADS)

    Pena Martin, Pamela

    Photovoltaics (solar cells) are a key player in the renewable energy frontier, and will become increasingly important as their cost per watt continues to drop, especially if fossil fuel costs increase. One particularly promising photovoltaic technology is based on chalcopyrite-structure semiconductors. Within the chalcopyrite compounds the highest efficiency thin film solar cell absorber material to date is Cu(In,Ga)Se2 (CIGS). While current efficiency records are over 21% for single-junction cells, there is still room for improvement. Replacing some of the Cu with Ag has been shown to be beneficial in CIGS devices. However, the Ag- containing chalcopyrites are still relatively unknown in terms of their growth mechanism, energetics, and surface atomic and electronic properties. These are best inferred through study of epitaxial films, yet they have little mention in literature and have not been the subject of a detailed study. This work describes the growth of epitaxial AgInSe2 (AIS) on GaAs substrates, studying the morphology, structure, and surface properties to understand how growth takes place. It also seeks to experimentally determine the surface electronic and atomic structure at the atomic scale to gain insight into the part of the material that forms the heterojunction that collects photon energy in the device. Finally, this work seeks to compare and contrast these findings with what is known about CIGS to determine where similarities and, more importantly, the differences may lie. This study has found that single phase tetragonal AIS can be epitaxially grown on GaAs, as illustrated by x-ray diffraction (XRD), transmission electron microscope (TEM), and surface morphology data. Like CIGS, the close packed polar (112) planes have the lowest energy. The morphology points to a difference in step dynamics, leading to less faceted, straight edged island shapes compared to CIGS. Epitaxial temperature as a function of growth direction shows a different trend in

  14. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) singlemore » crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.« less

  15. Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process

    DOEpatents

    Iwancizko, Eugene; Jones, Kim M.; Crandall, Richard S.; Nelson, Brent P.; Mahan, Archie Harvin

    2001-01-01

    The invention provides a process for depositing an epitaxial layer on a crystalline substrate, comprising the steps of providing a chamber having an element capable of heating, introducing the substrate into the chamber, heating the element at a temperature sufficient to decompose a source gas, passing the source gas in contact with the element; and forming an epitaxial layer on the substrate.

  16. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  17. Study of thermal stability of spontaneously grown superlattice structures by metalorganic vapor phase epitaxy in AlxGa1-xAs/GaAs heterostructure

    NASA Astrophysics Data System (ADS)

    Pradhan, A.; Maitra, T.; Mukherjee, S.; Mukherjee, S.; Satpati, B.; Nayak, A.; Bhunia, S.

    2018-04-01

    Spontaneous superlattice ordering in a length scale larger than an atomic layer has been observed in AlxGa1-xAs layers grown on (100) GaAs substrates by metalorganic vapor phase epitaxy. Transmission electron microscopic image clearly revealed superlattice structures and the selected area electron diffraction showed closely spaced superlattice spots around the main diffraction pattern. High resolution x-ray diffraction showed distinct and sharp superlattice peaks symmetrically positioned around the central (004) Bragg peak and the similar measurement for (002) planes, which is quasi-forbidden for Bragg reflections showed only superlattice peaks. Thermal annealing studies showed the superlattice structure was stable up to 800 °C and disappeared after annealing at 900 °C retaining the crystallinity of the epilayer. Study of inter-diffusivitiesin such superlattice structures has been carried out using high temperaturex-ray diffraction results. Here we present (004) x-ray θ-2θ scans of the AlGaAs/GaAs (100) sample with annealing time for different temperatures. Conclusions regarding interdiffusion in such superlattice structures are drawn from high temperature X-ray measurements.

  18. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  19. Substrate effects on the epitaxial growth of ZnGeP2 thin films by open tube organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.

  20. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Comes, Ryan B.; Perea, Daniel E.; Spurgeon, Steven R.

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Usingmore » complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.« less

  1. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  2. Vapor phase pyrolysis

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    The vapor phase pyrolysis process is designed exclusively for the lunar production of oxygen. In this concept, granulated raw material (soil) that consists almost entirely of metal oxides is vaporized and the vapor is raised to a temperature where it dissociates into suboxides and free oxygen. Rapid cooling of the dissociated vapor to a discrete temperature causes condensation of the suboxides, while the oxygen remains essentially intact and can be collected downstream. The gas flow path and flow rate are maintained at an optimum level by control of the pressure differential between the vaporization region and the oxygen collection system with the aid of the environmental vacuum.

  3. The 3-5 semiconductor solid solution single crystal growth. [low gravity float zone growth experiments using gallium indium antimonides and cadmium tellurides

    NASA Technical Reports Server (NTRS)

    Gertner, E. R.

    1980-01-01

    Techniques used for liquid and vapor phase epitaxy of gallium indium arsenide are described and the difficulties encountered are examined. Results show that the growth of bulk III-V solid solution single crystals in a low gravity environment will not have a major technological impact. The float zone technique in a low gravity environment is demonstrated using cadmium telluride. It is shown that this approach can result in the synthesis of a class of semiconductors that can not be grown in normal gravity because of growth problems rooted in the nature of their phase diagrams.

  4. Controllable poly-crystalline bilayered and multilayered graphene film growth by reciprocal chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Jung, Seong Jun; Jang, Sung Kyu; Lee, Joohyun; Jeon, Insu; Suh, Hwansoo; Kim, Yong Ho; Lee, Young Hee; Lee, Sungjoo; Song, Young Jae

    2015-06-01

    We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for engineering the band structures of large-area graphene for electronic device applications.We report the selective growth of large-area bilayered graphene film and multilayered graphene film on copper. This growth was achieved by introducing a reciprocal chemical vapor deposition (CVD) process that took advantage of an intermediate h-BN layer as a sacrificial template for graphene growth. A thin h-BN film, initially grown on the copper substrate using CVD methods, was locally etched away during the subsequent graphene growth under residual H2 and CH4 gas flows. Etching of the h-BN layer formed a channel that permitted the growth of additional graphene adlayers below the existing graphene layer. Bilayered graphene typically covers an entire Cu foil with domain sizes of 10-50 μm, whereas multilayered graphene can be epitaxially grown to form islands a few hundreds of microns in size. This new mechanism, in which graphene growth proceeded simultaneously with h-BN etching, suggests a potential approach to control graphene layers for

  5. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1983-12-01

    operating at frequencies between 1 GHz and 25 GHz. 2. Investigate LPE growth of lithium ferrite with the objective of preparing low-loss, large area films ...and hexagonal ferrites when the series of contracts began in 1975. At that time the liquid phase epitaxy method for growth of magnetic garnet films ...principal interest in epitaxial garnets was for magnetic bubble memories. For this Uapplication the films had to be about 3pm thick with low defect density

  6. Domain epitaxy for thin film growth

    DOEpatents

    Narayan, Jagdish

    2005-10-18

    A method of forming an epitaxial film on a substrate includes growing an initial layer of a film on a substrate at a temperature T.sub.growth, said initial layer having a thickness h and annealing the initial layer of the film at a temperature T.sub.anneal, thereby relaxing the initial layer, wherein said thickness h of the initial layer of the film is greater than a critical thickness h.sub.c. The method further includes growing additional layers of the epitaxial film on the initial layer subsequent to annealing. In some embodiments, the method further includes growing a layer of the film that includes at least one amorphous island.

  7. Effect of Elastic Strain Fluctuation on Atomic Layer Growth of Epitaxial Silicide in Si Nanowires by Point Contact Reactions.

    PubMed

    Chou, Yi-Chia; Tang, Wei; Chiou, Chien-Jyun; Chen, Kai; Minor, Andrew M; Tu, K N

    2015-06-10

    Effects of strain impact a range of applications involving mobility change in field-effect-transistors. We report the effect of strain fluctuation on epitaxial growth of NiSi2 in a Si nanowire via point contact and atomic layer reactions, and we discuss the thermodynamic, kinetic, and mechanical implications. The generation and relaxation of strain shown by in situ TEM is periodic and in synchronization with the atomic layer reaction. The Si lattice at the epitaxial interface is under tensile strain, which enables a high solubility of supersaturated interstitial Ni atoms for homogeneous nucleation of an epitaxial atomic layer of the disilicide phase. The tensile strain is reduced locally during the incubation period of nucleation by the dissolution of supersaturated Ni atoms in the Si lattice but the strained-Si state returns once the atomic layer epitaxial growth of NiSi2 occurs by consuming the supersaturated Ni.

  8. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  9. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  10. Electron microscopy investigation of gallium oxide micro/nanowire structures synthesized via vapor phase growth.

    PubMed

    Wang, Y; Xu, J; Wang, R M; Yu, D P

    2004-01-01

    Large-scale micro/nanosized Ga(2)O(3) structures were synthesized via a simple vapor p9hase growth method. The morphology of the as-grown structures varied from aligned arrays of smooth nano/microscale wires to composite and complex microdendrites. We present evidence that the formation of the observed structure depends strongly on its position relative to the source materials (the concentration distribution) and on the growth temperature. A growth model is proposed, based on the vapor-solid (VS) mechanism, which can explain the observed morphologies.

  11. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    PubMed

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  12. Numerical modeling study on the epitaxial growth of silicon from dichlorosilane

    NASA Astrophysics Data System (ADS)

    Zaidi, Imama; Jang, Yeon-Ho; Ko, Dong Guk; Im, Ik-Tae

    2018-02-01

    Computer simulations play an important role in determining the optimal design parameters for chemical vapor deposition (CVD) reactors, such as flow rates, positions of the inlet and outlet orifices, and rotational rates, etc. Reliability of the results of these simulations depends on the set of chemical reaction used to represent the process of deposition in the reactor. Aim of the present work is to validate the simple empirical reaction to model the epitaxial growth of silicon for a Dichlorosilane-H2 (DCS)-H2 system. Governing equations for continuity, momentum, energy, and reacting species are solved numerically using the finite volume method. The agreement between experimental and predicted growth rates for various DCS flow rates is shown to be satisfactory. The increase in growth rate with the increase in pressure is in accordance with the available data. Based on the validated chemical reaction model, a study was carried out to analyze the uniformity of the silicon layer thickness for two different flow rates in a planetary reactor. It was concluded that, based on the operating conditions, the uniformity of the silicon layer over the wafer is independent of the satellite rotational rate in the reactor.

  13. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  14. A Microstructural Comparison of the Initial Growth of AIN and GaN Layers on Basal Plane Sapphire and SiC Substrates by Low Pressure Metalorganic Chemical Vapor Depositon

    NASA Technical Reports Server (NTRS)

    George, T.; Pike, W. T.; Khan, M. A.; Kuznia, J. N.; Chang-Chien, P.

    1994-01-01

    The initial growth by low pressure metalorganic chemical vapor deposition and subsequent thermal annealing of AIN and GaN epitaxial layers on SiC and sapphire substrates is examined using high resolution transmission electron microscopy and atomic force microscopy.

  15. Epitaxial Growth of Aligned and Continuous Carbon Nanofibers from Carbon Nanotubes.

    PubMed

    Lin, Xiaoyang; Zhao, Wei; Zhou, Wenbin; Liu, Peng; Luo, Shu; Wei, Haoming; Yang, Guangzhi; Yang, Junhe; Cui, Jie; Yu, Richeng; Zhang, Lina; Wang, Jiaping; Li, Qunqing; Zhou, Weiya; Zhao, Weisheng; Fan, Shoushan; Jiang, Kaili

    2017-02-28

    Exploiting the superior properties of nanomaterials at macroscopic scale is a key issue of nanoscience. Different from the integration strategy, "additive synthesis" of macroscopic structures from nanomaterial templates may be a promising choice. In this paper, we report the epitaxial growth of aligned, continuous, and catalyst-free carbon nanofiber thin films from carbon nanotube films. The fabrication process includes thickening of continuous carbon nanotube films by gas-phase pyrolytic carbon deposition and further graphitization of the carbon layer by high-temperature treatment. As-fabricated nanofibers in the film have an "annual ring" cross-section, with a carbon nanotube core and a graphitic periphery, indicating the templated growth mechanism. The absence of a distinct interface between the carbon nanotube template and the graphitic periphery further implies the epitaxial growth mechanism of the fiber. The mechanically robust thin film with tunable fiber diameters from tens of nanometers to several micrometers possesses low density, high electrical conductivity, and high thermal conductivity. Further extension of this fabrication method to enhance carbon nanotube yarns is also demonstrated, resulting in yarns with ∼4-fold increased tensile strength and ∼10-fold increased Young's modulus. The aligned and continuous features of the films together with their outstanding physical and chemical properties would certainly promote the large-scale applications of carbon nanofibers.

  16. Low-cost Engineering of Laser Rods and Slabs with Liquid Phase Epitaxy

    DTIC Science & Technology

    2011-09-01

    SUPPLEMENTARY NOTES 14. ABSTRACT We investigated the use of a liquid phase epitaxial ( LPE ) coating to improve the performance of a rod or slab laser. A...single crystal erbium-doped yttrium aluminum garnet (Er:YAG) rod coated with undoped YAG, and an uncoated sample were procured, then compared on the...the whispering gallery modes, which otherwise would deplete the gain in ~50% of the rod volume. We also investigated LPE growth on a ceramic sample

  17. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-07-01

    The synthesis of a 50 unit cell thick n = 4 Srn+1TinO3n+1 (Sr5Ti4O13) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  18. Layer-by-layer epitaxial growth of defect-engineered strontium cobaltites

    DOE PAGES

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang; ...

    2018-01-18

    Here, control over structure and composition of (ABO 3) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cation’s stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentrationmore » as a function of film thickness. Experimental results are compared to kinetically-limited thermodynamic predictions, in particular, solute trapping, with semi-quantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  19. Layer-by-layer epitaxial growth of defect-engineered strontium cobaltites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Andersen, Tassie K.; Cook, Seyoung; Wan, Gang

    Here, control over structure and composition of (ABO 3) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cation’s stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentrationmore » as a function of film thickness. Experimental results are compared to kinetically-limited thermodynamic predictions, in particular, solute trapping, with semi-quantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.« less

  20. Low-Temperature Silicon Epitaxy by Remote, Plasma - Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Habermehl, Scott Dwight

    The dynamics of low temperature Si homoepitaxial and heteroepitaxial growth, by remote plasma enhanced chemical vapor deposition, RPECVD, have been investigated. For the critical step of pre-deposition surface preparation of Si(100) surfaces, the attributes of remote plasma generated atomic H are compared to results obtained with a rapid thermal desorption, RTD, technique and a hybrid H-plasma/RTD technique. Auger electron spectroscopy, AES, and electron diffraction analysis indicate the hybrid technique to be very effective at surface passivation, while the RTD process promotes the formation of SiC precipitates, which induce defective epitaxial growth. For GaP and GaAs substrates, the use of atomic H exposure is investigated as a surface passivation technique. AES shows this technique to be effective at producing atomically clean surfaces. For processing at 400^circrm C, the GaAs(100) surface is observed to reconstruct to a c(8 x 2)Ga symmetry while, at 530^ circrm C the vicinal GaP(100) surface, miscut 10^circ , is observed to reconstruct to a (1 x n) type symmetry; an unreconstructed (1 x 1) symmetry is observed for GaP(111). Differences in the efficiency with which native oxides are removed from the surface are attributed to variations in the local atomic bonding order of group V oxides. The microstructure of homoepitaxial Si films, deposited at temperatures of 25-450^circ rm C and pressures of 50-500 mTorr, is catalogued. Optimized conditions for the deposition of low defect, single crystal films are identified. The existence of two pressure dependent regimes for process activation are observed. In-situ mass spectral analysis indicates that the plasma afterglow is dominated by monosilane ions below 200 mTorr, while above 200 mTorr, low mass rm H_{x} ^+ (x = 1,2,3) and rm HHe^+ ions dominate. Consideration of the growth rate data indicates that downstream dissociative silane ionization, in the lower pressure regime, is responsible for an enhanced surface H

  1. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  2. Commercial aspects of epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultra vacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds and large ultra vacuum volume (about 100 cu m) without walls. These space ultra vacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials especially in the area of semiconductors for microelectronics use. For such thin film materials there is expected a very large value added from space ultra vacuum processing, and as a result the application of the epitaxial thin film growth technology to space could lead to major commercial efforts in space.

  3. Instrumentation for Epitaxial Growth of Complex Oxides

    DTIC Science & Technology

    2015-12-17

    synthesis of complex oxide heterostructures. A RF oxygen plasma source was acquired to increase the oxidizing ability of the growth environment, an...improvement that will prove critical in stabilizing materials with high oxidization states. The plasma source and accompanying electronics were purchased...2014 14-Aug-2015 Approved for Public Release; Distribution Unlimited Final Report: Instrumentation for Epitaxial Growth of Complex Oxides The views

  4. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  5. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2015-01-06

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  6. Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth

    DOEpatents

    Forrest, Stephen R; Zimmerman, Jeramy; Lee, Kyusang; Shiu, Kuen-Ting

    2013-02-19

    There is disclosed methods of making photosensitive devices, such as flexible photovoltaic (PV) devices, through the use of epitaxial liftoff. Also described herein are methods of preparing flexible PV devices comprising a structure having a growth substrate, wherein the selective etching of protective layers yields a smooth growth substrate that us suitable for reuse.

  7. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  8. Bacterial chemotaxis along vapor-phase gradients of naphthalene.

    PubMed

    Hanzel, Joanna; Harms, Hauke; Wick, Lukas Y

    2010-12-15

    The role of bacterial growth and translocation for the bioremediation of organic contaminants in the vadose zone is poorly understood. Whereas air-filled pores restrict the mobility of bacteria, diffusion of volatile organic compounds in air is more efficient than in water. Past research, however, has focused on chemotactic swimming of bacteria along gradients of water-dissolved chemicals. In this study we tested if and to what extent Pseudomonas putida PpG7 (NAH7) chemotactically reacts to vapor-phase gradients forming above their swimming medium by the volatilization from a spot source of solid naphthalene. The development of an aqueous naphthalene gradient by air-water partitioning was largely suppressed by means of activated carbon in the agar. Surprisingly, strain PpG7 was repelled by vapor-phase naphthalene although the steady state gaseous concentrations were 50-100 times lower than the aqueous concentrations that result in positive chemotaxis of the same strain. It is thus assumed that the efficient gas-phase diffusion resulting in a steady, and possibly toxic, naphthalene flux to the cells controlled the chemotactic reaction rather than the concentration to which the cells were exposed. To our knowledge this is the first demonstration of apparent chemotactic behavior of bacteria in response to vapor-phase effector gradients.

  9. Epitaxial growth of GaN/AlN/InAlN heterostructures for HEMTs in horizontal MOCVD reactors with different designs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.

    2016-09-15

    The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase.more » In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.« less

  10. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  11. HgCdTe liquid phase epitaxy - An overview

    NASA Astrophysics Data System (ADS)

    Castro, C. A.; Korenstein, R.

    1982-08-01

    Techniques and results of using liquid phase epitaxy (LPE) to form crystalline thin HgCdTe films for industrial-scale applications in IR detectors and focal plane arrays are discussed. Varying the mole fraction of CdTe in HgCdTe is noted to permit control of the bandwidth. LPE-grown films are noted to have a low carrier concentration, on the order of 4 x 10 to the 14th to 5 x 10 to the 15th/cu cm, a good surface morphology and be amenable to production scale-up. Details of the isothermal, equilibrium cooling, and supersaturation cooling LPE growth modes are reviewed, noting the necessity of developing a reliable method for determining the liquidus temperature for all modes to maintain uniformity of film growth from batch to batch. Mechanical steps can be either dipping the substrate into the melt or the slider boat approach, which is used in the production of compound semiconductors.

  12. Seeded Physical Vapor Transport of Cadmium-Zinc Telluride Crystals: Growth and Characterization

    NASA Technical Reports Server (NTRS)

    Palosz, W.; George, M. A.; Collins, E. E.; Chen, K.-T.; Zhang, Y.; Burger, A.

    1997-01-01

    Crystals of Cd(1-x)Zn(x)Te with x = 0.2 and 40 g in weight were grown on monocrystalline cadmium-zinc telluride seeds by closed-ampoule physical vapor transport with or without excess (Cd + Zn) in the vapor phase. Two post-growth cool-down rates were used. The crystals were characterized using low temperature photoluminescence, atomic force microscopy, chemical etching, X-ray diffraction and electrical measurements. No formation of a second, ZnTe-rich phase was observed.

  13. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  14. Influence of mass diffusion on the stability of thermophoretic growth of a solid from the vapor phase

    NASA Technical Reports Server (NTRS)

    Castillo, J. L.; Garcia-Ybarra, P. L.; Rosner, D. E.

    1991-01-01

    The stability of solid planar growth from a binary vapor phase with a condensing species dilute in a carrier gas is examined when the ratio of depositing to carrier species molecular mass is large and the main diffusive transport mechanism is thermal diffusion. It is shown that a deformation of the solid-gas interface induces a deformation of the gas phase isotherms that increases the thermal gradients and thereby the local mass deposition rate at the crests and reduces them at the valleys. The initial surface deformation is enhanced by the modified deposition rates in the absence of appreciable Fick/Brownian diffusion and interfacial energy effects.

  15. Growth of metal-organic framework HKUST-1 in capillary using liquid-phase epitaxy for open-tubular capillary electrochromatography and capillary liquid chromatography.

    PubMed

    Bao, Tao; Zhang, Juan; Zhang, Wenpeng; Chen, Zilin

    2015-02-13

    Much attention is being paid to applying metal-organic frameworks (MOFs) as stationary phases in chromatography because of their fascinating properties, such as large surface-to-volume ratios, high levels of porosity, and selective adsorption. HKUST-1 is one of the best-studied face-centered-cubic MOF containing nano-sized channels and side pockets for film growth. However, growth of HKUST-1 framework inside capillary column as stationary phase for capillary electrochromatography is a challenge work. In this work, we carry out the growth of HKUST-1 on the inner wall of capillary by using liquid-phase epitaxy process at room temperature. The fabricated HKUST-1@capillary can be successfully used for the separation of substituted benzene including methylbenzene, ethylbenzene, styrene, chlorobenzene, bromobenzene, o-dichlorobenzene, benzene series, phenolic acids, and benzoic acids derivates. High column efficiency of 1.5×10(5) N/m for methylbenzene was achieved. The formation of HKUST-1 grown in the capillary was confirmed and characterized by scanning electron microscopy images, Fourier transform infrared spectra and X-ray diffraction. The column showed long lifetime and excellent stability. The relative standard deviations for intra-day and inter-day repeatability of the HKUST-1@capillary were lower than 7%. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. Influences of misfit strains on liquid phase heteroepitaxial growth

    NASA Astrophysics Data System (ADS)

    Lu, Yanli; Peng, Yingying; Yu, Genggeng; Chen, Zheng

    2017-10-01

    Influences of misfit strains with different signs on liquid phase heteroepitaxial growth are studied by binary phase field crystal model. It is amazing to find that double islands are formed because of lateral and vertical separation. The morphological evolution of epitaxial layer depends on signs of misfit strains. The maximum atomic layer thickness of double islands under negative misfit strain is larger than that of under positive misfit strain at the same evolutional time, and size differences between light and dark islands is much smaller under negative misfit strain than that of under positive misfit strain. In addition, concentration field and density field approximately have similar variational law along x direction under the same misfit strain but show opposite variational trend under misfit strains with different signs. Generally, free energy of epitaxial growth systems keeps similar variational trend under misfit strains with different signs.

  17. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  18. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried outmore » over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.« less

  19. Molecular beam epitaxy growth and magnetic properties of Cr-Co-Ga Heusler alloy films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feng, Wuwei, E-mail: wfeng@cugb.edu.cn; Wang, Weihua; Zhao, Chenglong

    2015-11-15

    We have re-investigated growth and magnetic properties of Cr{sub 2}CoGa films using molecular beam epitaxy technique. Phase separation and precipitate formation were observed experimentally again in agreement with observation of multiple phases separation in sputtered Cr{sub 2}CoGa films by M. Meinert et al. However, significant phase separation could be suppressed by proper control of growth conditions. We showed that Cr{sub 2}CoGa Heusler phase, rather than Co{sub 2}CrGa phase, constitutes the majority of the sample grown on GaAs(001) at 450 {sup o}C. The measured small spin moment of Cr{sub 2}CoGa is in agreement with predicted HM-FCF nature; however, its Curie temperaturemore » is not as high as expected from the theoretical prediction probably due to the off-stoichiometry of Cr{sub 2}CoGa and the existence of the disorders and phase separation.« less

  20. Epitaxial growth mechanisms of graphene and effects of substrates

    NASA Astrophysics Data System (ADS)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  1. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  2. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  3. Material growth and characterization for solid state devices

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali; Iyer, Shanthi

    1988-01-01

    During the period of this research grant, the process of liquid phase electroepitaxy (LPEE) was used to grow ternary and quaternary alloy III-V semiconductor thin films. Selective area growth of InGaAs was performed on InP substrates using a patterned sputtered quartz or spin-on glass layer. The etch back and growth characteristics with respect to substrate orientation were investigated. The etch back behavior is somewhat different from wet chemical etching with respect to the sidewall profiles which are observed. LPEE was also employed to grow epitaxial layers of InGaAsP alloys on InP substrates. The behavior of Mn as an acceptor dopant was investigated with low temperature Hall coefficient and photoluminescence measurements. A metal-organic vapor phase epitaxy system was partially complete within the grant period. This atmospheric pressure system will be used to deposit III-V compound and alloy semiconductor layers in future research efforts.

  4. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  5. Crystal Growth by Physical Vapor Transport: Experiments and Simulation Dynamics

    NASA Technical Reports Server (NTRS)

    Ramachandran, N.; Worlikar, A.; Su, Ching-Hua; Rose, M. Franklin (Technical Monitor)

    2001-01-01

    Crystal growth from the vapor phase has various advantages over melt growth. The main advantage is from a lower processing temperature, which makes the process more amenable in instances where the melting temperature of the crystal is high. Other benefits stem from the inherent purification mechanism in the process due to differences in the vapor pressures of the native elements and impurities, and the enhanced interfacial morphological stability during the growth process. Further, the implementation of PVT growth in closed ampoules affords experimental simplicity with minimal needs for complex process control, which makes it an ideal candidate for space investigations in systems where gravity tends to have undesirable effects on the growth process. Bulk growth of wide band gap II-VI semiconductors by PVT has been developed and refined over the past several years at NASA MSFC. A new modeling approach for PVT has also been recently formulated and its validation and testing is the main objective of this work.

  6. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  7. Silicon spikes and impurity accumulation at interrupted growth interfaces during molecular-beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SpringThorpe, A.J.; Moore, W.T.; Majeed, A.

    1993-07-01

    Recent proposals by Wood and Wilson, to explain the formation of impurity spikes at substrate/epitaxial layer interfaces in GaAs prepared by molecular-beam epitaxy (MBE), have been experimentally investigated. Their suggestion that the spikes form due to suboxide transport via reactions that involve the As{sub 2}O{sub 3} released from the substrate during oxide desorption and hot Knudsen cells, is not supported by the experimental data. The same authors have also speculated that there may be significant flux leakage from nominally closed cells. For this to occur, reflection and scattering of flux by inadequately cooled cryoshroud baffle surfaces are necessary. Secondary ionmore » mass spectrometry analyses of interfaces, at which the growth of GaAs and AlAs was interrupted for times up to 30 min, confirm that this takes place. However, flux leakage is only found to be significant for the high vapor pressure group III elements. For these elements, incorporation levels in the range 0.02%-0.1% are found under normal deposition conditions. These results suggest that careful attention should be given to increasing the internal MBE system baffling in order to eliminate cross contamination problems. 14 refs., 2 figs., 1 tab.« less

  8. GROWTH AND CHARACTERIZATION OF SINGLE CRYSTALS OF RARE EARTH COMPOUNDS.

    DTIC Science & Technology

    SINGLE CRYSTALS, CRYSTAL GROWTH), (*CRYSTAL GROWTH, SINGLE CRYSTALS), (*RARE EARTH COMPOUNDS, SINGLE CRYSTALS), EPITAXIAL GROWTH, SODIUM COMPOUNDS, CHLORIDES, VAPOR PLATING, ELECTROSTATIC FIELDS, ENERGY, ATOMIC PROPERTIES , BONDING

  9. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  10. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    NASA Astrophysics Data System (ADS)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  11. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  12. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  13. A new model for in situ nitrogen incorporation into 4H-SiC during epitaxy

    PubMed Central

    Ferro, Gabriel; Chaussende, Didier

    2017-01-01

    Nitrogen doping of 4H-SiC during vapor phase epitaxy is still lacking of a general model explaining the apparently contradictory trends obtained by different teams. In this paper, the evolutions of nitrogen incorporation (on both polar Si and C faces) as a function of the main growth parameters (C/Si ratio, temperature, pressure and growth rate) are reviewed and explained using a model based on surface exchanges between the gas phase and the uppermost 4H-SiC atomic layers. In this model, N incorporation is driven mainly by the transient formation of C vacancies, due to H2 etching, at the surface or near the surface. It is shown that all the growth parameters are influencing the probability of C vacancies formation in a similar manner as they do for N incorporation. The surface exchange model proposes a new framework for explaining the experimental results even beyond the commonly accepted reactor type dependency. PMID:28211528

  14. Accelerated GaAs growth through MOVPE for low-cost PV applications

    NASA Astrophysics Data System (ADS)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  15. A Preliminary Study on the Vapor/Mist Phase Lubrication of a Spur Gearbox

    NASA Technical Reports Server (NTRS)

    Morales, Wilfredo; Handschuh, Robert F.

    1999-01-01

    Organophosphates have been the primary compounds used in vapor/mist phase lubrication studies involving ferrous bearing material. Experimental results have indicated that the initial formation of an iron phosphate film on a rubbing ferrous surface, followed by the growth (by cationic diffusion) of a lubricious pyrophosphate-type coating over the iron phosphate, is the reason organophosphates work well as vapor/mist phase lubricants. Recent work, however, has shown that this mechanism leads to the depletion of surface iron atoms and to eventual lubrication failure. A new organophosphate formulation was developed which circumvents surface iron depletion. This formulation was tested by generating an iron phosphate coating on an aluminum surface. The new formulation was then used to vapor/mist phase lubricate a spur gearbox in a preliminary study.

  16. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  17. van der Waals epitaxy of CdTe thin film on graphene

    NASA Astrophysics Data System (ADS)

    Mohanty, Dibyajyoti; Xie, Weiyu; Wang, Yiping; Lu, Zonghuan; Shi, Jian; Zhang, Shengbai; Wang, Gwo-Ching; Lu, Toh-Ming; Bhat, Ishwara B.

    2016-10-01

    van der Waals epitaxy (vdWE) facilitates the epitaxial growth of materials having a large lattice mismatch with the substrate. Although vdWE of two-dimensional (2D) materials on 2D materials have been extensively studied, the vdWE for three-dimensional (3D) materials on 2D substrates remains a challenge. It is perceived that a 2D substrate passes little information to dictate the 3D growth. In this article, we demonstrated the vdWE growth of the CdTe(111) thin film on a graphene buffered SiO2/Si substrate using metalorganic chemical vapor deposition technique, despite a 46% large lattice mismatch between CdTe and graphene and a symmetry change from cubic to hexagonal. Our CdTe films produce a very narrow X-ray rocking curve, and the X-ray pole figure analysis showed 12 CdTe (111) peaks at a chi angle of 70°. This was attributed to two sets of parallel epitaxy of CdTe on graphene with a 30° relative orientation giving rise to a 12-fold symmetry in the pole figure. First-principles calculations reveal that, despite the relatively small energy differences, the graphene buffer layer does pass epitaxial information to CdTe as the parallel epitaxy, obtained in the experiment, is energetically favored. The work paves a way for the growth of high quality CdTe film on a large area as well as on the amorphous substrates.

  18. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    PubMed

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  19. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1980-02-28

    shaped LPE garnet samples with 31.5um film thickness. We were informed that initial evalu- ation showed acceptably low insertion loss and that the material...frequencies above 25 GHz. c. Furnish up to eight (8) liquid phase epitaxy yttrium iron garnet films to RADC/EEA for testing and evaluation. These tasks...a "Method for Controlling Resonance Frequency of Yttrium Iron Garnet Films ." A patent, "Epitaxial Growth of M-type Hexagonal Ferrite Films on Spinel

  20. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  1. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  2. Growth of InP, InGaAs, and InGaAsP on InP by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Asonen, H.; Rakennus, K.; Tappura, K.; Hovinen, M.; Pessa, M.

    1990-10-01

    Gas-source molecular beam epitaxy (GSMBE), designating the method where the group III beams are derived from the evaporation of solid materials while the group V beams are derived from the high-temperature cracking of AsH 3 and PH 3, is a very promising method. We show in this work that using indium of high purity and optimizing the growth conditions, unintentional impurities in these films prepared by GSMBE can be reduced to a level comparable to that obtained by all-vapor-source chemical beam epitaxy (CBE). The films grown by GSMBE are of very high quality, as deduced from the measurements of electrical, optical, and structural properties. Furthermore, we have found that the alloy composition in InGaAsP for the wavelength λ of 1.1 μm changes significantly in a range of growth temperature from 525 to 530°C, likely due to an abrupt change in the sticking probability of phosphorus. We have also found that the phosphorus-to-gallium flux ratio strongly affects surface morphology of InGaAsP for λ = 1.3 μm.

  3. Thermodynamic analysis of vapor-phase epitaxial growth of GaAsN on Ge

    NASA Astrophysics Data System (ADS)

    Kawano, Jun; Kangawa, Yoshihiro; Ito, Tomonori; Kakimoto, Koichi; Koukitu, Akinori

    2012-03-01

    In this paper, we use thermodynamic analysis to determine how the nitrogen (N) ratio in the source gases affects the solid composition of coherently grown GaAs1-xNx(x˜0.03). The source gases for Ga, As, and N are trimethylgallium ((CH3)3Ga), arsine (AsH3), and ammonia (NH3), respectively. The growth occurs on a Ge substrate, and the analysis includes the stress from the substrate-crystal lattice mismatch. Calculation results indicate that to have just a few percent N incorporation into the grown solid, the V/III ratio in the source gases should be several thousands and the input-gas partial-pressure ratio NH3/(NH3+AsH3) should exceed 0.99. We also find that the lattice mismatch stress from the Ge substrate increases the V/III source-gas ratio required for stable growth, whereas an increase in input Ga partial pressure ratio has the opposite effect.

  4. Surface Stability and Growth Kinetics of Compound Semiconductors: An Ab Initio-Based Approach

    PubMed Central

    Kangawa, Yoshihiro; Akiyama, Toru; Ito, Tomonori; Shiraishi, Kenji; Nakayama, Takashi

    2013-01-01

    We review the surface stability and growth kinetics of III-V and III-nitride semiconductors. The theoretical approach used in these studies is based on ab initio calculations and includes gas-phase free energy. With this method, we can investigate the influence of growth conditions, such as partial pressure and temperature, on the surface stability and growth kinetics. First, we examine the feasibility of this approach by comparing calculated surface phase diagrams of GaAs(001) with experimental results. In addition, the Ga diffusion length on GaAs(001) during molecular beam epitaxy is discussed. Next, this approach is systematically applied to the reconstruction, adsorption and incorporation on various nitride semiconductor surfaces. The calculated results for nitride semiconductor surface reconstructions with polar, nonpolar, and semipolar orientations suggest that adlayer reconstructions generally appear on the polar and the semipolar surfaces. However, the stable ideal surface without adsorption is found on the nonpolar surfaces because the ideal surface satisfies the electron counting rule. Finally, the stability of hydrogen and the incorporation mechanisms of Mg and C during metalorganic vapor phase epitaxy are discussed. PMID:28811438

  5. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  6. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  7. Wafer-Scale and Wrinkle-Free Epitaxial Growth of Single-Orientated Multilayer Hexagonal Boron Nitride on Sapphire.

    PubMed

    Jang, A-Rang; Hong, Seokmo; Hyun, Chohee; Yoon, Seong In; Kim, Gwangwoo; Jeong, Hu Young; Shin, Tae Joo; Park, Sung O; Wong, Kester; Kwak, Sang Kyu; Park, Noejung; Yu, Kwangnam; Choi, Eunjip; Mishchenko, Artem; Withers, Freddie; Novoselov, Kostya S; Lim, Hyunseob; Shin, Hyeon Suk

    2016-05-11

    Large-scale growth of high-quality hexagonal boron nitride has been a challenge in two-dimensional-material-based electronics. Herein, we present wafer-scale and wrinkle-free epitaxial growth of multilayer hexagonal boron nitride on a sapphire substrate by using high-temperature and low-pressure chemical vapor deposition. Microscopic and spectroscopic investigations and theoretical calculations reveal that synthesized hexagonal boron nitride has a single rotational orientation with AA' stacking order. A facile method for transferring hexagonal boron nitride onto other target substrates was developed, which provides the opportunity for using hexagonal boron nitride as a substrate in practical electronic circuits. A graphene field effect transistor fabricated on our hexagonal boron nitride sheets shows clear quantum oscillation and highly improved carrier mobility because the ultraflatness of the hexagonal boron nitride surface can reduce the substrate-induced degradation of the carrier mobility of two-dimensional materials.

  8. Epitaxial growth of γ-InSe and α, β, and γ-In2Se3 on ε-GaSe

    NASA Astrophysics Data System (ADS)

    Balakrishnan, Nilanthy; Steer, Elisabeth D.; Smith, Emily F.; Kudrynskyi, Zakhar R.; Kovalyuk, Zakhar D.; Eaves, Laurence; Patanè, Amalia; Beton, Peter H.

    2018-07-01

    We demonstrate that γ-InSe and the α, β and γ phases of In2Se3 can be grown epitaxially on ε-GaSe substrates using a physical vapour transport method. By exploiting the temperature gradient within the tube furnace, we can grow selectively different phases of InxSey depending on the position of the substrate within the furnace. The uniform cleaved surface of ε-GaSe enables the epitaxial growth of the InxSey layers, which are aligned over large areas. The InxSey epilayers are characterised using Raman, photoluminescence, x-ray photoelectron and electron dispersive x-ray spectroscopies. Each InxSey phase and stoichiometry exhibits distinct optical and vibrational properties, providing a tuneable photoluminescence emission range from 1.3 eV to ~2 eV suitable for exploitation in electronics and optoelectronics.

  9. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jie; Morrow, Darien J.; Fu, Yongping

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  10. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE PAGES

    Chen, Jie; Morrow, Darien J.; Fu, Yongping; ...

    2017-09-05

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  11. Vapor crystal growth technology development: Application to cadmium telluride

    NASA Technical Reports Server (NTRS)

    Rosenberger, Franz; Banish, Michael; Duval, Walter M. B.

    1991-01-01

    Growth of bulk crystals by physical vapor transport was developed and applied to cadmium telluride. The technology makes use of effusive ampoules, in which part of the vapor contents escapes to a vacuum shroud through defined leaks during the growth process. This approach has the advantage over traditional sealed ampoule techniques that impurity vapors and excess vapor constituents are continuously removed from the vicinity of the growing crystal. Thus, growth rates are obtained routinely at magnitudes that are rather difficult to achieve in closed ampoules. Other advantages of this effusive ampoule physical vapor transport (EAPVT) technique include the predetermination of transport rates based on simple fluid dynamics and engineering considerations, and the growth of the crystal from close to congruent vapors, which largely alleviates the compositional nonuniformities resulting from buoyancy driven convective transport. After concisely reviewing earlier work on improving transport rates, nucleation control, and minimization of crystal wall interactions in vapor crystal growth, a detail account is given of the largely computer controlled EAPVT experimentation.

  12. Columnar and subsurface silicide growth with novel molecular beam epitaxy techniques

    NASA Technical Reports Server (NTRS)

    Fathauer, R. W.; George, T.; Pike, W. T.

    1992-01-01

    We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800 C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.

  13. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    PubMed

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  14. Epitaxial stabilization and phase instability of VO2 polymorphs

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  15. Epitaxial stabilization and phase instability of VO2 polymorphs.

    PubMed

    Lee, Shinbuhm; Ivanov, Ilia N; Keum, Jong K; Lee, Ho Nyung

    2016-01-20

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  16. Epitaxial stabilization and phase instability of VO2 polymorphs

    PubMed Central

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices. PMID:26787259

  17. Epitaxial stabilization and phase instability of VO 2 polymorphs

    DOE PAGES

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; ...

    2016-01-20

    The VO 2 polymorphs, i.e., VO 2(A), VO 2(B), VO 2(M1) and VO 2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO 2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO 2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on variousmore » perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO 2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. In conclusion, our successful epitaxy of both VO 2(A) and VO 2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO 2 polymorphs for potential applications in advanced electronic and energy devices.« less

  18. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  19. Plasma-assisted MBE growth kinetics and characterization studies of wide bandgap III-V epitaxial materials

    NASA Astrophysics Data System (ADS)

    O'Steen, Mark Lee

    2000-10-01

    Scope and method of study. The purpose of this research was to understand the physics of RF plasma-assisted molecular beam epitaxial growth of GaN epitaxial films and InGaN/GaN superlattice structures grown on Al2O3 (0001) substrates. The techniques used to characterize the RF-MBE grown samples include in situ reflection high energy electron diffraction (RHEED) and optical pyrometry, and ex situ spatially-resolved high resolution X-ray diffraction, spatially-resolved reflectance spectroscopy, atomic force microscopy, and low-temperature photoluminescence (PL) spectroscopy. Findings and conclusions. RF plasma-assisted molecular beam epitaxy (RF-MBE) has been used to grow GaN epitaxial films and InGaN/GaN superlattice structures. The most important growth parameters in the growth of GaN epitaxial films were identified as the substrate temperature, incident N*/Ga flux ratio, and GaN growth rate. The effect of these growth parameters on GaN growth and quality of GaN epitaxial films is discussed. Additionally, an interpretation of the effects of growth conditions on the underlying microscopic growth processes occurring is presented. All of the observed GaN growth results may be understood in terms of these microscopic growth processes. InGaN/GaN superlattice samples are grown to identify and quantitatively access the InGaN growth phenomenology. It is inferred that InN requires a higher N*/III flux ratio than does GaN for stoichiometric growth. At substrate temperatures below 590°C, the In composition of the superlattice samples is nominally constant. However, in the narrow temperature range 590--670°C, the In composition decreases by more than an order-or-magnitude at the lowest N*/III flux ratio of this study. Additionally, the incident N*/III flux ratio is found to strongly influence the In composition as well. Nearly an order-of-magnitude increase in In composition is observed despite only a 20% increase in the N*/III flux ratio at the highest temperature of this

  20. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  1. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  2. Tracing Water Vapor and Ice During Dust Growth

    NASA Astrophysics Data System (ADS)

    Krijt, Sebastiaan; Ciesla, Fred J.; Bergin, Edwin A.

    2016-12-01

    The processes that govern the evolution of dust and water (in the form of vapor or ice) in protoplanetary disks are intimately connected. We have developed a model that simulates dust coagulation, dust dynamics (settling, turbulent mixing), vapor diffusion, and condensation/sublimation of volatiles onto grains in a vertical column of a protoplanetary disk. We employ the model to study how dust growth and dynamics influence the vertical distribution of water vapor and water ice in the region just outside the radial snowline. Our main finding is that coagulation (boosted by the enhanced stickiness of icy grains) and the ensuing vertical settling of solids results in water vapor being depleted, but not totally removed, from the region above the snowline on a timescale commensurate with the vertical turbulent mixing timescale. Depending on the strength of the turbulence and the temperature, the depletion can reach factors of up to ˜50 in the disk atmosphere. In our isothermal column, this vapor depletion results in the vertical snowline moving closer to the midplane (by up to 2 gas scale heights) and the gas-phase {{C}}/{{O}} ratio above the vertical snowline increasing. Our findings illustrate the importance of dynamical effects and the need for understanding coevolutionary dynamics of gas and solids in planet-forming environments.

  3. Growth of InN on Ge substrate by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Trybus, Elaissa; Namkoong, Gon; Henderson, Walter; Doolittle, W. Alan; Liu, Rong; Mei, Jin; Ponce, Fernando; Cheung, Maurice; Chen, Fei; Furis, Madalina; Cartwright, Alexander

    2005-06-01

    InN epitaxial growth on a (1 1 1)-oriented, Ga-doped germanium substrate using molecular beam epitaxy is described. X-ray diffraction and transmission electron microscopy investigations have shown that the InN epitaxial layer consists of a wurtzite structure, which has the epitaxial relationship of (0 0 0 1) InN∥(1 1 1) Ge. Transmission electron microscopy shows an intermediate layer at the interface between the InN/Ge substrate. Consistent with recent reports implying a narrow bandgap of InN [Phys. Stat Sol. B 229 (2002) R1, Appl. Phys. Lett. 80 (2002) 3967], a strong photoluminescence with peak energy of 0.69 eV at 15 K was observed for this InN epilayer, in contrast to the peak energy of 0.71 eV for Ga-doped Ge under the same measurement conditions.

  4. Epitaxial bain paths and metastable phases of tetragonal iron and manganese

    NASA Astrophysics Data System (ADS)

    Ma, Hong

    2002-04-01

    Epitaxial Bain paths and metastable states of tetragonal Fe and Mn have been studied by first-principles total-energy calculations using the full-potential linearized-augmented-plane-wave method. The main accomplishments are as follows. (1) We have performed the first ever EBP calculation of tetragonal antiferromagnetic (AF) Mn showing that when grown epitaxially on Pd(001), the AF Mn film is strained gamma-Mn, but grown on V(001) the film is strained delta-Mn, which could not be determined using the available crystallographic and elastic data because they were obtained from unstrained states. (2) We have calculated the EBP's of Fe at zero pressure in four magnetic phases, i.e., ferromagnetic (FM), nonmagnetic (NM), type-I antiferromagnetic (AF1), and type-II antiferromagnetic (AF2), which show that the AF2 is the phase of the bulk of epitaxial Fe films on Cu(001) and it is unstable for [110] and [010] shears in the (001) plane, but it can be stabilized by epitaxy on Cu(001). (3)We have unified and simplified the theory of elasticity under hydrostatic pressure p at zero temperature using the Gibbs free energy G, rather than the energy E. The minima of G, but not E, with respect to strains at the equilibrium structure give the zero temperature elastic constants; the stability of a phase at p is then determined by the same Born stability conditions used at p = 0 when applied to the elastic constants from G. The EBP's of FM Fe under hydrostatic pressure show that the bcc phase exists up to 1500 kbar. A bct phase is shown to come into existence at 1300 kbar and becomes stable at 1825 kbar and above. (4) Based on this dissertation research five papers have been published in refereed journals.

  5. Strain-induced modification of magnetic structure and new magnetic phases in rare-earth epitaxial films

    NASA Astrophysics Data System (ADS)

    Dufour, C.; Dumesnil, K.; Mangin, Ph

    2006-07-01

    Rare earths exhibit complex magnetic phase diagrams resulting from the competition between various contributions to the magnetic energy: exchange, anisotropy and magnetostriction. The epitaxy of a rare-earth film on a substrate induces (i) a clamping to the substrate and (ii) pseudomorphic strains. Both these effects are shown to lead to modifications of the magnetic properties in (0 0 1)Dy, (0 0 1)Tb and (1 1 0)Eu films. In Dy and Tb films, spectacular variations of the Curie temperature have been evidenced. Additionally, Tb films exhibit a new large wavelength magnetic modulation. In Eu films, one of the helical magnetic domains disappears at low temperature whereas the propagation vectors of the other helices are tilted. The link between structural and magnetic properties is underlined via magnetoelastic models. Moreover, molecular beam epitaxy permits the growth of Sm in a metastable dhcp phase. The magnetic structure of dhcp Sm has been elucidated for the first time. In this review, neutron scattering is shown to be a powerful technique to reveal the magnetic structures of rare-earth films.

  6. Highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Hertkorn, J.; Thapa, S. B.; Wunderer, T.; Scholz, F.; Wu, Z. H.; Wei, Q. Y.; Ponce, F. A.; Moram, M. A.; Humphreys, C. J.; Vierheilig, C.; Schwarz, U. T.

    2009-07-01

    In this study, we present theoretical and experimental results regarding highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures. Based on simulation results, several multiheterostructures were grown by metalorganic vapor phase epitaxy. Using high resolution x-ray diffraction and x-ray reflectometry, the abruptness of the AlGaN/AlN/GaN interfaces could be determined. Using electron holography, the energetic profile of the valence band could be measured, yielding important information about the vertical carrier transport in such multiheterostructures. The electrical properties of the samples were investigated by measuring the lateral (σL) and vertical (σV) conductivity, respectively. The free hole concentration of a sample optimized in terms of lateral conductivity was measured to be 1.2×1019 cm-3 (295 K) with a mobility of 7 cm2/V s, yielding a record σL of 13.7 (Ω cm)-1. Low temperature Hall measurements (77 K) proved the existence of a two-dimensional hole gas at the AlN/GaN interface, as the lateral conductivity could be increased to 30 (Ω cm)-1 and no carrier freeze out was observable. By substituting the p-GaN layer in a light emitting diode (LED) with an AlGaN/GaN multiheterostructure, the overall voltage drop could be reduced by more than 100 mV (j =65 A/cm2). Furthermore improved current spreading on the p-side of LEDs with integrated AlGaN/AlN/GaN multiheterostructures could be proved by μ-electroluminescence, respectively.

  7. Epitaxial growth and photoluminescence of hexagonal CdS 1- xSe x alloy films

    NASA Astrophysics Data System (ADS)

    Grün, M.; Gerlach, H.; Breitkopf, Th.; Hetterich, M.; Reznitsky, A.; Kalt, H.; Klingshirn, C.

    1995-01-01

    CdSSe ternary alloy films were grown on GaAs(111) by hot-wall beam epitaxy. The hexagonal crystal phase is obtained. The composition varies from 0 to 40% selenium. Luminescence spectroscopy at low temperatures shows a dominant effect by alloy disorder. Localization of carriers, for example, is still observed at a pulsed optical excitation density of 6 mJ/cm 2. The overall quality of the CdSSe films is sufficient to use them as buffer layers for the growth of hexagonal superlattices.

  8. High power ultraviolet light emitting diodes based on GaN /AlGaN quantum wells produced by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-11-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN /AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN /AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800×800μm2) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340nm, the measured differential on-series resistance is 3Ω with electroluminescence spectrum full width at half maximum of 18nm. The output power under dc bias saturates at 0.5mW, while under pulsed operation it saturates at approximately 700mA to a value of 3mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350nm were investigated under dc operation and the output power saturates at 4.5mW under 200mA drive current.

  9. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  10. Crystal Growth of ZnSe and Related Ternary Compound Semiconductors by Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Brebrick, R. F.; Dudley, M.; Ramachandran, N.; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    numerical codes, in-situ monitoring during the PVT of HgI2 was designed. Optical absorption spectra of the vapor phase over HgI2 were measured for wavelengths between 200 and 600nm at sample temperatures between 349 and 610K. The Beer's Law constants for 15 wavelengths between 200 and 440 nm were determined. From these constants the vapor pressure of HgI2 was established as a function of temperature for the liquid and the solid Beta-phases To characterize the growth conditions during the PVT growth of In-doped ZnSe the optical absorbance of the vapor phase over the In-Se system were measured and were used to obtain the partial pressures of Se2(g) and In2Se(g).

  11. Vapor Growth of Binary and Ternary Chalcogenides in Preparation for Microgravity Experiments

    NASA Technical Reports Server (NTRS)

    Su, C.; Whitaker, Ann F. (Technical Monitor)

    2001-01-01

    In the bulk crystal growth of some technologically important semiconducting chalcopyrites, such as ZnTe, CdS, ZnSe and ZnS, vapor growth techniques have significant advantages over melt growth techniques due to the high melting points of these materials. The realization of routine production of high-quality single crystals of these semiconductors requires a fundamental, systematic and in-depth study on the PVT growth process and crystal growth by vapor transport in low gravity offers a set of unique conditions for this study. Previously, two reasons have been put forward to account for this. The first is weight-related reductions in crystal strain and defects. These are thought to be caused by the weight of the crystals during processing at elevated temperatures and retained on cooling, particularly for materials with a low yield strength. The second, and more general, reason is related to the reduction in density-gradient driven convection. The PVT crystal growth process consists of essentially three processes: sublimation of the source material, transport of the vapor species and condensation of the vapor species to form the crystal. The latter two processes can be affected by the convection caused by gravitational accelerations on Earth. Reductions in such convection in low gravity is expected to yield a nearly diffusion-limited growth condition which results in more uniform growth rates (on the microscopic scale) and hence greater crystalline perfection and compositional homogeneity. The reduction of convective contamination by performing flight experiments in a reduced gravity environment will help to understand the relation between fluid phase processes (growth parameters) and defect and impurity incorporation in grown crystals.

  12. Electrochemical Liquid Phase Epitaxy (ec-LPE): A New Methodology for the Synthesis of Crystalline Group IV Semiconductor Epifilms.

    PubMed

    Demuth, Joshua; Fahrenkrug, Eli; Ma, Luyao; Shodiya, Titilayo; Deitz, Julia I; Grassman, Tyler J; Maldonado, Stephen

    2017-05-24

    Deposition of epitaxial germanium (Ge) thin films on silicon (Si) wafers has been achieved over large areas with aqueous feedstock solutions using electrochemical liquid phase epitaxy (ec-LPE) at low temperatures (T ≤ 90 °C). The ec-LPE method uniquely blends the simplicity and control of traditional electrodeposition with the material quality of melt growth. A new electrochemical cell design based on the compression of a liquid metal electrode into a thin cavity that enables ec-LPE is described. The epitaxial nature, low strain character, and crystallographic defect content of the resultant solid Ge films were analyzed by electron backscatter diffraction, scanning transmission electron microscopy, high resolution X-ray diffraction, and electron channeling contrast imaging. The results here show the first step toward a manufacturing infrastructure for traditional crystalline inorganic semiconductor epifilms that does not require high temperature, gaseous precursors, or complex apparatus.

  13. Epitaxial growth of highly strained antimonene on Ag(111)

    NASA Astrophysics Data System (ADS)

    Mao, Ya-Hui; Zhang, Li-Fu; Wang, Hui-Li; Shan, Huan; Zhai, Xiao-Fang; Hu, Zhen-Peng; Zhao, Ai-Di; Wang, Bing

    2018-06-01

    The synthesis of antimonene, which is a promising group-V 2D material for both fundamental studies and technological applications, remains highly challenging. Thus far, it has been synthesized only by exfoliation or growth on a few substrates. In this study, we show that thin layers of antimonene can be grown on Ag(111) by molecular beam epitaxy. High-resolution scanning tunneling microscopy combined with theoretical calculations revealed that the submonolayer Sb deposited on a Ag(111) surface forms a layer of AgSb2 surface alloy upon annealing. Further deposition of Sb on the AgSb2 surface alloy causes an epitaxial layer of Sb to form, which is identified as antimonene with a buckled honeycomb structure. More interestingly, the lattice constant of the epitaxial antimonene (5 Å) is much larger than that of freestanding antimonene, indicating a high tensile strain of more than 20%. This kind of large strain is expected to make the antimonene a highly promising candidate for roomtemperature quantum spin Hall material.

  14. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  15. Growth and melting of droplets in cold vapors.

    PubMed

    L'Hermite, Jean-Marc

    2009-11-01

    A model has been developed to investigate the growth of droplets in a supersaturated cold vapor taking into account their possible solid-liquid phase transition. It is shown that the solid-liquid phase transition is nontrivially coupled, through the energy released in attachment, to the nucleation process. The model is based on the one developed by J. Feder, K. C. Russell, J. Lothe, and G. M. Pound [Adv. Phys. 15, 111 (1966)], where the nucleation process is described as a thermal diffusion motion in a two-dimensional field of force given by the derivatives of a free-energy surface. The additional dimension accounts for droplets internal energy. The solid-liquid phase transition is introduced through a bimodal internal energy distribution in a Gaussian approximation derived from small clusters physics. The coupling between nucleation and melting results in specific nonequilibrium thermodynamical properties, exemplified in the case of water droplets. Analyzing the free-energy landscapes gives an insight into the nucleation dynamics. This landscape can be complex but generally exhibits two paths: the first one can generally be ascribed to the solid state, while the other to the liquid state. Especially at high supersaturation, the growth in the liquid state is often favored, which is not unexpected since in a supersaturated vapor the droplets can stand higher internal energy than at equilibrium. From a given critical temperature that is noticeably lower than the bulk melting temperature, nucleation may end in very large liquid droplets. These features can be qualitatively generalized to systems other than water.

  16. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  17. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  18. The use of heterogeneous and epitaxial nucleants to promote the growth of protein crystals

    NASA Technical Reports Server (NTRS)

    Mcpherson, Alexander; Shlichta, P.

    1988-01-01

    Fifty different mineral samples were tested as potential heterogeneous or epitaxial nucleants for four commonly crystallized proteins. It was found, using conventional protein crystallization techniques, that for each protein there was a set of mineral substrates that promoted nucleation of crystals at lower critical levels of supersaturation than required for spontaneous growth. In at least one case, the growth of lysozyme on the mineral apophyllite, it was shown by lattice analysis and X-ray diffraction that the nucleation and growth of the protein crystal on the mineral was likely to be truly epitaxial.

  19. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  20. Condensed phase conversion and growth of nanorods instead of from vapor

    DOEpatents

    Geohegan, David B.; Seals, Roland D.; Puretzky, Alex A.; Fan, Xudong

    2005-08-02

    Compositions, systems and methods are described for condensed phase conversion and growth of nanorods and other materials. A method includes providing a condensed phase matrix material; and activating the condensed phase matrix material to produce a plurality of nanorods by condensed phase conversion and growth from the condensed chase matrix material instead of from vacor. The compositions are very strong. The compositions and methods provide advantages because they allow (1) formation rates of nanostructures necessary for reasonable production rates, and (2) the near net shaped production of component structures.

  1. High nitrogen pressure solution growth of GaN

    NASA Astrophysics Data System (ADS)

    Bockowski, Michal

    2014-10-01

    Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.

  2. Method for forming single phase, single crystalline 2122 BCSCO superconductor thin films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal (Inventor); Solayappan, Narayanan (Inventor)

    1994-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83 K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  3. Growth and Characterization of Epitaxial Piezoelectric and Semiconductor Films.

    DTIC Science & Technology

    1980-07-01

    quality epitaxial films at low growth rates. This process is limited to films up to a few microns thickness. The aluminum chloride/ ammonia CVD process has... scrubber through a rotary Vacuum pump maintaining Reactions.-DEZ is an electron deficient compound a pressure of about 400 Torr inside the reaction chain

  4. Numerical Modeling of Physical Vapor Transport in Contactless Crystal Growth Geometry

    NASA Technical Reports Server (NTRS)

    Palosz, W.; Lowry, S.; Krishnam, A.; Przekwas, A.; Grasza, K.

    1998-01-01

    Growth from the vapor under conditions of limited contact with the walls of the growth ampoule is beneficial for the quality of the growing crystal due to reduced stress and contamination which may be caused by interactions with the growth container. The technique may be of a particular interest for studies on crystal growth under microgravity conditions: elimination of some factors affecting the crystal quality may make interpretation of space-conducted processes more conclusive and meaningful. For that reason, and as a part of our continuing studies on 'contactless' growth technique, we have developed a computational model of crystal growth process in such system. The theoretical model was built, and simulations were performed using the commercial computational fluid dynamics code, (CFD) ACE. The code uses an implicit finite volume formulation with a gray discrete ordinate method radiation model which accounts for the diffuse absorption and reflection of radiation throughout the furnace. The three-dimensional model computes the heat transfer through the crystal, quartz, and gas both inside and outside the ampoule, and mass transport from the source to the crystal and the sink. The heat transport mechanisms by conduction, natural convection, and radiation, and mass transport by diffusion and convection are modeled simultaneously and include the heat of the phase transition at the solid-vapor interfaces. As the thermal boundary condition, temperature profile along the walls of the furnace is used. For different thermal profiles and furnace and ampoule dimensions, the crystal growth rate and development of the crystal-vapor and source-vapor interfaces (change of the interface shape and location with time) are obtained. Super/under-saturation in the ampoule is determined and critical factors determining the 'contactless' growth conditions are identified and discussed. The relative importance of the ampoule dimensions and geometry, the furnace dimensions and its

  5. Morphological stability and kinetics in crystal growth from vapors

    NASA Technical Reports Server (NTRS)

    Rosenberger, Franz

    1990-01-01

    The following topics are discussed: (1) microscopy image storage and processing system; (2) growth kinetics and morphology study with carbon tetrabromide; (3) photothermal deflection vapor growth setup; (4) bridgman growth of iodine single crystals; (5) vapor concentration distribution measurement during growth; and (6) Monte Carlo modeling of anisotropic growth kinetics and morphology. A collection of presentations and publications of these results are presented.

  6. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lacotte, M.; David, A.; Pravarthana, D.

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew inmore » a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.« less

  7. Stages in molecular beam epitaxy growth of GaAs nanowires studied by x-ray diffraction.

    PubMed

    Mariager, Simon O; Lauridsen, Søren L; Sørensen, Claus B; Dohn, Asmus; Willmott, Phillip R; Nygård, Jesper; Feidenhans'l, Robert

    2010-03-19

    GaAs nanowires were grown by molecular beam epitaxy and studied by glancing-angle x-ray diffraction during five different stages of the growth process. An entire forest of randomly positioned epitaxial nanowires was sampled simultaneously and a large variation in the Au-Ga catalyst was found. Au, AuGa, AuGa(2) and the hexagonal beta phase were all identified in several orientations and in similar amounts. The nanowires are shown to consist of regular zinc blende crystal, its twin and the hexagonal wurtzite. The evolution of the various Au-Ga catalysts and the development in the twin to the wurtzite abundance ratio indicate that the Au catalyst is saturated upon initiation of growth leading to an increased amount of wurtzite structure in the wires. A specular x-ray scan identifies the various Au-Ga alloys, three Au lattice constants and a rough interface between nanowires and catalyst. Reciprocal space maps were obtained around Au Bragg points and show the development of the Au catalyst from a distribution largely oriented with respect to the lattice to a non-uniform distribution with several well-defined lattice constants.

  8. Effect of doping on the forward current-transport mechanisms in a metal-insulator-semiconductor contact to INP:ZN grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Cova, P.; Singh, A.; Medina, A.; Masut, R. A.

    1998-04-01

    A detailed study of the effect of doping density on current transport was undertaken in Au metal-insulator-semiconductor (MIS) contacts fabricated on Zn-doped InP layers grown by metal organic vapor phase epitaxy. A recently developed method was used for the simultaneous analysis of the current-voltage ( I- V) and capacitance-voltage ( C- V) characteristics in an epitaxial MIS diode which brings out the contributions of different current-transport mechanisms to the total current. I- V and high-frequency C- V measurements were performed on two MIS diodes at different temperatures in the range 220-395 K. The barrier height at zero bias of Au/InP:Zn MIS diodes, φ0 (1.06 V±10%), was independent both of the Zn-doping density and of the surface preparation. The interface state density distribution Nss as well as the thickness of the oxide layer (2.2±15% nm) unintentionally grown before Au deposition were independent of the Zn-doping concentration in the range 10 16< NA<10 17 cm -3; not so the effective potential barrier χ of the insulator layer and the density of the mid-gap traps. χ was much lower for the highly-doped sample. Our results indicate that at high temperatures, independent of the Zn-doping concentration, the interfacial layer-thermionic (ITE) and interfacial layer-diffusion (ID) mechanisms compete with each other to control the current transport. At intermediate temperatures, however, ITE and ID will no longer be the only dominant mechanisms in the MIS diode fabricated on the highly-doped sample. In this case, the assumption of a generation-recombination current permits a better fit to the experimental data. Analysis of the data suggests that the generation-recombination current, observed only in the highly-doped sample, is associated with an increase in the Zn-doping density. From the forward I- V data for this diode we obtained the energy level (0.60 eV from the conduction band) for the most effective recombination centers.

  9. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  10. Chemical vapor deposition growth

    NASA Technical Reports Server (NTRS)

    Ruth, R. P.; Manasevit, H. M.; Kenty, J. L.; Moudy, L. A.; Simpson, W. I.; Yang, J. J.

    1976-01-01

    A chemical vapor deposition (CVD) reactor system with a vertical deposition chamber was used for the growth of Si films on glass, glass-ceramic, and polycrystalline ceramic substrates. Silicon vapor was produced by pyrolysis of SiH4 in a H2 or He carrier gas. Preliminary deposition experiments with two of the available glasses were not encouraging. Moderately encouraging results, however, were obtained with fired polycrystalline alumina substrates, which were used for Si deposition at temperatures above 1,000 C. The surfaces of both the substrates and the films were characterized by X-ray diffraction, reflection electron diffraction, scanning electron microscopy optical microscopy, and surface profilometric techniques. Several experiments were conducted to establish baseline performance data for the reactor system, including temperature distributions on the sample pedestal, effects of carrier gas flow rate on temperature and film thickness, and Si film growth rate as a function of temperature.

  11. Kinematical calculations of RHEED intensity oscillations during the growth of thin epitaxial films

    NASA Astrophysics Data System (ADS)

    Daniluk, Andrzej

    2005-08-01

    A practical computing algorithm working in real time has been developed for calculating the reflection high-energy electron diffraction (RHEED) from the molecular beam epitaxy (MBE) growing surface. The calculations are based on the use of kinematical diffraction theory. Simple mathematical models are used for the growth simulation in order to investigate the fundamental behaviors of reflectivity change during the growth of thin epitaxial films prepared using MBE. Program summaryTitle of program:GROWTH Catalogue identifier:ADVL Program summary URL:http://cpc.cs.qub.ac.uk/summaries/ADVL Program obtainable from: CPC Program Library, Queen's University of Belfast, N. Ireland Distribution format: tar.gz Computer for which the program is designed and others on which is has been tested:Pentium-based PC Operating systems or monitors under which the program has been tested:Windows 9x, XP, NT Programming language used:Object Pascal Memory required to execute with typical data:more than 1 MB Number of bits in a word: 64 bits Number of processors used: 1 Number of lines in distributed program, including test data, etc.: 10 989 Number of bytes in distributed program, including test data, etc.:103 048 Nature of the physical problem:Reflection high-energy electron diffraction (RHEED) is a very useful technique for studying growth and surface analysis of thin epitaxial structures prepared using the molecular beam epitaxy (MBE). The simplest approach to calculating the RHEED intensity during the growth of thin epitaxial films is the kinematical diffraction theory (often called kinematical approximation), in which only a single scattering event is taken into account. The biggest advantage of this approach is that we can calculate RHEED intensity in real time. Also, the approach facilitates intuitive understanding of the growth mechanism and surface morphology [P.I. Cohen, G.S. Petrich, P.R. Pukite, G.J. Whaley, A.S. Arrott, Surf. Sci. 216 (1989) 222]. Method of solution:Epitaxial

  12. Liquid-phase epitaxy grown PbSnTe distributed feedback laser diodes with broad continuous single-mode tuning range

    NASA Technical Reports Server (NTRS)

    Hsieh, H.-H.; Fonstad, C. G.

    1980-01-01

    Distributed feedback (DFB) pulsed laser operation has been demonstrated in stripe geometry Pb(1-x)Sn(x)Te double-heterostructures grown by liquid-phase epitaxy. The grating structure of 0.79 micron periodicity operates in first order near 12.8 microns and was fabricated prior to the liquid-phase epitaxial growth using holographic exposure techniques. These DFB lasers had moderate thresholds, 3.6 kA/sq cm, and the output power versus current curves exhibited a sharp turn-on free of kinks. Clean, single-mode emission spectra, continuously tunable over a range in excess of 20 per cm, centered about 780 per cm (12.8 microns), and at an average rate of 1.2 per cm-K from 9 to 26 K, were observed. While weaker modes could at times be seen in the spectrum, substantially single-mode operation was obtained over the entire operating range and to over 10 times threshold.

  13. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  14. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    NASA Astrophysics Data System (ADS)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  15. Ordered arrays of multiferroic epitaxial nanostructures.

    PubMed

    Vrejoiu, Ionela; Morelli, Alessio; Biggemann, Daniel; Pippel, Eckhard

    2011-01-01

    Epitaxial heterostructures combining ferroelectric (FE) and ferromagnetic (FiM) oxides are a possible route to explore coupling mechanisms between the two independent order parameters, polarization and magnetization of the component phases. We report on the fabrication and properties of arrays of hybrid epitaxial nanostructures of FiM NiFe(2)O(4) (NFO) and FE PbZr(0.52)Ti(0.48)O(3) or PbZr(0.2)Ti(0.8)O(3), with large range order and lateral dimensions from 200 nm to 1 micron. The structures were fabricated by pulsed-laser deposition. High resolution transmission electron microscopy and high angle annular dark-field scanning transmission electron microscopy were employed to investigate the microstructure and the epitaxial growth of the structures. Room temperature ferroelectric and ferrimagnetic domains of the heterostructures were imaged by piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM), respectively. PFM and MFM investigations proved that the hybrid epitaxial nanostructures show ferroelectric and magnetic order at room temperature. Dielectric effects occurring after repeated switching of the polarization in large planar capacitors, comprising ferrimagnetic NiFe2O4 dots embedded in ferroelectric PbZr0.52Ti0.48O3 matrix, were studied. These hybrid multiferroic structures with clean and well defined epitaxial interfaces hold promise for reliable investigations of magnetoelectric coupling between the ferrimagnetic / magnetostrictive and ferroelectric / piezoelectric phases.

  16. Crystal Growth of ZnSe by Physical Vapor Transport: A Modeling Study

    NASA Technical Reports Server (NTRS)

    Ramachandran, Narayanan; Su, Ching-Hua

    1998-01-01

    Crystal growth from the vapor phase has various advantages over melt growth. The main advantage is from a lower processing temperature which makes the process more amenable in instances where the melting temperature of the crystal is high. Other benefits stem from the inherent purification mechanism in the process due to differences in the vapor pressures of the native elements and impurities, and the enhanced interfacial morphological stability during the growth process. Further, the implementation of Physical Vapor Transport (PVT) growth in closed ampoules affords experimental simplicity with minimal needs for complex process control which makes it an ideal candidate for space investigations in systems where gravity tends to have undesirable effects on the growth process. Bulk growth of wide band gap II-VI semiconductors by physical vapor transport has been developed and refined over the past several years at NASA MSFC. Results from a modeling study of PVT crystal growth of ZnSe arc reported in this paper. The PVI process is numerically investigated using both two-dimensional and fully three-dimensional formulation of the governing equations and associated boundary conditions. Both the incompressible Boussinesq approximation and the compressible model are tested to determine the influence of gravity on the process and to discern the differences between the two approaches. The influence of a residual gas is included in the models. The preliminary results show that both the incompressible and compressible approximations provide comparable results and the presence of a residual gas tends to measurably reduce the mass flux in the system. Detailed flow, thermal and concentration profiles will be provided in the final manuscript along with computed heat and mass transfer rates. Comparisons with the 1-D model will also be provided.

  17. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  18. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE PAGES

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R; ...

    2017-08-12

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  19. Low-Temperature Surface Preparation and Epitaxial Growth of ZnS and Cu 2ZnSnS 4 on ZnS(110) and GaP(100)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harvey, Steven P; Wilson, Samual; Moutinho, Helio R

    Here we give a summary of the low-temperature preparation methods of ZnS(110) and GaP(100) crystals for epitaxial growth of ZnS and Cu 2ZnSnS 4 (CZTS) via molecular beam epitaxy. Substrates were prepared for epitaxial growth by means of room-temperature aqueous surface treatments and subsequent ultra-high vacuum transfer to the deposition system. Epitaxial growth of ZnS was successful at 500 K on both ZnS(110) and GaP(100) as only single domains were observed with electron backscatter diffraction; furthermore, transmission electron microscopy measurements confirmed an epitaxial interface. Epitaxial growth of CZTS was successful on ZnS at 700 K. However, epitaxial growth was notmore » possible on GaP at 700 K due to Ga xS y formation, which significantly degraded the quality of the GaP crystal surface. Although CZTS was grown epitaxially on ZnS, growth of multiple crystallographic domains remains a problem that could inherently limit the viability of epitaxial CZTS for model system studies.« less

  20. Novel symmetry in the growth of gallium nitride on magnesium aluminate substrates

    NASA Astrophysics Data System (ADS)

    George, T.; Jacobsohn, E.; Pike, W. T.; Chang-Chien, P.; Khan, M. A.; Yang, J. W.; Mahajan, S.

    1996-01-01

    The growth of GaN by metalorganic chemical vapor deposition on (111) and (100) magnesium aluminate (MgAl2O4) substrates is examined using transmission electron microscopy. The results indicate that mainly wurtzite GaN is grown for both orientations. On the (111) substrate the following epitaxial relationship is observed: (0001)GaN ∥ (111)MgAl2O4, and [112¯0]GaN ∥ [11¯0]MgAl2O4. During the early stages of the (100) growth, four orientations of the wurtzite phase and a zinc-blende phase are formed. With increasing thickness, one of the wurtzite orientations dominates, with the epitaxial relationship being (11¯01)GaN ∥ (100)MgAl2O4 and the [112¯0]GaN nearly parallel to [011]MgAl2O4. This choice of growth orientation appears to be determined primarily by the nature of the interfacial bonding, with the basal plane of each of the four wurtzite GaN variants being nearly aligned along one of the four {111} planes intersecting the (100) surface of the MgAl2O4.

  1. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  2. A novel series of isoreticular metal organic frameworks: realizing metastable structures by liquid phase epitaxy

    PubMed Central

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Bräse, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Müllen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wöll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 × 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++)2- carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process. PMID:23213357

  3. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    NASA Astrophysics Data System (ADS)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  4. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  5. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    NASA Astrophysics Data System (ADS)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (< 200 nm) for the MBE-grown TMDs reported in the literature thus far is unsuitable for high-performance device applications. In this dissertation, the synthesis of TMDs by MBE and their implementation in device structures were investigated. van der Waals epitaxial growth of these TMDs (HfSe2, WTe2, WSe2, WTex Se2-x), due to the relaxed interactions at the interface, have been demonstrated on large lattice-mismatched substrates without strain and misfit dislocations. The fundamental nucleation and growth behavior of WSe2 was investigated through a detailed experimental design, combined with on-lattice, diffusion-based first principles kinetic modeling. Over one order of magnitude improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2

  6. Temperature cycling vapor deposition HgI.sub.2 crystal growth

    DOEpatents

    Schieber, Michael M.; Beinglass, Israel; Dishon, Giora

    1977-01-01

    A method and horizontal furnace for vapor phase growth of HgI.sub.2 crystals which utilizes controlled axial and radial airflow to maintain the desired temperature gradients. The ampoule containing the source material is rotated while axial and radial air tubes are moved in opposite directions during crystal growth to maintain a desired distance and associated temperature gradient with respect to the growing crystal, whereby the crystal interface can advance in all directions, i.e., radial and axial according to the crystallographic structure of the crystal. Crystals grown by this method are particularly applicable for use as room-temperature nuclear radiation detectors.

  7. The impact of substrate selection for the controlled growth of graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Schumann, T.; Lopes, J. M. J.; Wofford, J. M.; Oliveira, M. H.; Dubslaff, M.; Hanke, M.; Jahn, U.; Geelhaar, L.; Riechert, H.

    2015-09-01

    We examine how substrate selection impacts the resulting film properties in graphene growth by molecular beam epitaxy (MBE). Graphene growth on metallic as well as dielectric templates was investigated. We find that MBE offers control over the number of atomic graphene layers regardless of the substrate used. High structural quality could be achieved for graphene prepared on Ni (111) films which were epitaxially grown on MgO (111). For growth either on Al2O3 (0001) or on (6√3×6√3)R30°-reconstructed SiC (0001) surfaces, graphene with a higher density of defects is obtained. Interestingly, despite their defective nature, the layers possess a well defined epitaxial relation to the underlying substrate. These results demonstrate the feasibility of MBE as a technique for realizing the scalable synthesis of this two-dimensional crystal on a variety of substrates.

  8. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  9. Big-Data RHEED analysis for understanding epitaxial film growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in-situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED image, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the dataset are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of RHEED image sequence.more » This approach is illustrated for growth of LaxCa1-xMnO3 films grown on etched (001) SrTiO3 substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the assymetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.« less

  10. Dynamic layer rearrangement during growth of layered oxide films by molecular beam epitaxy

    DOE PAGES

    Lee, J. H.; Luo, G.; Tung, I. C.; ...

    2014-08-03

    The A n+1B nO 3n+1 Ruddlesden–Popper homologous series offers a wide variety of functionalities including dielectric, ferroelectric, magnetic and catalytic properties. Unfortunately, the synthesis of such layered oxides has been a major challenge owing to the occurrence of growth defects that result in poor materials behaviour in the higher-order members. To understand the fundamental physics of layered oxide growth, we have developed an oxide molecular beam epitaxy system with in situ synchrotron X-ray scattering capability. We present results demonstrating that layered oxide films can dynamically rearrange during growth, leading to structures that are highly unexpected on the basis of themore » intended layer sequencing. Theoretical calculations indicate that rearrangement can occur in many layered oxide systems and suggest a general approach that may be essential for the construction of metastable Ruddlesden–Popper phases. Lastly, we demonstrate the utility of the new-found growth strategy by performing the first atomically controlled synthesis of single-crystalline La 3Ni 2O 7.« less

  11. Contactless Growth of ZnSe Single Crystals by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; George, M. A.; Feth, S.; Lehoczky, S. L.

    1998-01-01

    ZnSe crystals were grown by self-seeded physical vapor transport (PVT) technique in the horizontal configuration. The source materials were heat treated by H2 reduction to remove the oxide followed by baking under dynamic vacuum to adjust the source composition toward that of congruent sublimation. Contactless growth of ZnSe single crystals have been performed consistently using three different source materials. The crystals grew away from the wall during the later stage of the growth with large (110) facets tend to align parallel to the gravity direction. The Scanning Electron Micrography (SEM) micrographs and the Atomic Force Microscope (AFM) images showed that large (110) terraces and steps dominate the as-grown facets. The measured residual gas pressures in the processed ampoules agree well among various source materials and the major components were CO and H2. No preferred growth direction was found. The one-dimensional diffusion model on the mass flux of a multi-species PVT system was employed to analyze the conditions for contactless growth. The calculated thermal profile for supersaturation is very close to the thermal profile measured inside the empty furnace bore in the region of contactless growth. The effects of convective flows in the vapor phase inside the ampoule on the growth processes are discussed.

  12. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    NASA Astrophysics Data System (ADS)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the <1 1 0> steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  13. Pinhole-free growth of epitaxial CoSi.sub.2 film on Si(111)

    NASA Technical Reports Server (NTRS)

    Lin, True-Lon (Inventor); Fathauer, Robert W. (Inventor); Grunthaner, Paula J. (Inventor)

    1991-01-01

    Pinhole-free epitaxial CoSi.sub.2 films (14') are fabricated on (111)-oriented silicon substrates (10) with a modified solid phase epitaxy technique which utilizes (1) room temperature stoichiometric (1:2) codeposition of Co and Si followed by (2) room temperature deposition of an amorphous silicon capping layer (16), and (3) in situ annealing at a temperature ranging from about 500.degree. to 750.degree. C.

  14. Growth optimization toward low angle incidence microchannel epitaxy of GaN using ammonia-based metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Hung; Abe, Ryota; Uchiyama, Shota; Maruyama, Takahiro; Naritsuka, Shigeya

    2012-08-01

    Growth optimization toward low angle incidence microchannel epitaxy (LAIMCE) of GaN was accomplished using ammonia-based metal-organic molecular beam epitaxy (NH3-based MOMBE). Firstly, the [NH3]/[trimethylgallium (TMG)] ratio (R) dependence of selective GaN growth was studied. The growth temperature was set at 860 °C while R was varied from 5 to 200 with precursors being supplied parallel to the openings cut in the SiO2 mask. The selectivity of the growth was superior for all R, because TMG and NH3 preferably decompose on the GaN film. The formation of {112¯0}GaN or {112¯2}GaN sidewalls and (0001)GaN surface were observed by the change in R. The intersurface diffusion of Ga adatoms was also changed by a change in R. Ga adatoms migrate from the sidewalls to the top at R lower than 50, whereas the migration weakened with R greater than 100. Secondly, LAIMCE was optimized by changing the growth temperature. Consequently, 6 μm wide lateral overgrowth in the direction of precursor incidence was achieved with no pit after etching by H3PO4, which was six times wider than that in the opposite direction.

  15. Twin-mediated epitaxial growth of highly lattice-mismatched Cu/Ag core-shell nanowires.

    PubMed

    Weng, Wei-Lun; Hsu, Chin-Yu; Lee, Jheng-Syun; Fan, Hsin-Hsin; Liao, Chien-Neng

    2018-05-31

    Lattice-mismatch is an important factor for the heteroepitaxial growth of core-shell nanostructures. A large lattice-mismatch usually leads to a non-coherent interface or a polycrystalline shell layer. In this study, a conformal Ag layer is coated on Cu nanowires with dense nanoscale twin boundaries through a galvanic replacement reaction. Despite a large lattice mismatch between Ag and Cu (∼12.6%), the Ag shell replicates the twinning structure in Cu nanowires and grows epitaxially on the nanotwinned Cu nanowire. A twin-mediated growth mechanism is proposed to explain the epitaxy of high lattice-mismatch bimetallic systems in which the misfit dislocations are accommodated by coherent twin boundaries.

  16. Optimization Problem of Thermal Field on Surface of Revolving Susceptor in Vapor-Phase Epitaxy Reactor

    NASA Astrophysics Data System (ADS)

    Zhilenkov, A. A.; Chernyi, S. G.; Nyrkov, A. P.; Sokolov, S. S.

    2017-10-01

    Nitrides of group III elements are a very suitable basis for deriving light-emitting devices with the radiating modes lengths of 200-600 nm. The use of such semiconductors allows obtaining full-color RGB light sources, increasing record density of a digital data storage device, getting high-capacity and efficient sources of white light. Electronic properties of such semi-conductors allow using them as a basis for high-power and high-frequency transistors and other electronic devices, the specifications of which are competitive with those of SiC-based devices. Only since 2000, the technology of cultivation of crystals III-N of group has come to the level of wide recognition by both abstract science, and the industry that has led to the creation of the multi-billion dollar market. And this is despite a rather low level of development of the production technology of devices on the basis of III-N of materials. The progress that has happened in the last decade requires the solution of the main problem, constraining further development of this technology today - ensuring cultivation of III-N structures of necessary quality. For this purpose, it is necessary to solve problems of the analysis and optimization of processes in installations of epitaxial growth, and, as a result, optimization of its constructions.

  17. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  18. MOVPE growth of Ga(PBi) on GaP and GaP on Si with Bi fractions up to 8%

    NASA Astrophysics Data System (ADS)

    Nattermann, L.; Beyer, A.; Ludewig, P.; Hepp, T.; Sterzer, E.; Volz, K.

    2017-04-01

    Dilute bismide containing materials can play an important role in addressing the issue of finding new highly efficient lasers for telecommunications as well as sensing applications. In the last several years a growing body of literature has emerged, particularly on the growth of Ga(AsBi). However, the metal organic vapor phase epitaxy growth of Ga(AsBi) with high amounts of Bi, which are necessary to overcome Auger recombination and reach telecommunications wavelengths, still remains a challenge. Ga(PBi) could be a promising alternative, but has not been deposited with significant amounts of Bi so far. A second argument for Ga(PBi) is that it could be grown on GaP, which was already deposited on Si. A number of researchers have reported theoretical calculations on the band structure of Ga(PBi), but experimental results are still lacking. In this work we present the first Ga(PBi) structures, grown by metal organic vapor phase epitaxy on GaP and on GaP on Si. By careful characterization with high resolution X-ray diffraction, atomic force microscopy, secondary ion mass spectrometry and scanning transmission electron microscopy, we will show that we have realized high quality Ga(PBi) with Bi fractions over 8%.

  19. Water vapor recovery from plant growth chambers

    NASA Technical Reports Server (NTRS)

    Ray, R. J.; Newbold, D. D.; Colton, R. H.; Mccray, S. B.

    1991-01-01

    NASA is investigating the use of plant growth chambers (PGCs) for space missions and for bases on the moon and Mars. Key to successful development of PGCs is a system to recover and reuse the water vapor that is transpired from the leaves of the plants. A design is presented for a simple, reliable, membrane-based system that allows the recovery, purification, and reuse of the transpired water vapor through control of temperature and humidity levels in PGCs. The system is based on two membrane technologies: (1) dehumidification membrane modules to remove water vapor from the air, and (2) membrane contactors to return water vapor to the PGC (and, in doing so, to control the humidity and temperature within the PGC). The membrane-based system promises to provide an ideal, stable growth environment for a variety of plants, through a design that minimizes energy usage, volume, and mass, while maximizing simplicity and reliability.

  20. Hydride VPE: the unexpected process for the fast growth of GaAs and GaN nanowires with record aspect ratio and polytypism-free crystalline structure

    NASA Astrophysics Data System (ADS)

    André, Yamina; Trassoudaine, Agnès.; Avit, Geoffrey; Lekhal, Kaddour; Ramdani, Mohammed R.; Leroux, Christine; Monier, Guillaume; Varenne, Christelle; Hoggan, Philip; Castelluci, Dominique; Bougerol, Catherine; Réveret, François; Leymarie, Joël.; Petit, Elodie; Dubrovskii, Vladimir G.; Gil, Evelyne

    2013-12-01

    Hydride Vapor Phase Epitaxy (HVPE) makes use of chloride III-Cl and hydride V-H3 gaseous growth precursors. It is known as a near-equilibrium process, providing the widest range of growth rates from 1 to more than 100 μm/h. When it comes to metal catalyst-assisted VLS (vapor-liquid-solid) growth, the physics of HVPE growth is maintained: high dechlorination frequency, high axial growth rate of nanowires (NWs) up to 170 μm/h. The remarkable features of NWs grown by HVPE are the untapered morphology with constant diameter and the stacking fault-free crystalline phase. Record pure zinc blende cubic phase for 20 μm long GaAs NWs with radii of 10 and 5 nm is shown. The absence of wurtzite phase in GaAs NWs grown by HVPE whatever the diameter is discussed with respect to surface energetic grounds and kinetics. Ni assisted, Ni-Au assisted and catalyst-free HVPE growth of wurtzite GaN NWs is also addressed. Micro-photoluminescence spectroscopy analysis revealed GaN nanowires of great optical quality, with a FWHM of 1 meV at 10 K for the neutral donor bound exciton transition.

  1. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effectmore » results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.« less

  2. Atomically Defined Templates for Epitaxial Growth of Complex Oxide Thin Films

    PubMed Central

    Dral, A. Petra; Dubbink, David; Nijland, Maarten; ten Elshof, Johan E.; Rijnders, Guus; Koster, Gertjan

    2014-01-01

    Atomically defined substrate surfaces are prerequisite for the epitaxial growth of complex oxide thin films. In this protocol, two approaches to obtain such surfaces are described. The first approach is the preparation of single terminated perovskite SrTiO3 (001) and DyScO3 (110) substrates. Wet etching was used to selectively remove one of the two possible surface terminations, while an annealing step was used to increase the smoothness of the surface. The resulting single terminated surfaces allow for the heteroepitaxial growth of perovskite oxide thin films with high crystalline quality and well-defined interfaces between substrate and film. In the second approach, seed layers for epitaxial film growth on arbitrary substrates were created by Langmuir-Blodgett (LB) deposition of nanosheets. As model system Ca2Nb3O10- nanosheets were used, prepared by delamination of their layered parent compound HCa2Nb3O10. A key advantage of creating seed layers with nanosheets is that relatively expensive and size-limited single crystalline substrates can be replaced by virtually any substrate material. PMID:25549000

  3. III-V semiconductor solid solution single crystal growth

    NASA Technical Reports Server (NTRS)

    Gertner, E. R.

    1982-01-01

    The feasibility and desirability of space growth of bulk IR semiconductor crystals for use as substrates for epitaxial IR detector material were researched. A III-V ternary compound (GaInSb) and a II-VI binary compound were considered. Vapor epitaxy and quaternary epitaxy techniques were found to be sufficient to permit the use of ground based binary III-V crystals for all major device applications. Float zoning of CdTe was found to be a potentially successful approach to obtaining high quality substrate material, but further experiments were required.

  4. Modeling and Real-Time Process Monitoring of Organometallic Chemical Vapor Deposition of III-V Phosphides and Nitrides at Low and High Pressure

    NASA Technical Reports Server (NTRS)

    Bachmann, K. J.; Cardelino, B. H.; Moore, C. E.; Cardelino, C. A.; Sukidi, N.; McCall, S.

    1999-01-01

    The purpose of this paper is to review modeling and real-time monitoring by robust methods of reflectance spectroscopy of organometallic chemical vapor deposition (OMCVD) processes in extreme regimes of pressure. The merits of p-polarized reflectance spectroscopy under the conditions of chemical beam epitaxy (CBE) and of internal transmission spectroscopy and principal angle spectroscopy at high pressure are assessed. In order to extend OMCVD to materials that exhibit large thermal decomposition pressure at their optimum growth temperature we have designed and built a differentially-pressure-controlled (DCP) OMCVD reactor for use at pressures greater than or equal to 6 atm. We also describe a compact hard-shell (CHS) reactor for extending the pressure range to 100 atm. At such very high pressure the decomposition of source vapors occurs in the vapor phase, and is coupled to flow dynamics and transport. Rate constants for homogeneous gas phase reactions can be predicted based on a combination of first principles and semi-empirical calculations. The pressure dependence of unimolecular rate constants is described by RRKM theory, but requires variational and anharmonicity corrections not included in presently available calculations with the exception of ammonia decomposition. Commercial codes that include chemical reactions and transport exist, but do not adequately cover at present the kinetics of heteroepitaxial crystal growth.

  5. Linear thermal expansion coefficient determination using in situ curvature and temperature dependent X-ray diffraction measurements applied to metalorganic vapor phase epitaxy-grown AlGaAs

    NASA Astrophysics Data System (ADS)

    Maaßdorf, A.; Zeimer, U.; Grenzer, J.; Weyers, M.

    2013-07-01

    AlxGa1-xAs grown on GaAs is known to be almost perfectly lattice matched with a maximum lattice mismatch of 0.14% at room temperature and even less at temperatures of 700 °C-800 °C. However, as layer structures for edge-emitting diode lasers exhibit an increasing overall thickness of several microns of AlxGa1-xAs, e.g., diode lasers comprising a super-large optical cavity, the accumulated elastic strain energy increases as well. Depending on the growth temperature the formation energy of dislocations can be reached, which is limiting the pseudomorphic growth. In this regard, the thermal expansion coefficient difference between layer and substrate is an important parameter. We utilize in situ curvature measurements during growth of AlxGa1-xAs by metal-organic vapour phase epitaxy to determine the thermal expansion coefficient α. The curvature change with increasing layer thickness, as well as with wafer temperature at constant layer thickness is used to assess α. This is compared to ex situ temperature dependent X-ray diffraction measurements to obtain α. All determined values for α are in good agreement, yielding αAlAs=4.1×10-6 K-1 for a given GaAs linear thermal expansion coefficient of αGaAs=5.73×10-6 K-1.

  6. Depositing spacing layers on magnetic film with liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sanfort, R. M.

    1975-01-01

    Liquid phase epitaxy spacing layer is compatible with systems which are hard-bubble proofed by use of second magnetic garnet film as capping layer. Composite is superior in that: circuit fabrication time is reduced; adherence is superior; visibility is better; and, good match of thermal expansion coefficients is provided.

  7. Phase transition and epitaxies between hydrated orthorhombic and anhydrous monoclinic uric acid crystals

    NASA Astrophysics Data System (ADS)

    Boistelle, R.; Rinaudo, C.

    1981-05-01

    Anhydrous monoclinic and hydrated orthorhombic uric acid crystals can be nucleated and grown from pure water solutions either separately or together with epitaxial relationships. When crystals of one modification exist in the solution they can act as nucleation substrate for the crystals of the other modification. In both cases the new phase grows epitaxially on the substrate; the mutual orientations are the same but the contact planes are different. In addition, the anhydrous modification grows into the hydrated one which undergoes a phase transition by a dissolution-recrystallization process. It is likely that the same processes occur in human stones made up of uric acids.

  8. Low temperature plasma enhanced CVD epitaxial growth of silicon on GaAs: a new paradigm for III-V/Si integration

    NASA Astrophysics Data System (ADS)

    Cariou, Romain; Chen, Wanghua; Maurice, Jean-Luc; Yu, Jingwen; Patriarche, Gilles; Mauguin, Olivia; Largeau, Ludovic; Decobert, Jean; Roca I Cabarrocas, Pere

    2016-05-01

    The integration of III-V semiconductors with silicon is a key issue for photonics, microelectronics and photovoltaics. With the standard approach, namely the epitaxial growth of III-V on silicon, thick and complex buffer layers are required to limit the crystalline defects caused by the interface polarity issues, the thermal expansion, and lattice mismatches. To overcome these problems, we have developed a reverse and innovative approach to combine III-V and silicon: the straightforward epitaxial growth of silicon on GaAs at low temperature by plasma enhanced CVD (PECVD). Indeed we show that both GaAs surface cleaning by SiF4 plasma and subsequent epitaxial growth from SiH4/H2 precursors can be achieved at 175 °C. The GaAs native oxide etching is monitored with in-situ spectroscopic ellipsometry and Raman spectroscopy is used to assess the epitaxial silicon quality. We found that SiH4 dilution in hydrogen during deposition controls the layer structure: the epitaxial growth happens for deposition conditions at the transition between the microcrystalline and amorphous growth regimes. SIMS and STEM-HAADF bring evidences for the interface chemical sharpness. Together, TEM and XRD analysis demonstrate that PECVD enables the growth of high quality relaxed single crystal silicon on GaAs.

  9. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  10. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  11. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Greenaway, Ann; Boucher, Jason

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew

  12. Molecular beam epitaxially grown copper indium diselenide and copper gallium diselenide films

    NASA Astrophysics Data System (ADS)

    Yoon, Seokhyun

    2005-12-01

    To eliminate the influence of grain boundaries, CuInSe2 (CIS) and CuGaSe2 (CGS) films were grown on (100) GaAs wafers. The effects of Cu to III metal ratio and dosing with Na on the growth mode and defect properties were studied at two growth temperatures. The impact of post-annealing in Se on the defect structure of CGS film was also studied. Two-dimensional simulations were used to better understand the role of grain boundary on cell performance. For growth at 360°C, the In-rich CIS films were polycrystalline, whereas the Cu-rich CIS films were epitaxial exhibiting a Stranski-Krastanov (S-K) growth mode. It is proposed that a Cu-Se secondary phase enhances the mobility of adatoms, allowing epitaxial growth to a critical thickness, at which point segregation at the nucleation sites became faster the rate of growth. Island structures, embedded in a matrix region, were oriented along the [01-1] directed edges with surface undulations apparent on the matrix surface with dominant {112} crystal planes. At the higher growth temperature of 464°C, the CIS films grew epitaxially without the need of a Cu-Se phase. Both CIS films grown at low and high temperatures were nearly relaxed. The segregation of epitaxial Cu1.5Se was also observed in the Cu-rich, Na-dosed CIS film, which is attributed to a surfactant effect of Na. At a growth temperature of 438°C, CGS films showed a S-K growth mode and nearly pseudomorphic growth. Hemispherical islands with twins were observed in the Ga-rich CGS films and epitaxial Cu1.5Se phase were identified in the top region of the island structure. From the PL analysis of Cu-rich, Na-dosed CGS film after Se-annealing, a new defect level located 20 meV above the valence band edge was identified as NaGa acceptor state. Two-dimensional simulation of the impact of grain boundaries on device performance showed that the short circuit current decreases sharply along with the other device parameters below a critical grain size due to the complete

  13. Studies of the Initial Stages of Epitaxial Growth of Germanium on Silicon

    NASA Astrophysics Data System (ADS)

    Krishnamurthy, Mohan

    The epitaxial growth of ultra-thin films (< 1nm thick) of Ge on Si(100) has been studied in -situ in an Ultra High Vacuum-Scanning Transmission Electron Microscope. Ge was deposited on clean Si(100) using molecular beam techniques to study two types of growth processes, Molecular Beam Epitaxy (MBE) and Solid Phase MBE. Ge grows in the Stranski-Krastanov growth mode, forming islands after initial layer growth. This islanding transition has been studied with high spatial resolution biassed Secondary Electron Imaging and Auger spectroscopy and imaging. Ex -situ Transmission Electron Microscopy (TEM) and Reflection High Energy Diffraction (RHEED) were also used to characterize the transition. The islanding process and its subsequent evolution was monitored with the help of island size distributions, sensitive to islands above 2nm in size. The studies indicate that Ge forms islands in equilibrium with a 3 monolayer (ML) thick intermediate layer. These islands may initially grow coherently strained (dislocation free) with radii usually below 10nm under the conditions. The strain in these islands reduces the adatom sticking coefficient and strongly influences the microstructural evolution. The intermediate layer may grow metastably under certain conditions to as much as 7 ML before collapsing to its equilibrium form. The influence of three types of adatom sinks--strained islands, dislocated islands and contaminant particles have been studied. The contaminant particles are the strongest sinks, followed by dislocated islands and strained islands. Stepped (vicinal) surfaces (1^circ and 5 ^circ toward {110 }) had no significant influence possibly due to the steps being weak adatom sinks. The coarsening of Ge islands does not follow the Ostwald ripening model at the early stages and is influenced by the supersaturation in the intermediate layer and the strain in the coherent islands. A novel mechanism has been observed, where the larger (dislocated) islands grow at the expense

  14. Epitaxial growth and electrical transport properties of Cr{sub 2}GeC thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per; Thin Film Physics Division, Linkoeping University, IFM, 581 83 Linkoeping; Bugnet, Matthieu

    2011-08-15

    Cr{sub 2}GeC thin films were grown by magnetron sputtering from elemental targets. Phase-pure Cr{sub 2}GeC was grown directly onto Al{sub 2}O{sub 3}(0001) at temperatures of 700-800 deg. C. These films have an epitaxial component with the well-known epitaxial relationship Cr{sub 2}GeC(0001)//Al{sub 2}O{sub 3}(0001) and Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1100) or Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1210). There is also a large secondary grain population with (1013) orientation. Deposition onto Al{sub 2}O{sub 3}(0001) with a TiN(111) seed layer and onto MgO(111) yielded growth of globally epitaxial Cr{sub 2}GeC(0001) with a virtually negligible (1013) contribution. In contrast to the films deposited at 700-800 deg. C,more » the ones grown at 500-600 deg. C are polycrystalline Cr{sub 2}GeC with (1010)-dominated orientation; they also exhibit surface segregations of Ge as a consequence of fast Ge diffusion rates along the basal planes. The room-temperature resistivity of our samples is 53-66 {mu}{Omega}cm. Temperature-dependent resistivity measurements from 15-295 K show that electron-phonon coupling is important and likely anisotropic, which emphasizes that the electrical transport properties cannot be understood in terms of ground state electronic structure calculations only.« less

  15. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  16. Anomalous Epitaxial Growth in Thermally Sprayed YSZ and LZ Splats

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2017-08-01

    Thermally sprayed coatings are essentially layered materials, and lamellar interfaces are of great importance to coatings' performances. In the present study, to investigate the microstructures and defect features at thermally sprayed coating interfaces, homoepitaxial 8 mol.% yttria-stabilized zirconia (YSZ) and heteroepitaxial lanthanum zirconia (LZ) films were fabricated. The epitaxial interfaces were examined by high-resolution transmission electron microscope (HR-TEM) in detail. As a result, we report, for the first time, an anomalous incommensurate homoepitaxial growth with mismatch-induced dislocations in thermally sprayed YSZ splats to create a homointerface. We also find the anomalous heteroepitaxial growth in thermally sprayed LZ splats. The mechanism of the anomalous incommensurate growth was analyzed in detail. Essentially, it is a pseudo-heteroepitaxy because of the lattice mismatch between the film and the locally heated substrate, as the locally heated substrate is significantly strained by its cold surroundings. Moreover, the super-high-density dislocations were found in the interfacial region, which resulted from sufficient thermal fluctuations and extremely rapid cooling rates. Both the anomalous lattice mismatch and super-high-density dislocations lead to weak interfaces and violent cracking in thermally sprayed coatings. These were also the essential differences between the conventional and the present epitaxy by thermal spray technique.

  17. Vapor-liquid phase separator studies

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Lee, J. M.; Kim, Y. I.; Hepler, W. A.; Frederking, T. H. K.

    1983-01-01

    Porous plugs serve as both entropy rejection devices and phase separation components separating the vapor phase on the downstream side from liquid Helium 2 upstream. The liquid upstream is the cryo-reservoir fluid needed for equipment cooling by means of Helium 2, i.e Helium-4 below its lambda temperature in near-saturated states. The topics outlined are characteristic lengths, transport equations and plug results.

  18. Universal description of III-V/Si epitaxial growth processes

    NASA Astrophysics Data System (ADS)

    Lucci, I.; Charbonnier, S.; Pedesseau, L.; Vallet, M.; Cerutti, L.; Rodriguez, J.-B.; Tournié, E.; Bernard, R.; Létoublon, A.; Bertru, N.; Le Corre, A.; Rennesson, S.; Semond, F.; Patriarche, G.; Largeau, L.; Turban, P.; Ponchet, A.; Cornet, C.

    2018-06-01

    Here, we experimentally and theoretically clarify III-V/Si crystal growth processes. Atomically resolved microscopy shows that monodomain three-dimensional islands are observed at the early stages of AlSb, AlN, and GaP epitaxy on Si, independently of misfit. It is also shown that complete III-V/Si wetting cannot be achieved in most III-V/Si systems. Surface/interface contributions to the free-energy variations are found to be prominent over strain relief processes. We finally propose a general and unified description of III-V/Si growth processes, including a description of the formation of antiphase boundaries.

  19. Self-catalytic branch growth of SnO 2 nanowire junctions

    NASA Astrophysics Data System (ADS)

    Chen, Y. X.; Campbell, L. J.; Zhou, W. L.

    2004-10-01

    Multiple branched SnO2 nanowire junctions have been synthesized by thermal evaporation of SnO powder. Their nanostructures were studied by transmission electron microscopy and field emission scanning electron microcopy. It was observed that Sn nanoparticles generated from decomposition of the SnO powder acted as self-catalysts to control the SnO2 nanojunction growth. Orthorhombic SnO2 was found as a dominate phase in nanojunction growth instead of rutile structure. The branches and stems of nanojunctions were found to be an epitaxial growth by electron diffraction analysis and high-resolution electron microscopy observation. The growth directions of the branched SnO2 nanojunctions were along the orthorhombic [1 1 0] and [ 1 1 bar 0 ] . A self-catalytic vapor-liquid-solid growth mechanism is proposed to describe the growth process of the branched SnO2 nanowire junctions.

  20. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  1. Gas Source Molecular Beam Epitaxial Growth of GaN

    DTIC Science & Technology

    1992-11-25

    identify by block number) FIELW GROUP SUB-GROUP 19. ABSTRACT (Continue on reverse if necessary and Identify by block number) Aluminum gallium nitride (AlGaN...AND TASK OBJECTIVES Aluminum gallium nitride (AIGaN) has long been recognized as a promising radiation hard optoelectronic material. AIGaN has a wide...Efficient, pure, low temperature sources for the gas source molecular beam epitaxial (GSMBE) growth of aluminum gallium nitride will essentially

  2. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  3. Arsenic Flux Dependence of Island Nucleation in InAs(001) Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Gyure, Mark; Grosse, Frank; Barvosa-Carter, Bill; Ross, Richard; Ratsch, Christian; Owen, James; Zinck, Jenna

    2001-03-01

    In spite of years of research on the epitaxial growth of III-V semiconductor materials, very little is known about basic growth mechanisms. In particular, the effects of As flux on the growth of GaAs and InAs is hardly understood at all even though, for InAs, the effects are clearly noticeable in the regime of interest for device growth. We have investigated the initial stages of InAs(001) epitaxial growth by combining high resolution kinetic Monte Carlo simulations based on ab initio density functional theory and scanning tunneling microscopy. With increasing As pressure, we find that the island number density decreases, consistent with similar recent data for GaAs, but inconsistent with at least one theoretical argument [1] and much of conventional wisdom regarding group III adatom diffusion in the presence of As. We identify the relevant growth mechanisms that depend on the As pressure and find that a higher As deposition rate leads to a decrease in the In adatom density during growth due to a higher incorporation rate for In at island and step edges. This reduces island nucleation and leads to a lower island density. This same mechanism also explains previously observed As flux trends for the step flow transition temperature. [1] J. Tersoff, M.D. Johnson and B.G. Orr, Phys. Rev. Lett. 78, 282 (1997)

  4. Growth of Carbon Nanostructure Materials Using Laser Vaporization

    NASA Technical Reports Server (NTRS)

    Zhu, Shen; Su, Ching-Hua; Lehozeky, S.

    2000-01-01

    Since the potential applications of carbon nanotubes (CNT) was discovered in many fields, such as non-structure electronics, lightweight composite structure, and drug delivery, CNT has been grown by many techniques in which high yield single wall CNT has been produced by physical processes including arc vaporization and laser vaporization. In this presentation, the growth mechanism of the carbon nanostructure materials by laser vaporization is to be discussed. Carbon nanoparticles and nanotubes have been synthesized using pulsed laser vaporization on Si substrates in various temperatures and pressures. Two kinds of targets were used to grow the nanostructure materials. One was a pure graphite target and the other one contained Ni and Co catalysts. The growth temperatures were 600-1000 C and the pressures varied from several torr to 500 torr. Carbon nanoparticles were observed when a graphite target was used, although catalysts were deposited on substrates before growing carbon films. When the target contains catalysts, carbon nanotubes (CNT) are obtained. The CNT were characterized by scanning electron microscopy, x-ray diffraction, optical absorption and transmission, and Raman spectroscopy. The temperature-and pressure-dependencies of carbon nanotubes' growth rate and size were investigated.

  5. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    NASA Astrophysics Data System (ADS)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  6. Structural evolution of epitaxial SrCoO x films near topotactic phase transition

    DOE PAGES

    Jeen, Hyoung Jeen; Lee, Ho Nyung

    2015-12-18

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO x) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO 2.5thin films and performed post-annealing at various temperaturesmore » for topotactic conversion into the perovskite phase (SrCoO 3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Furthermore, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.« less

  7. Band engineered epitaxial 3D GaN-InGaN core-shell rod arrays as an advanced photoanode for visible-light-driven water splitting.

    PubMed

    Caccamo, Lorenzo; Hartmann, Jana; Fàbrega, Cristian; Estradé, Sonia; Lilienkamp, Gerhard; Prades, Joan Daniel; Hoffmann, Martin W G; Ledig, Johannes; Wagner, Alexander; Wang, Xue; Lopez-Conesa, Lluis; Peiró, Francesca; Rebled, José Manuel; Wehmann, Hergo-Heinrich; Daum, Winfried; Shen, Hao; Waag, Andreas

    2014-02-26

    3D single-crystalline, well-aligned GaN-InGaN rod arrays are fabricated by selective area growth (SAG) metal-organic vapor phase epitaxy (MOVPE) for visible-light water splitting. Epitaxial InGaN layer grows successfully on 3D GaN rods to minimize defects within the GaN-InGaN heterojunctions. The indium concentration (In ∼ 0.30 ± 0.04) is rather homogeneous in InGaN shells along the radial and longitudinal directions. The growing strategy allows us to tune the band gap of the InGaN layer in order to match the visible absorption with the solar spectrum as well as to align the semiconductor bands close to the water redox potentials to achieve high efficiency. The relation between structure, surface, and photoelectrochemical property of GaN-InGaN is explored by transmission electron microscopy (TEM), electron energy loss spectroscopy (EELS), Auger electron spectroscopy (AES), current-voltage, and open circuit potential (OCP) measurements. The epitaxial GaN-InGaN interface, pseudomorphic InGaN thin films, homogeneous and suitable indium concentration and defined surface orientation are properties demanded for systematic study and efficient photoanodes based on III-nitride heterojunctions.

  8. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio

    2007-02-09

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface.

  9. Hetero-Orientation Epitaxial Growth of TiO2 Splats on Polycrystalline TiO2 Substrate

    NASA Astrophysics Data System (ADS)

    Chen, Lin; Yang, Guan-Jun

    2018-05-01

    In the present study, the effect of titania (TiO2) substrate grain size and orientation on the epitaxial growth of TiO2 splat was investigated. Interestingly, the splat presented comparable grain size with that of substrate, indicating the hereditary feature of grain size. In addition, hetero- and homo-orientation epitaxial growth was observed at deposition temperatures below 400 °C and above 500 °C, respectively. The preferential growth of high-energy (001) face was also observed at low deposition temperatures (≤ 400 °C), which was found to result from dynamic nonequilibrium effect during the thermal spray deposition. Moreover, thermal spray deposition paves the way for a new approach to prepare high-energy (001) facets of TiO2 crystals.

  10. Summary of in situ epitaxial nucleation and growth measurements. [for semiconducting single crystal PbSe films

    NASA Technical Reports Server (NTRS)

    Poppa, H.; Moorhead, R. D.; Heinemann, K.

    1974-01-01

    In situ nucleation and growth measurements of Ag and Au on single-crystal PbSe thin films were made using a transmission electron microscope. Properties studied were polymorphism, crystalline perfection, and the stoichiometric composition of the initial and the autoepitaxially thickened PbSe substrates. The quantitative nucleation and cluster growth measurements were limited to low-saturation conditions. The epitaxial orientations are discussed, and evidence is presented as to the stage of deposition at which the epitaxial order for Ag is introduced. Strong substrate/overgrowth interaction manifested itself by alloying and interdiffusion.

  11. Nucleation and growth studies of crystalline carbon phases at nanoscale

    NASA Astrophysics Data System (ADS)

    Mani, Radhika C.

    Understanding the nucleation and early stage growth of crystals from the vapor phase is important for realizing large-area single-crystal quality films, controlled synthesis of nanocrystals, and the possible discovery of new phases of materials. Carbon provides the most interesting system because all its known crystalline phases (diamond, graphite and carbon nanotubes) are technologically important materials. Hence, this dissertation is focused on studying the nucleation and growth of carbon phases synthesized from the vapor phase. Nucleation experiments were performed in a microwave plasma chemical vapor deposition (CVD) reactor, and the resulting carbon nanocrystals were analyzed primarily using electron nanodiffraction and Raman spectroscopy. These studies led to the discovery of two new crystalline phases of sp 3 carbon other than diamond: face-centered and body-centered cubic carbon. Nanodiffraction results revealed possible hydrogen substitution into diamond-cubic lattices, indicating that these new phases probably act as intermediates in diamond nucleation. Nucleation experiments also led to the discovery of two new morphologies for sp2 carbon: nanocrystals of graphite and tapered, hollow 1-D structures termed here as "carbon nanopipettes". A Kinetic Monte Carlo (KMC) algorithm was developed to simulate the growth of individual diamond crystals from the vapor phase, starting with small clusters of carbon atoms (or seeds). Specifically, KMC simulations were used to distinguish the kinetic rules that give rise to a star-shaped decahedral morphology compared to decahedral crystals. KMC simulations revealed that slow adsorption on the {111} step-propagation sites compared to kink sites leads to star-decahedral crystals, and higher adsorption leads to decahedral crystals. Since the surfaces of the nanocrystals of graphite and nanopipettes were expected to be composed primarily of edge-plane sites, the electrochemical behavior of both these materials were

  12. Water vapor radiometry research and development phase

    NASA Technical Reports Server (NTRS)

    Resch, G. M.; Chavez, M. C.; Yamane, N. L.; Barbier, K. M.; Chandlee, R. C.

    1985-01-01

    This report describes the research and development phase for eight dual-channel water vapor radiometers constructed for the Crustal Dynamics Project at the Goddard Space Flight Center, Greenbelt, Maryland, and for the NASA Deep Space Network. These instruments were developed to demonstrate that the variable path delay imposed on microwave radio transmissions by atmospheric water vapor can be calibrated, particularly as this phenomenon affects very long baseline interferometry measurement systems. Water vapor radiometry technology can also be used in systems that involve moist air meteorology and propagation studies.

  13. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  14. Heteroepitaxial Growth of Single-Walled Carbon Nanotubes from Boron Nitride

    PubMed Central

    Tang, Dai-Ming; Zhang, Li-Li; Liu, Chang; Yin, Li-Chang; Hou, Peng-Xiang; Jiang, Hua; Zhu, Zhen; Li, Feng; Liu, Bilu; Kauppinen, Esko I.; Cheng, Hui-Ming

    2012-01-01

    The growth of single-walled carbon nanotubes (SWCNTs) with predefined structure is of great importance for both fundamental research and their practical applications. Traditionally, SWCNTs are grown from a metal catalyst with a vapor-liquid-solid mechanism, where the catalyst is in liquid state with fluctuating structures, and it is intrinsically unfavorable for the structure control of SWCNTs. Here we report the heteroepitaxial growth of SWCNTs from a platelet boron nitride nanofiber (BNNF), which is composed of stacked (002) planes and is stable at high temperatures. SWCNTs are found to grow epitaxially from the open (002) edges of the BNNFs, and the diameters of the SWCNTs are multiples of the BN (002) interplanar distance. In situ transmission electron microscopy observations coupled with first principles calculations reveal that the growth of SWCNTs from the BNNFs follows a vapor-solid-solid mechanism. Our work opens opportunities for the control over the structure of SWCNTs by hetero-crystallographic epitaxy. PMID:23240076

  15. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  16. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  17. Gaalas/Gaas Solar Cell Process Study

    NASA Technical Reports Server (NTRS)

    Almgren, D. W.; Csigi, K. I.

    1980-01-01

    Available information on liquid phase, vapor phase (including chemical vapor deposition) and molecular beam epitaxy growth procedures that could be used to fabricate single crystal, heteroface, (AlGa) As/GaAs solar cells, for space applications is summarized. A comparison of the basic cost elements of the epitaxy growth processes shows that the current infinite melt LPE process has the lower cost per cell for an annual production rate of 10,000 cells. The metal organic chemical vapor deposition (MO-CVD) process has the potential for low cost production of solar cells but there is currently a significant uncertainty in process yield, i.e., the fraction of active material in the input gas stream that ends up in the cell. Additional work is needed to optimize and document the process parameters for the MO-CVD process.

  18. Epitaxial graphene growth on FIB patterned 3C-SiC nanostructures on Si (111): reducing milling damage.

    PubMed

    Amjadipour, Mojtaba; MacLeod, Jennifer; Lipton-Duffin, Josh; Iacopi, Francesca; Motta, Nunzio

    2017-08-25

    Epitaxial growth of graphene on SiC is a scalable procedure that does not require any further transfer step, making this an ideal platform for graphene nanostructure fabrication. Focused ion beam (FIB) is a very promising tool for exploring the reduction of the lateral dimension of graphene on SiC to the nanometre scale. However, exposure of graphene to the Ga + beam causes significant surface damage through amorphisation and contamination, preventing epitaxial graphene growth. In this paper we demonstrate that combining a protective silicon layer with FIB patterning implemented prior to graphene growth can significantly reduce the damage associated with FIB milling. Using this approach, we successfully achieved graphene growth over 3C-SiC/Si FIB patterned nanostructures.

  19. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  20. Melt-Vapor Phase Diagram of the Te-S System

    NASA Astrophysics Data System (ADS)

    Volodin, V. N.; Trebukhov, S. A.; Kenzhaliyev, B. K.; Nitsenko, A. V.; Burabaeva, N. M.

    2018-03-01

    The values of partial pressure of saturated vapor of the constituents of the Te-S system are determined from boiling points. The boundaries of the melt-vapor phase transition at atmospheric pressure and in vacuum of 2000 and 100 Pa are calculated on the basis of partial pressures. A phase diagram that includes vapor-liquid equilibrium fields whose boundaries allow us to assess the behavior of elements upon distillation fractioning is plotted. It is established that the separation of elements is possible at the first evaporation-condensation cycle. Complications can be caused by crystallization of a sulfur solid solution in tellurium.

  1. In situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) at temperatures below 150 C

    NASA Technical Reports Server (NTRS)

    Nieh, C. W.; Lin, T. L.

    1989-01-01

    This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.

  2. Methods for improved growth of group III nitride semiconductor compounds

    DOEpatents

    Melnik, Yuriy; Chen, Lu; Kojiri, Hidehiro

    2015-03-17

    Methods are disclosed for growing group III-nitride semiconductor compounds with advanced buffer layer technique. In an embodiment, a method includes providing a suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. The method includes forming an AlN buffer layer by flowing an ammonia gas into a growth zone of the processing chamber, flowing an aluminum halide containing precursor to the growth zone and at the same time flowing additional hydrogen halide or halogen gas into the growth zone of the processing chamber. The additional hydrogen halide or halogen gas that is flowed into the growth zone during buffer layer deposition suppresses homogeneous AlN particle formation. The hydrogen halide or halogen gas may continue flowing for a time period while the flow of the aluminum halide containing precursor is turned off.

  3. Synthesis and Characterization of Quenched and Crystalline Phases: Q-Carbon, Q-BN, Diamond and Phase-Pure c-BN

    NASA Astrophysics Data System (ADS)

    Bhaumik, Anagh; Narayan, Jagdish

    2018-04-01

    We report the synthesis and characterization of quenched (Q-carbon and Q-BN) and crystalline (diamond and c-BN) phases using a non-equilibrium technique. These phases are formed as a result of the melting and subsequent quenching of amorphous carbon and nanocrystalline h-BN in a super undercooled state by using high-power nanosecond laser pulses. Pulsed laser annealing also leads to the formation of nanoneedles, microneedles and single-crystal thin films of diamond and c-BN. This formation is dependent on the nucleation and growth times, which are controlled by laser energy density and thermal conductivities of substrate and as-deposited thin film. The diamond nuclei present in the Q-carbon structure ( 80% sp 3) can also be grown to larger sizes using the equilibrium hot filament chemical vapor deposition process. The texture of diamond and c-BN crystals is <111> under epitaxial growth and <110> under rapid unseeded crystallization. Our nanosecond laser processing opens up a roadmap to the fabrication of novel phases on heat-sensitive substrates.

  4. Application of Thioether for Vapor Phase Lubrication

    NASA Technical Reports Server (NTRS)

    Graham, E. Earl

    1997-01-01

    The objective of these studies was to identify the optimal conditions for vapor phase lubrication using Thioether for both sliding and rolling wear. The important variable include; (1) The component materials including M50 steel, monel and silicon nitride. (2) The vapor concentration and flow rate. (3) The temperature in the range of 600 F to 1500 F. (4) The loads and rolling and/or sliding speeds.

  5. Molecular beam epitaxy of graphene on ultra-smooth nickel: growth mode and substrate interactions

    NASA Astrophysics Data System (ADS)

    Wofford, J. M.; Oliveira, M. H., Jr.; Schumann, T.; Jenichen, B.; Ramsteiner, M.; Jahn, U.; Fölsch, S.; Lopes, J. M. J.; Riechert, H.

    2014-09-01

    Graphene is grown by molecular beam epitaxy using epitaxial Ni films on MgO(111) as substrates. Raman spectroscopy and scanning tunneling microscopy reveal the graphene films to have few crystalline defects. While the layers are ultra-smooth over large areas, we find that Ni surface features lead to local non-uniformly thick graphene inclusions. The influence of the Ni surface structure on the position and morphology of these inclusions strongly suggests that multilayer graphene on Ni forms at the interface of the first complete layer and metal substrate in a growth-from-below mechanism. The interplay between Ni surface features and graphene growth behavior may facilitate the production of films with spatially resolved multilayer inclusions through engineered substrate surface morphology.

  6. Flux growth in a horizontal configuration: An analog to vapor transport growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, J. -Q.; Sales, B. C.; Susner, M. A.

    Flux growth of single crystals is normally performed in a vertical configuration with an upright refractory container holding the flux melt. At high temperatures, flux dissolves the charge, forming a homogeneous solution before nucleation and growth of crystals takes place under proper supersaturation generated by cooling or evaporating the flux. In this paper, we report flux growth in a horizontal configuration with a temperature gradient along the horizontal axis: a liquid transport growth analogous to the vapor transport technique. In a typical liquid transport growth, the charge is kept at the hot end of the refractory container and the fluxmore » melt dissolves the charge and transfers it to the cold end. Once the concentration of charge is above the solubility limit at the cold end, the thermodynamically stable phase nucleates and grows. Compared to the vertical flux growth, the liquid transport growth can provide a large quantity of crystals in a single growth since the charge/flux ratio is not limited by the solubility limit at the growth temperature. This technique is complementary to the vertical flux growth and can be considered when a large amount of crystals is needed but the yield from the conventional vertical flux growth is limited. Finally, we applied this technique to the growth of IrSb 3, Mo 3Sb 7, and MnBi from self-flux, and the growth of FeSe, CrTe 3, NiPSe 3, FePSe 3, CuInP 2S 6, RuCl 3, and OsCl 4 from a halide flux.« less

  7. Flux growth in a horizontal configuration: An analog to vapor transport growth

    DOE PAGES

    Yan, J. -Q.; Sales, B. C.; Susner, M. A.; ...

    2017-07-05

    Flux growth of single crystals is normally performed in a vertical configuration with an upright refractory container holding the flux melt. At high temperatures, flux dissolves the charge, forming a homogeneous solution before nucleation and growth of crystals takes place under proper supersaturation generated by cooling or evaporating the flux. In this paper, we report flux growth in a horizontal configuration with a temperature gradient along the horizontal axis: a liquid transport growth analogous to the vapor transport technique. In a typical liquid transport growth, the charge is kept at the hot end of the refractory container and the fluxmore » melt dissolves the charge and transfers it to the cold end. Once the concentration of charge is above the solubility limit at the cold end, the thermodynamically stable phase nucleates and grows. Compared to the vertical flux growth, the liquid transport growth can provide a large quantity of crystals in a single growth since the charge/flux ratio is not limited by the solubility limit at the growth temperature. This technique is complementary to the vertical flux growth and can be considered when a large amount of crystals is needed but the yield from the conventional vertical flux growth is limited. Finally, we applied this technique to the growth of IrSb 3, Mo 3Sb 7, and MnBi from self-flux, and the growth of FeSe, CrTe 3, NiPSe 3, FePSe 3, CuInP 2S 6, RuCl 3, and OsCl 4 from a halide flux.« less

  8. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE PAGES

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael; ...

    2018-03-14

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  9. Rapid feedback of chemical vapor deposition growth mechanisms by operando X-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Martin, Aiden A.; Depond, Philip J.; Bagge-Hansen, Michael

    An operando x-ray diffraction system is presented for elucidating optimal laser assisted chemical vapor deposition growth conditions. The technique is utilized to investigate deposition dynamics of boron-carbon materials using trimethyl borate precursor. Trimethyl borate exhibits vastly reduced toxicological and flammability hazards compared to existing precursors, but has previously not been applied to boron carbide growth. Crystalline boron-rich carbide material is produced in a narrow growth regime on addition of hydrogen during the growth phase at high temperature. Finally, the use of the operando x-ray diffraction system allows for the exploration of highly nonequilibrium conditions and rapid process control, which aremore » not possible using ex situ diagnostics.« less

  10. Quantum dots grown in the InSb/GaSb system by liquid-phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parkhomenko, Ya. A.; Dement’ev, P. A.; Moiseev, K. D., E-mail: mkd@iropt2.ioffe.rssi.ru

    2016-07-15

    The first results of the liquid-phase epitaxial growth of quantum dots in the InSb/GaSb system and atomic-force microscopy data on the structural characteristics of the quantum dots are reported. It is shown that the surface density, shape, and size of nanoislands depend on the deposition temperature and the chemical properties of the matrix surface. Arrays of InSb quantum dots on GaSb (001) substrates are produced in the temperature range T = 450–465°C. The average dimensions of the quantum dots correspond to a height of h = 3 nm and a base dimension of D = 30 nm; the surface densitymore » is 3 × 10{sup 9} cm{sup –2}.« less

  11. Room-temperature codeposition growth technique for pinhole reduction in epitaxial CoSi2 on Si (111)

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.; D'Anterroches, C.

    1988-01-01

    A solid-phase epitaxy has been developed for the growth of CoSi2 films on Si (111) with no observable pinholes (1000/sq cm detection limit). The technique utilizes room-temperature codeposition of Co and Si in stoichiometric ratio, followed by the deposition of an amorphous Si capping layer and subsequent in situ annealing at 550-600 C. CoSi2 films grown without the Si cap are found to have pinhole densities of (1-10) x 10 to the 7th/sq cm when annealed at similar temperatures. A CF4 plasma-etching technique was used to increase the visibility of the pinholes in the silicide layer.

  12. Growth of Ferromagnetic Epitaxial Film of Hexagonal FeGe on (111) Ge Surface

    NASA Astrophysics Data System (ADS)

    Kumar, Dushyant; Joshi, P. C.; Hossain, Z.; Budhani, R. C.

    2014-03-01

    The realization of semiconductors showing ferromagnetic order at easily accessible temperatures has been of interest due to their potential use in spintronic devices where long spin life times are of key interest. We have realized the growth of FeGe thin films on Ge (111) wafers using pulsed laser deposition (PLD). The stoichiometric and single phase FeGe target used in PLD chamber has been made by arc melting. A typical θ-2 θ diffraction spectra performed on 40 nm thick FeGe film suggests the stabilization of β-Ni2In (B82-type) hexagonal phase with an epitaxial orientation of (0001)FeGe ||(111)Ge and [11-20]FeGe ||[-110]Ge. SEM images shows a granular structure with the formation of very large grains of about 100 to 500 nm in lateral dimension. The magnetization vs. temperature data taken from SQUID reveal the TC of ~ 270K. Since, PLD technique makes it easier to stabilize the B82 (Ni2In) hexagonal phase in thin FeGe films, this work opens opportunities to reinvestigate many conflicting results on various properties of the FeGe system.

  13. Vertical-Substrate MPCVD Epitaxial Nanodiamond Growth

    DOE PAGES

    Tzeng, Yan-Kai; Zhang, Jingyuan Linda; Lu, Haiyu; ...

    2017-02-09

    Color center-containing nanodiamonds have many applications in quantum technologies and biology. Diamondoids, molecular-sized diamonds have been used as seeds in chemical vapor deposition (CVD) growth. However, optimizing growth conditions to produce high crystal quality nanodiamonds with color centers requires varying growth conditions that often leads to ad-hoc and time-consuming, one-at-a-time testing of reaction conditions. In order to rapidly explore parameter space, we developed a microwave plasma CVD technique using a vertical, rather than horizontally oriented stage-substrate geometry. With this configuration, temperature, plasma density, and atomic hydrogen density vary continuously along the vertical axis of the substrate. Finally, this variation allowedmore » rapid identification of growth parameters that yield single crystal diamonds down to 10 nm in size and 75 nm diameter optically active center silicon-vacancy (Si-V) nanoparticles. Furthermore, this method may provide a means of incorporating a wide variety of dopants in nanodiamonds without ion irradiation damage.« less

  14. Crystal growth of HVPE-GaN doped with germanium

    NASA Astrophysics Data System (ADS)

    Iwinska, M.; Takekawa, N.; Ivanov, V. Yu.; Amilusik, M.; Kruszewski, P.; Piotrzkowski, R.; Litwin-Staszewska, E.; Lucznik, B.; Fijalkowski, M.; Sochacki, T.; Teisseyre, H.; Murakami, H.; Bockowski, M.

    2017-12-01

    Crystallization by hydride vapor phase epitaxy method of gallium nitride single crystals doped with germanium and properties of the obtained material are described in this paper. Growth was performed in hydrogen and nitrogen carrier gas. The results were studied and compared. Influence of different flows of germanium tetrachloride, precursor of germanium, on the grown crystals was investigated. Ammonothermal GaN substrates were used as seeds for crystallization. Structural, electrical, and optical properties of HVPE-GaN doped with germanium are presented and discussed in detail. They were compared to properties of HVPE-GaN doped with silicon and also grown on native seeds of high quality.

  15. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  16. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  17. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  18. Effects of SiO 2 overlayer at initial growth stage of epitaxial Y 2O 3 film growth

    NASA Astrophysics Data System (ADS)

    Cho, M.-H.; Ko, D.-H.; Choi, Y. G.; Lyo, I. W.; Jeong, K.; Whang, C. N.

    2000-12-01

    We investigated the dependence of the Y 2O 3 film growth on Si surface at initial growth stage. The reflection high-energy electron diffraction, X-ray scattering, and atomic force microscopy showed that the film crystallinity and morphology strongly depended on whether Si surface contained O or not. In particular, the films grown on oxidized surfaces revealed significant improvement in crystallinity and surface smoothness. A well-ordered atomic structure of Y 2O 3 film was formed on 1.5 nm thick SiO 2 layer with the surface and interfacial roughness markedly enhanced, compared with the film grown on the clean Si surfaces. The epitaxial film on the oxidized Si surface exhibited extremely small mosaic structures at interface, while the film on the clean Si surface displayed an island-like growth with large mosaic structures. The nucleation sites for Y 2O 3 were provided by the reaction between SiO 2 and Y at the initial growth stage. The SiO 2 layer known to hinder crystal growth is found to enhance the nucleation of Y 2O 3, and provides a stable buffer layer against the silicide formation. Thus, the formation of the initial SiO 2 layer is the key to the high-quality epitaxial growth of Y 2O 3 on Si.

  19. Epitaxial Growth of BGaAs and BGaInAs by MOCVD: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geisz, J. F.; Friedman, D. J.; Kurtz, S. R.

    2001-10-01

    Presented at the 2001 NCPV Program Review Meeting: Comparison of use of TMB, TEB, and BF3 to diborane for MOCVD growth of BGaInAs. BGaInAs can potentially be used in strain-free high-efficiency III-V solar cells, but the growth of sufficiently high-quality epitaxial BGaInAs using diborane has proven difficult. We compare the use of alternative boron precursors (trimethylboron [TMB], triethylboron [TEB], and boron trifluoride [BF{sub 3}]) with diborane for the MOCVD growth of these boron-containing III-V alloys. We find that TMB and BF{sub 3} do not result in significant boron incorporation into GaAs. TEB does result in boron incorporation in a mannermore » very similar to diborane. Both diborane and TEB incorporate more efficiently using triethylgallium (TEG) rather than trimethylgallium (TMG), making TEG a preferred source of gallium for BGaAs epitaxy. Using TEB together with TEG, a higher boron composition (x = 4%-7%) has been achieved than has been previously reported, but we are still unable to achieve high- quality BGaInAs with the correct composition for solar cell applications.« less

  20. Composition, diffusion, and antifungal activity of black mustard (Brassica nigra) essential oil when applied by direct addition or vapor phase contact.

    PubMed

    Mejía-Garibay, Beatriz; Palou, Enrique; López-Malo, Aurelio

    2015-04-01

    In this study, we characterized the essential oil (EO) of black mustard (Brassica nigra) and quantified its antimicrobial activity, when applied by direct contact into the liquid medium or by exposure in the vapor phase (in laboratory media or in a bread-type product), against the growth of Aspergillus niger, Aspergillus ochraceus, or Penicillium citrinum. Allyl-isothiocyanate (AITC) was identified as the major component of B. nigra EO with a concentration of 378.35 mg/ml. When B. nigra EO was applied by direct contact into the liquid medium, it inhibited the growth of A. ochraceus and P. citrinum when the concentration was 2 μl/ml of liquid medium (MIC), while for A. niger, a MIC of B. nigra EO was 4 μl/ml of liquid medium. Exposure of molds to B. nigra EO in vapor phase showed that 41.1 μl of B. nigra EO per liter of air delayed the growth of P. citrinum and A. niger by 10 days, while A. ochraceus growth was delayed for 20 days. Exposure to concentrations ≥ 47 μl of B. nigra EO per liter of air (MIC) inhibited the growth of tested molds by 30 days, and they were not able to recover after further incubation into an environment free of EO (fungicidal effect). Adsorbed AITC was quantified by exposing potato dextrose agar to B. nigra EO in a vapor phase, exhibiting that AITC was retained at least 5 days when testing EO at its MIC or with higher concentrations. Mustard EO MIC was also effective against the evaluated molds inhibiting their growth for 30 days in a bread-type product when exposed to EO by vapor contact, demonstrating its antifungal activity.

  1. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  2. Growth of boron-doped few-layer graphene by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Soares, G. V.; Nakhaie, S.; Heilmann, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    We investigated the growth of boron-doped few-layer graphene on α-Al2O3 (0001) substrates by molecular beam epitaxy using two different growth approaches: one where boron was provided during the entire graphene synthesis and the second where boron was provided only during the second half of the graphene growth run. Electrical measurements show a higher p-type carrier concentration for samples fabricated utilizing the second approach, with a remarkable modulation in the carrier concentration of almost two orders of magnitude in comparison to the pristine graphene film. The results concerning the influence of the boron flux at different growth stages of graphene on the electrical and physicochemical properties of the films are presented.

  3. NANOELECTRONICS. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface.

    PubMed

    Li, Ming-Yang; Shi, Yumeng; Cheng, Chia-Chin; Lu, Li-Syuan; Lin, Yung-Chang; Tang, Hao-Lin; Tsai, Meng-Lin; Chu, Chih-Wei; Wei, Kung-Hwa; He, Jr-Hau; Chang, Wen-Hao; Suenaga, Kazu; Li, Lain-Jong

    2015-07-31

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface. Copyright © 2015, American Association for the Advancement of Science.

  4. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  5. Controlled epitaxial graphene growth within removable amorphous carbon corrals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Palmer, James; Hu, Yike; Hankinson, John

    2014-07-14

    We address the question of control of the silicon carbide (SiC) steps and terraces under epitaxial graphene on SiC and demonstrate amorphous carbon (aC) corrals as an ideal method to pin SiC surface steps. aC is compatible with graphene growth, structurally stable at high temperatures, and can be removed after graphene growth. For this, aC is first evaporated and patterned on SiC, then annealed in the graphene growth furnace. There at temperatures above 1200 °C, mobile SiC steps accumulate at the aC corral that provide effective step flow barriers. Aligned step free regions are thereby formed for subsequent graphene growth atmore » temperatures above 1330 °C. Atomic force microscopy imaging supports the formation of step-free terraces on SiC with the step morphology aligned to the aC corrals. Raman spectroscopy indicates the presence of good graphene sheets on the step-free terraces.« less

  6. Low-Cost III-V Photovoltaic Materials by Chloride Vapor Transport Deposition Using Safe Solid Precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boettcher, Shannon; Aloni, Shaul; Weiss, Robert

    Si-based photovoltaic devices dominate the market. As photovoltaic (PV) manufacturing costs have plummeted, technologies which increase efficiency have become critical. Si cell efficiencies are nearing theoretical limits and Si-based PV modules are unlikely to reach the 25-30% efficiency range. The use of III-V semiconductors is an obvious technical solution to improve efficiency, especially if they can be integrated directly with existing Si technology as tandems. High coefficients of light absorption along with tunable bandgaps and lattice constants have resulted in record conversion efficiencies for both one-sun and concentrator PV applications. GaAs, for example, has been used to manufacture single-junction photovoltaicsmore » with world-record efficiencies of 28.8% at one sun.2 However, costs for III-Vs must be dramatically reduced to produce cost-effective, high-efficiency PV solutions. III-V costs are controlled by two factors: semiconductor growth and the substrate. III-V growth is dominated today by metal-organic vapor phase epitaxy (MOVPE) with a lesser role played by molecular beam epitaxy (MBE). MOVPE costs are high due to the expense and low utilization (~30%) of precursors, modest growth rates (~100 nm min-1), equipment complexity, and safety infrastructure needed to handle toxic, pyrophoric gases.3 MBE costs are high due to slow growth rates and limitations of scalability. Details comparing plausible low-cost III-V growth methods are available in a review article published as a result of this project. The primary goal of this project was to demonstrate that close-spaced vapor transport (CSVT) using chloride (from HCl) as a transport agent can be used for the rapid growth of device-ready III-V layers from safe, solid-source precursors. In pursuit of this goal, we designed, built, and installed a new Cl-CSVT reactor based on insights from our previous H2O-CSVT growth system and in collaboration with equipment professionals at Malachite Technologies

  7. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  8. The growth of strontium titanate and lutetium ferrite thin films by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Brooks, Charles M.

    Included in this work is a range of studies on films of homoeptaxial and heteroepitaxial films of SrTiO3 and the first reported phase-pure films of LuFe2O4. We report the structural properties of homoepitaxial (100) SrTiO3 films grown by reactive molecular-beam epitaxy (MBE). The lattice spacing and x-ray diffraction (XRD) rocking curves of stoichiometric MBEgrown SrTiO3 films are indistinguishable from the underlying SrTiO3 substrates. The effect of off-stoichiometry for both strontium-rich and strontium-poor compositions results in lattice expansion with significant changes to the shuttered reflection high-energy electron diffraction oscillations, XRD, film microstructure, and thermal conductivity. Up to an 80% reduction in Sr(1+x)TiO3 film thermal conductivity is measured for x = -0.1 to 0.5. Significant reduction, from 11.5 to ˜2 W˙m-1K-1, occurs through the formation of Ruddlesden-Popper planar faults. The ability to deposit films with a reduction in thermal conductivity is applicable to thermal barrier coatings and thermoelectrics. Scanning transmission electron microscopy is used to examine the formation of Ruddlesden-Popper planar faults in films with strontium excess. We also show that the band gap of SrTiO3 can be altered by >10% (0.3 eV) by using experimentally realizable biaxial strains providing a new means to accomplish band gap engineering of SrTiO3 and related perovskites. Such band gap manipulation is relevant to applications in solar cells water splitting, transparent conducting oxides, superconductivity, two-dimensional electron liquids, and other emerging oxide electronics. This work also presents the adsorption-controlled growth of single-phase (0001)-oriented epitaxial films of charge ordered multiferroic, LuFe2O4, on (111) MgAl2O4, (111) MgO, and (0001) 6H-SiC substrates in an iron-rich environment at pressures and temperatures where excess iron desorbs from the film surface during growth. Scanning transmission electron microscopy reveals

  9. Sintering behavior of ultrafine silicon carbide powders obtained by vapor phase reaction

    NASA Technical Reports Server (NTRS)

    Okabe, Y.; Miyachi, K.; Hojo, J.; Kato, A.

    1984-01-01

    The sintering behavior of ultrafine SiC powder with average particle size of about 0.01-0.06 microns produced by a vapor phase reaction of the Me4Si-H2 system was studied at the temperature range of 1400-2050 deg. It was found that the homogeneous dispersion of C on SiC particles is important to remove the surface oxide layer effectively. B and C and inhibitive effect on SiC grain growth.

  10. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  11. Template-free vapor-phase growth of patrónite by atomic layer deposition

    DOE PAGES

    Weimer, Matthew S.; McCarthy, Robert F.; Emery, Jonathan D.; ...

    2017-03-09

    Despite challenges to control stoichiometry in the vanadium-sulfur system, template-free growth of patrónite, VS 4, thin films is demonstrated for the first time. A novel atomic layer deposition (ALD) process enables the growth of phase pure films and the study of electrical and vibrational properties of the quasi-one-dimensional (1D) transition metal sulfide. Self-limiting surface chemistry during ALD of VS4 is established via in situ quartz crystal microbalance and quadrupole mass spectrometry between 150 to 200 °C. The V precursor, unconventionally, sheds all organic components in the first half-cycle, while the H 2S half-cycle generates the disulfide dimer moiety, S 2more » -2, and oxidizes V 3+ to V 4+. X-ray analysis establishes VS 4 crystallinity and phase purity, as well as a self-limiting growth rate of 0.33 Å/cy, modest roughness (2.4 nm) and expected density (2.7g/cm 3 ). Phase pure films enable a new assignment of vibrational modes and corresponding Raman activity of VS4 that is corroborated by density functional theory (DFT) calculations. Lastly, at elevated growth temperatures, a change in the surface mechanism provides a synthetic route to a second vanadium-sulfur phase, V 2S 3.« less

  12. Nanoscale self-templating for oxide epitaxy with large symmetry mismatch

    DOE PAGES

    Gao, Xiang; Lee, Shinbuhm; Nichols, John A.; ...

    2016-12-02

    Direct observations using scanning transmission electron microscopy unveil an intriguing interfacial bi-layer that enables epitaxial growth of a strain-free, monoclinic, bronze-phase VO 2(B) thin film on a perovskite SrTiO 3 (STO) substrate. For this study, we observe an ultrathin (2–3 unit cells) interlayer best described as highly strained VO 2(B) nanodomains combined with an extra (Ti,V)O 2 layer on the TiO 2 terminated STO (001) surface. By forming a fully coherent interface with the STO substrate and a semi-coherent interface with the strain-free epitaxial VO 2(B) film above, the interfacial bi-layer enables the epitaxial connection of the two materials despitemore » their large symmetry and lattice mismatch.« less

  13. Hydride vapor phase GaN films with reduced density of residual electrons and deep traps

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Polyakov, A. Y., E-mail: aypolyakov@gmail.com; Smirnov, N. B.; Govorkov, A. V.

    2014-05-14

    Electrical properties and deep electron and hole traps spectra are compared for undoped n-GaN films grown by hydride vapor phase epitaxy (HVPE) in the regular process (standard HVPE samples) and in HVPE process optimized for decreasing the concentration of residual donor impurities (improved HVPE samples). It is shown that the residual donor density can be reduced by optimization from ∼10{sup 17} cm{sup −3} to (2–5) × 10{sup 14} cm{sup −3}. The density of deep hole traps and deep electron traps decreases with decreased donor density, so that the concentration of deep hole traps in the improved samples is reduced to ∼5 × 10{sup 13} cm{sup −3} versusmore » 2.9 × 10{sup 16} cm{sup −3} in the standard samples, with a similar decrease in the electron traps concentration.« less

  14. Abbreviated epitaxial growth mode (AGM) method for reducing cost and improving quality of LEDs and lasers

    DOEpatents

    Tansu, Nelson; Chan, Helen M; Vinci, Richard P; Ee, Yik-Khoon; Biser, Jeffrey

    2013-09-24

    The use of an abbreviated GaN growth mode on nano-patterned AGOG sapphire substrates, which utilizes a process of using 15 nm low temperature GaN buffer and bypassing etch-back and recovery processes during epitaxy, enables the growth of high-quality GaN template on nano-patterned AGOG sapphire. The GaN template grown on nano-patterned AGOG sapphire by employing abbreviated growth mode has two orders of magnitude lower threading dislocation density than that of conventional GaN template grown on planar sapphire. The use of abbreviated growth mode also leads to significant reduction in cost of the epitaxy. The growths and characteristics of InGaN quantum wells (QWs) light emitting diodes (LEDs) on both templates were compared. The InGaN QWs LEDs grown on the nano-patterned AGOG sapphire demonstrated at least a 24% enhancement of output power enhancement over that of LEDs grown on conventional GaN templates.

  15. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  16. Growth of Pb(Ti,Zr)O 3 thin films by metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Avrutin, V.; Liu, H. Y.; Izyumskaya, N.; Xiao, B.; Özgür, Ü.; Morkoç, H.

    2009-02-01

    Single-crystal Pb(Zr xTi 1-x)O 3 thin films have been grown on (0 0 1) SrTiO 3 and SrTiO 3:Nb substrates by molecular beam epitaxy using metal-organic source of Zr and two different sources of reactive oxygen—RF plasma and hydrogen-peroxide sources. The same growth modes and comparable structural properties were observed for the films grown with both oxygen sources, while the plasma source allowed higher growth rates. The films with x up to 0.4 were single phase, while attempts to increase x beyond gave rise to the ZrO 2 second phase. The effects of growth conditions on growth modes, Zr incorporation, and phase composition of the Pb(Zr xTi 1-x)O 3 films are discussed. Electrical and ferroelectric properties of the Pb(Zr xTi 1-x)O 3 films of ~100 nm in thickness grown on SrTiO 3:Nb were studied using current-voltage, capacitance-voltage, and polarization-field measurements. The single-phase films show low leakage currents and large breakdown fields, while the values of remanent polarization are low (around 5 μC/cm 2). It was found that, at high sweep fields, the contribution of the leakage current to the apparent values of remanent polarization can be large, even for the films with large electrical resistivity (˜10 8-10 9 Ω cm at an electric filed of 1 MV/cm). The measured dielectric constant ranges from 410 to 260 for Pb(Zr 0.33Ti 0.67)O 3 and from 313 to 213 for Pb(Zr 0.2Ti 0.8)O 3 in the frequency range from 100 to 1 MHz.

  17. Photoluminescence intensity enhancement of GaAs by vapor-deposited GaS - A rational approach to surface passivation

    NASA Technical Reports Server (NTRS)

    Jenkins, Phillip P.; Hepp, Aloysius F.; Power, Michael B.; Macinnes, Andrew N.; Barron, Andrew R.

    1993-01-01

    A two order-of-magnitude enhancement of photoluminescence intensity relative to untreated GaAs has been observed for GaAs surfaces coated with chemical vapor-deposited GaS. The increase in photoluminescence intensity can be viewed as an effective reduction in surface recombination velocity and/or band bending. The gallium cluster (/t-Bu/GaS)4 was used as a single-source precursor for the deposition of GaS thin films. The cubane core of the structurally-characterized precursor is retained in the deposited film producing a cubic phase. Furthermore, a near-epitaxial growth is observed for the GaS passivating layer. Films were characterized by transmission electron microscopy, X-ray powder diffraction, and X-ray photoelectron and Rutherford backscattering spectroscopies.

  18. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde

    2014-03-03

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing.

  19. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  20. X-Ray Diffraction (XRD) Characterization Methods for Sigma=3 Twin Defects in Cubic Semiconductor (100) Wafers

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor)

    2017-01-01

    An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.

  1. Vapor-phase exchange of perchloroethene between soil and plants

    USGS Publications Warehouse

    Struckhoff, G.C.; Burken, J.G.; Schumacher, J.G.

    2005-01-01

    Tree core concentrations of tetrachloroethylene (perchloroethene, PCE) at the Riverfront Superfund Site in New Haven, MO, were found to mimic the profile of soil phase concentrations. The observed soil-tree core relationship was stronger than that of groundwater PCE to tree core concentrations at the same site. Earlier research has shown a direct, linear relationship between tree core and groundwater concentrations of chlorinated solvents and other organics. Laboratory-scale experiments were performed to elucidate this phenomenon, including determining partitioning coefficients of PCE between plant tissues and air and between plant tissues and water, measured to be 8.1 and 49 L/kg, respectively. The direct relationship of soil to tree core PCE concentrations was hypothesized to be caused by diffusion between tree roots and the soil vapor phase in the subsurface. The central findings of this research are discovering the importance of subsurface vapor-phase transfer for VOCs and uncovering a direct relationship between soil vapor-phase chlorinated solvents and uptake rates that impact contaminant translocation from the subsurface and transfer into the atmosphere. ?? 2005 American Chemical Society.

  2. Cantilever epitaxial process

    DOEpatents

    Ashby, Carol I.; Follstaedt, David M.; Mitchell, Christine C.; Han, Jung

    2003-07-29

    A process of growing a material on a substrate, particularly growing a Group II-VI or Group III-V material, by a vapor-phase growth technique where the growth process eliminates the need for utilization of a mask or removal of the substrate from the reactor at any time during the processing. A nucleation layer is first grown upon which a middle layer is grown to provide surfaces for subsequent lateral cantilever growth. The lateral growth rate is controlled by altering the reactor temperature, pressure, reactant concentrations or reactant flow rates. Semiconductor materials, such as GaN, can be produced with dislocation densities less than 10.sup.7 /cm.sup.2.

  3. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection.

    PubMed

    Ding, Hong; Dwaraknath, Shyam S; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  4. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE PAGES

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; ...

    2016-05-04

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  5. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structuresmore » favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  6. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO 2 compounds which provides a rich chemical and structural polymorph space. Here, we find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO 2 substrates, where the VO 2 brookite phase would be preferentially grown on the a-c TiO 2 brookite plane whilemore » the columbite and anatase structures favor the a-b plane on the respective TiO 2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO 2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. Our criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.« less

  7. Synthesis science of SrRuO3 and CaRuO3 epitaxial films with high residual resistivity ratios

    NASA Astrophysics Data System (ADS)

    Nair, Hari P.; Liu, Yang; Ruf, Jacob P.; Schreiber, Nathaniel J.; Shang, Shun-Li; Baek, David J.; Goodge, Berit H.; Kourkoutis, Lena F.; Liu, Zi-Kui; Shen, Kyle M.; Schlom, Darrell G.

    2018-04-01

    Epitaxial SrRuO3 and CaRuO3 films were grown under an excess flux of elemental ruthenium in an adsorption-controlled regime by molecular-beam epitaxy (MBE), where the excess volatile RuOx (x = 2 or 3) desorbs from the growth front leaving behind a single-phase film. By growing in this regime, we were able to achieve SrRuO3 and CaRuO3 films with residual resistivity ratios (ρ300 K/ρ4 K) of 76 and 75, respectively. A combined phase stability diagram based on the thermodynamics of MBE (TOMBE) growth, termed a TOMBE diagram, is employed to provide improved guidance for the growth of complex materials by MBE.

  8. Trimethylamine alane for low-pressure MOVPE growth of AlGaAs-based materials and device structures

    NASA Astrophysics Data System (ADS)

    Schneider, R. P.; Bryan, R. P.; Jones, E. D.; Biefield, R. M.; Olbright, G. R.

    The use of trimethylamine alane (TMAA1) as an alternative to trimethylaluminum (TMA1) for low-pressure metalorganic vapor-phase epitaxy (MOVPE) of AlGaAs thin films as well as complex optoelectronic device structures has been studied in detail. AlGaAs layers were grown in a horizontal reaction chamber at 20 - 110 mbar with growth temperatures in the range 650 C less than or equal to T(sub G) less than or equal to 750 C. Wafer thickness uniformity is strongly dependent on growth pressure, and is acceptable only for the highest linear flow velocities. The 12 K photoluminescence (PL) spectra of AlGaAs layers grown using TMAA1 and TEGa exhibit uniformly intense and narrow bound-exciton emission throughout the growth temperature range investigated. To assess the viability of this new source for the low-pressure OMVPE growth of advanced optoelectronic devices, several optically-pumped vertical-cavity surface-emitting laser (VCSEL) structures were grown using TMAA1 extensively. Room temperature lasing at 850 nm was reproducibly obtained from the VCSEL structures, with a threshold pumping power comparable to similar structures grown by molecular beam epitaxy in our laboratories.

  9. CdZnTe substrate impurities and their effects on liquid phase epitaxy HgCdTe

    NASA Astrophysics Data System (ADS)

    Tower, J. P.; Tobin, S. P.; Kestigian, M.; Norton, P. W.; Bollong, A. B.; Schaake, H. F.; Ard, C. K.

    1995-05-01

    Impurity levels were tracked through the stages of substrate and liquid phase epitaxy (LPE) layer processing to identify sources of elements which degrade infrared photodetector performance. Chemical analysis by glow discharge mass spectrometry and Zeeman corrected graphite furnace atomic absorption effectively showed the levels of impurities introduced into CdZnTe substrate material from the raw materials and the crystal growth processes. A new purification process (in situ distillation zone refining) for raw materials was developed, resulting in improved CdZnTe substrate purity. Substrate copper contamination was found to degrade the LPE layer and device electrical properties, in the case of lightly doped HgCdTe. Anomalous HgCdTe carrier type conversion was correlated to certain CdZnTe and CdTe substrate ingots.

  10. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    PubMed

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  11. Condensational Droplet Growth in Rarefied Quiescent Vapor and Forced Convective Conditions

    NASA Astrophysics Data System (ADS)

    Anand, Sushant

    Multiphase Heat transfer is ubiquitous in diverse fields of application such as cooling systems, micro and mini power systems and many chemical processes. By now, single phase dynamics are mostly understood in their applications in vast fields, however multiphase systems especially involving phase changes are still a challenge. Present study aims to enhance understanding in this domain especially in the field of condensation heat transfer. Of special relevance to present studies is study of condensation phenomenon for detection of airborne nanoparticles using heterogeneous nucleation. Detection of particulate matter in the environment via heterogeneous condensation is based on the droplet growth phenomenon where seeding particles in presence of supersaturated vapor undergo condensation on their surface and amplify in size to micrometric ranges, thereby making them optically visible. Previous investigations show that condensation is a molecular exchange process affected by mean free path of vapor molecules (lambda) in conjunction with size of condensing droplet (d), which is measured in terms of Knudsen number (Kn=lambda/ d). In an event involving heterogeneous nucleation with favorable thermodynamic conditions for condensation to take place, the droplet growth process begins with accretion of vapor molecules on a surface through random molecular collision (Kn>1) until diffusive forces start dominating the mass transport process (Kn<<1). Knowledge of droplet growth thus requires understanding of mass transport in both of these regimes. Present study aims to understand the dynamics of the Microthermofluidic sensor which has been developed, based on above mentioned fundamentals. Using continuum approach, numerical modeling was carried to understand the effect of various system parameters for improving the device performance to produce conditions which can lead to conditions abetting condensational growth. The study reveals that the minimum size of nanoparticle which

  12. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    NASA Astrophysics Data System (ADS)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  13. Direct growth of graphene on in situ epitaxial hexagonal boron nitride flakes by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Zhongguang; Zheng, Renjing; Khanaki, Alireza

    2015-11-23

    Hexagonal boron nitride (h-BN) single-crystal domains were grown on cobalt (Co) substrates at a substrate temperature of 850–900 °C using plasma-assisted molecular beam epitaxy. Three-point star shape h-BN domains were observed by scanning electron microscopy, and confirmed by Raman and X-ray photoelectron spectroscopy. The h-BN on Co template was used for in situ growth of multilayer graphene, leading to an h-BN/graphene heterostructure. Carbon atoms preferentially nucleate on Co substrate and edges of h-BN and then grow laterally to form continuous graphene. Further introduction of carbon atoms results in layer-by-layer growth of graphene on graphene and lateral growth of graphene on h-BNmore » until it may cover entire h-BN flakes.« less

  14. Influence of phase transition on the instability of a liquid-vapor interface in a gravitational field

    NASA Astrophysics Data System (ADS)

    Konovalov, V. V.; Lyubimov, D. V.; Lyubimova, T. P.

    2017-06-01

    This study is concerned with the linear stability of the horizontal interface between thick layers of a viscous heat-conducting liquid and its vapor in a gravitational field subject to phase transition. We consider the case when the hydrostatic base state is consistent with a balanced heat flux at the liquid-vapor interface. The corrections to the growth rate of the most dangerous perturbations and cutoff wave number, characterizing the influence of phase transition on the Rayleigh-Taylor instability, are found to be different from the data in the literature. Most of the previous results were obtained in the framework of a quasiequilibrium approximation, which had been shown to conform to the limit of thin media layers under equality of the interface temperature to a saturation temperature. The main difference from the results obtained with the quasiequilibrium approach is new values of the proportionality coefficients that correlate our corrections with the intensity of weak heating. Moreover, at large values of the heat flux rate, when deviations from the approximate linear law are important, the effect of phase transition is limited and does not exceed the size of the vapor viscosity effect.

  15. Crystal Growth of ZnSe and Related Ternary Compound Semiconductors by Vapor Transport

    NASA Technical Reports Server (NTRS)

    Su, Ching-Hua; Brebrick, Robert F.; Volz, Martin P.; Burger, Arnold; Dudley, Michael; Matyi, Richard J.; Ramachandran, Narayanan; Sha, Yi-Gao; Volz, Martin P.; Shih, Hung-Dah

    2001-01-01

    Crystal growth by vapor transport has several distinct advantages over melt growth techniques. Among various potential benefits from material processing in reduced gravity the followings two are considered to be related to crystal growth by vapor transport: (1) elimination of the crystal weight and its influence on the defect formation and (2) reduction of natural buoyancy-driven convective flows arising from thermally and/ or solutally induced density gradient in fluids. The previous results on vapor crystal growth of semiconductors showed the improvements in surface morphology, crystalline quality, electrical properties and dopant distribution of the crystals grown in reduced gravity as compared to the crystals grown on Earth. But the mechanisms, which are responsible for the improvements and cause the gravitational effects on the complicated and coupled processes of vapor mass transport and growth kinetics, are not well understood.

  16. Ultrathin IBAD MgO films for epitaxial growth on amorphous substrates and sub-50 nm membranes

    DOE PAGES

    Wang, Siming; Antonakos, C.; Bordel, C.; ...

    2016-11-07

    Here, a fabrication process has been developed for high energy ion beam assisted deposition (IBAD) biaxial texturing of ultrathin (~1 nm) MgO films, using a high ion-to-atom ratio and post-deposition annealing instead of a homoepitaxial MgO layer. These films serve as the seed layer for epitaxial growth of materials on amorphous substrates such as electron/X-ray transparent membranes or nanocalorimetry devices. Stress measurements and atomic force microscopy of the MgO films reveal decreased stress and surface roughness, while X-ray diffraction of epitaxial overlayers demonstrates the improved crystal quality of films grown epitaxially on IBAD MgO. The process simplifies the synthesis ofmore » IBAD MgO, fundamentally solves the “wrinkle” issue induced by the homoepitaxial layer on sub-50 nm membranes, and enables studies of epitaxial materials in electron/X-ray transmission and nanocalorimetry.« less

  17. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  18. Growth study of self-assembled GaN nanocolumns on silica glass by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Liudi Mulyo, Andreas; Konno, Yuta; Nilsen, Julie S.; van Helvoort, Antonius T. J.; Fimland, Bjørn-Ove; Weman, Helge; Kishino, Katsumi

    2017-12-01

    We demonstrate GaN nanocolumn growth on fused silica glass by plasma-assisted molecular beam epitaxy. The effect of the substrate temperature, Ga flux and N2 flow rate on the structural and optical properties are studied. At optimum growth conditions, GaN nanocolumns are vertically aligned and well separated with an average diameter, height and density of 72 nm, 1.2 μm and 1.6 × 109 cm-2, respectively. The nanocolumns exhibit wurtzite crystal structure with no threading dislocations, stacking faults or twinning and grow in the [0 0 0 1] direction. At the interface adjacent to the glass, there is a few atom layers thick intermediate phase with ABC stacking order (zinc blende). Photoluminescence measurements evidence intense and narrow excitonic emissions, along with the absence of any defect-related zinc blende and yellow luminescence emission.

  19. Growth of zinc selenide crystals by physical vapor transport in microgravity

    NASA Technical Reports Server (NTRS)

    Rosenberger, Franz

    1995-01-01

    The growth of single crystals of zinc selenide was carried out by both closed ampoule physical vapor transport and effusive ampoule physical vapor transport (EAPVT). The latter technique was shown to be a much more efficient method for the seeded growth of zinc selenide, resulting in higher transport rates. Furthermore, EAPVT work on CdTe has shown that growth onto /n11/ seeds is advantageous for obtaining reduced twinning and defect densities in II-VI sphalerite materials.

  20. Ferroelectric domain structure of anisotropically strained NaNbO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Schwarzkopf, J.; Braun, D.; Schmidbauer, M.; Duk, A.; Wördenweber, R.

    2014-05-01

    NaNbO3 thin films have been grown under anisotropic biaxial strain on several oxide substrates by liquid-delivery spin metalorganic chemical vapor deposition. Compressive lattice strain of different magnitude, induced by the deposition of NaNbO3 films with varying film thickness on NdGaO3 single crystalline substrates, leads to modifications of film orientation and phase symmetry, which are similar to the phase transitions in Pb-containing oxides near the morphotropic phase boundary. Piezoresponse force microscopy measurements exhibit large out-of-plane polarization components, but no distinctive domain structure, while C-V measurements indicate relaxor properties in these films. When tensile strain is provoked by the epitaxial growth on DyScO3, TbScO3, and GdScO3 single crystalline substrates, NaNbO3 films behave rather like a normal ferroelectric. The application of these rare-earth scandate substrates yields well-ordered ferroelectric stripe domains of the type a1/a2 with coherent domain walls aligned along the [001] substrate direction as long as the films are fully strained. With increasing plastic lattice relaxation, initially, a 2D domain pattern with still exclusively in-plane electric polarization, and finally, domains with in-plane and out-of-plane polar components evolve.

  1. Preparation of a silicon surface for subsequent growth of dilute nitride alloys by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lazarenko, A. A.; Berezovskaya, T. N.; Denisov, D. V.; Sobolev, M. S.; Pirogov, E. V.; Nikitina, E. V.

    2017-11-01

    This article discusses the process of preparation of a silicon surface for subsequent growth of dilute nitride alloys by molecular-beam epitaxy. The method of preparation of Si (100) and Si (111) substrates was developed. This method provides reproducible high-quality silicon surface for molecular-beam epitaxy of Si-GaP heterostructures. As a result, it managed to reduce the eviction oxide temperature below 800 °C, which is an important parameter for the MBE technology.

  2. Stand-off detection of vapor phase explosives by resonance enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Ehlerding, Anneli; Johansson, Ida; Wallin, Sara; Östmark, Henric

    2010-10-01

    Stand-off measurements on nitromethane (NM), 2,4-DNT and 2,4,6-TNT in vapor phase using resonance Raman spectroscopy have been performed. The Raman cross sections for NM, DNT and TNT in vapor phase have been measured in the wavelength range 210-300 nm under laboratory conditions, in order to estimate how large resonance enhancement factors can be achieved for these explosives. The measurements show that the signal is greatly enhanced, up to 250.000 times for 2,4-DNT and 60.000 times for 2,4,6-TNT compared to the non-resonant signal at 532 nm. For NM the resonance enhancement enabled realistic outdoor measurements in vapor phase at 13 m distance. This all indicate a potential for resonance Raman spectroscopy as a stand-off technique for detection of vapor phase explosives.

  3. Vapour phase growth and characterization of II-VI mixed crystals

    NASA Astrophysics Data System (ADS)

    Reddy, D. R.; Reddy, B. K.

    1992-02-01

    All II-VI semiconductors with melting temperatures well above 1000 degree(s)C and with appreciable congruent vaporization well below their melting temperatures leave little scope for any growth technique except for the slow but efficient vaporphase growth method. Theoretical flaw in diffusion models of vapor phase growth was corrected by Factor and Garrett by incorporating the flow velocity term which otherwise would lead to segregation of constituents. An additional degree of freedom arising from the presence of two components was well utilized to finely control the stoichiometry in binaries. In mixed II-VI systems the components are either three or four, depending on whether the system is a ternary or a quaternary. The added degrees of freedom make it very difficult to control stoichiometry. However, Igaki et al. demonstrated the feasibility of control of stoichiometry in CdSxSe1-x. In this paper, a self-sealing vaporphase growth technique used for both ternary and quaternary system is described. The systems studied are CdSxSe1-x, (ZnSe)x(CdTe)1-x and (ZnTe)x(CdSe)1-x. Results on growth mechanism, miscibility, structure, band gap variation, conductivity type variation with 'x' and transport properties are presented in a comparative way and discussed. CdSxSe1-x system in the entire 'X' has the same crystal structure and type of conductivity. The second system has the same zincblend structure but the type of conductivity is very sensitive to thermal treatment. In the last system both structure and types of conductivity are different. The discontinuities in properties associated with this divergent end compound are presented and discussed. Among the physical properties/parameters studied crystal structure, bandgap and nature of conductivity are tailorable, and magnitudes of conductivity and dielectric properties are very difficult to control in the crystals grown by this vapor phase method.

  4. On the synthesis of AlPO4-21 molecular sieve by vapor phase transport method and its phase transformation to AlPO4-15 molecular sieve

    NASA Astrophysics Data System (ADS)

    Shao, Hui; Chen, Jingjing; Chen, Xia; Leng, Yixin; Zhong, Jing

    2015-04-01

    An experimental design was applied to the synthesis of AlPO4-21 molecular sieve (AWO structure) by vapor phase transport (VPT) method, using tetramethylguanidine (TMG) as the template. In this study, the effects of crystallization time, crystallization temperature, phosphor content, template content and water content in the synthesis gel were investigated. The materials obtained were characterized by X-ray diffraction, scanning electron microscopy and fourier transform infrared spectroscopy (FT-IR). Microstructural analysis of the crystal growth in vapor synthetic conditions revealed a revised crystal growth route from zeolite AlPO4-21 to AlPO4-15 in the presence of the TMG. Homogenous hexagonal prism AlPO4-21 crystals with size of 7 × 3 μm were synthesized at a lower temperature (120 °C), which were completely different from the typical tabular parallelogram crystallization microstructure of AlPO4-21 phase. The crystals were transformed into AlPO4-21 phase with higher crystallization temperature, longer crystallization time, higher P2O5/Al2O3 ratio and higher TMG/Al2O3 ratio.

  5. Growth of urea crystals by physical vapor transport

    NASA Technical Reports Server (NTRS)

    Feigelson, R. S.; Route, R. K.; Kao, T.-M.

    1985-01-01

    This work demonstrates that high optical quality crystals of urea can be grown by the physical vapor transport method. The unique features of this method are compared with growth from methanol/water solutions. High growth rates, exceeding 2.5 mm/day, were achieved, and cm-size optical quality single crystals were obtained. Details of the growth technique and the physical properties of the crystals are presented.

  6. Epitaxial growth of 100-μm thick M-type hexaferrite crystals on wide bandgap semiconductor GaN/Al{sub 2}O{sub 3} substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Bolin; Su, Zhijuan; Bennett, Steve

    2014-05-07

    Thick barium hexaferrite BaFe{sub 12}O{sub 19} (BaM) films having thicknesses of ∼100 μm were epitaxially grown on GaN/Al{sub 2}O{sub 3} substrates from a molten-salt solution by vaporizing the solvent. X-ray diffraction measurement verified the growth of BaM (001) textured growth of thick films. Saturation magnetization, 4πM{sub s}, was measured for as-grown films to be 4.6 ± 0.2 kG and ferromagnetic resonance measurements revealed a microwave linewidth of ∼100 Oe at X-band. Scanning electron microscopy indicated clear hexagonal crystals distributed on the semiconductor substrate. These results demonstrate feasibility of growing M-type hexaferrite crystal films on wide bandgap semiconductor substrates by using a simplemore » powder melting method. It also presents a potential pathway for the integration of ferrite microwave passive devices with active semiconductor circuit elements creating system-on-a-wafer architectures.« less

  7. Growth temperature-dependent metal–insulator transition of vanadium dioxide epitaxial films on perovskite strontium titanate (111) single crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Liangxin; Zhao, Jiangtao; Hong, Bin

    2016-04-14

    Vanadium dioxide (VO{sub 2}) epitaxial films were grown on perovskite single-crystal strontium titanate (SrTiO{sub 3}) substrates by reactive radio-frequency magnetron sputtering. The growth temperature-dependent metal–insulator transition (MIT) behavior of the VO{sub 2} epitaxial films was then investigated. We found that the order of magnitude of resistance change across the MIT increased from 10{sup 2} to 10{sup 4} with increasing growth temperature. In contrast, the temperature of the MIT does not strongly depend on the growth temperature and is fairly stable at about 345 K. On one hand, the increasing magnitude of the MIT is attributed to the better crystallinity and thusmore » larger grain size in the (010)-VO{sub 2}/(111)-SrTiO{sub 3} epitaxial films at elevated temperature. On the other hand, the strain states do not change in the VO{sub 2} films deposited at various temperatures, resulting in stable V-V chains and V-O bonds in the VO{sub 2} epitaxial films. The accompanied orbital occupancy near the Fermi level is also constant and thus the MIT temperatures of VO{sub 2} films deposited at various temperatures are nearly the same. This work demonstrates that high-quality VO{sub 2} can be grown on perovskite substrates, showing potential for integration into oxide heterostructures and superlattices.« less

  8. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  9. In situ TEM observation of heterogeneous phase transition of a constrained single-crystalline Ag2Te nanowire.

    PubMed

    In, Juneho; Yoo, Youngdong; Kim, Jin-Gyu; Seo, Kwanyong; Kim, Hyunju; Ihee, Hyotchel; Oh, Sang Ho; Kim, Bongsoo

    2010-11-10

    Laterally epitaxial single crystalline Ag2Te nanowires (NWs) are synthesized on sapphire substrates by the vapor transport method. We observed the phase transitions of these Ag2Te NWs via in situ transmission electron microscopy (TEM) after covering them with Pt layers. The constrained NW shows phase transition from monoclinic to a body-centered cubic (bcc) structure near the interfaces, which is ascribed to the thermal stress caused by differences in the thermal expansion coefficients. Furthermore, we observed the nucleation and growth of bcc phase penetrating into the face-centered cubic matrix at 200 °C by high-resolution TEM in real time. Our results would provide valuable insight into how compressive stresses imposed by overlayers affect behaviors of nanodevices.

  10. Epitaxial Reactor Development for Growth of Silicon-on-Insulator Devices.

    DTIC Science & Technology

    1987-04-01

    emision from substrate reflected from interface 40 Constructive interference condition 2tc= n X / 1 * Destrictive interference condition 2tD= (2n+1) X...combinations of growth conditions resulted in no oxide growth on the original silicon wafer. Growths occurred for Si:O molecular ratios higher than 1:1...growth rates occurred at 1050 0 C with water vapor at 1250 cc/min and silane at 50 cc/min. These results are shown in Table 6. The molecular ratio was 2:1

  11. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  12. Nonlinear dynamics of confined thin liquid-vapor bilayer systems with phase change

    NASA Astrophysics Data System (ADS)

    Kanatani, Kentaro; Oron, Alexander

    2011-03-01

    We numerically investigate the nonlinear evolution of the interface of a thin liquid-vapor bilayer system confined by rigid horizontal walls from both below and above. The lateral variation of the vapor pressure arising from phase change is taken into account in the present analysis. When the liquid (vapor) is heated (cooled) and gravity acts toward the liquid, the deflection of the interface monotonically grows, leading to a rupture of the vapor layer, whereas nonruptured stationary states are found when the liquid (vapor) is cooled (heated) and gravity acts toward the vapor. In the latter case, vapor-flow-driven convective cells are found in the liquid phase in the stationary state. The average vapor pressure and interface temperature deviate from their equilibrium values once the interface departs from the flat equilibrium state. Thermocapillarity does not have a significant effect near the thermodynamic equilibrium, but becomes important if the system significantly deviates from it.

  13. Vapor phase elemental sulfur amendment for sequestering mercury in contaminated soil

    DOEpatents

    Looney, Brian B.; Denham, Miles E.; Jackson, Dennis G.

    2014-07-08

    The process of treating elemental mercury within the soil is provided by introducing into the soil a heated vapor phase of elemental sulfur. As the vapor phase of elemental sulfur cools, sulfur is precipitated within the soil and then reacts with any elemental mercury thereby producing a reaction product that is less hazardous than elemental mercury.

  14. Vapor Phase Catalytic Ammonia Reduction

    NASA Technical Reports Server (NTRS)

    Flynn, Michael T.; Harper, Lynn D. (Technical Monitor)

    1994-01-01

    This paper discusses the development of a Vapor Phase Catalytic Ammonia Reduction (VPCAR) teststand and the results of an experimental program designed to evaluate the potential of the technology as a water purification process. In the experimental program the technology is evaluated based upon product water purity, water recovery rate, and power consumption. The experimental work demonstrates that the technology produces high purity product water and attains high water recovery rates at a relatively high specific power consumption. The experimental program was conducted in 3 phases. In phase I an Igepon(TM) soap and water mixture was used to evaluate the performance of an innovative Wiped-Film Rotating-Disk evaporator and associated demister. In phase II a phenol-water solution was used to evaluate the performance of the high temperature catalytic oxidation reactor. In phase III a urine analog was used to evaluate the performance of the combined distillation/oxidation functions of the processor.

  15. MOVPE growth of nitrogen- and aluminum-polar AlN on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Rudzinski, M.; Grzonka, J.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present a comprehensive study on metal-organic vapor phase epitaxy growth of N-polar and Al -polar AlN on 4H-SiC with 4° miscut using constant growth parameters. At a high temperature of 1165 °C, N-polar AlN layers had high crystalline quality whereas the Al-polar AlN surfaces had a high density of etch pits. For N-polar AlN, the V/III ratio below 1000 forms hexagonal hillocks, while the V/III ratio over 1000 yields step bunching without the hillocks. 1-μm-thick N-polar AlN layer grown in optimal conditions exhibited FWHMs of 307, 330 and 337 arcsec for (0 0 2), (1 0 2) and (2 0 1) reflections, respectively.

  16. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  17. Precursor dependent nucleation and growth of ruthenium films during chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Wen; Ekerdt, John G., E-mail: ekerdt@utexas.edu

    2016-07-15

    Nucleation and film growth characteristics are reported during chemical vapor deposition of Ru on SiO{sub 2} using triruthenium dodecacarbonyl [Ru{sub 3}(CO){sub 12}] and ruthenium bis(di-t-butylacetamidinate) dicarbonyl [Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2}]. Films grown from Ru{sub 3}(CO){sub 12} follow the three dimensional (3D) Volmer–Weber growth mode. In contrast, films grown from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} follow the pseudo-layer-by-layer growth mode with two dimensional wetting layer islands forming before 3D particle growth is observed on the islands. A relationship between free isolated hydroxyl [(Si-OH){sub i}] group density and Ru nucleation density is found for Ru{sub 3}(CO){sub 12} and is associated with (Si-OH){sub i}more » acting as the reaction sites for activation of Ru{sub 3}(CO){sub 12} and in turn generating an adjustable adatom concentration. Carbon monoxide and ammonia addition to the gas phase during film growth from Ru({sup t}Bu-Me-amd){sub 2}(CO){sub 2} lead to smoother films by inducing surface reconstructions during the 3D phase of pseudo-layer-by-layer growth; these gases also lead to films with lower resistivity and lower crystalline character.« less

  18. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  19. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  20. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.