Sample records for vapor-phase epitaxy grown

  1. Accumulation of Background Impurities in Hydride Vapor Phase Epitaxy Grown GaN Layers

    NASA Astrophysics Data System (ADS)

    Usikov, Alexander; Soukhoveev, Vitali; Kovalenkov, Oleg; Syrkin, Alexander; Shapovalov, Liza; Volkova, Anna; Ivantsov, Vladimir

    2013-08-01

    We report on accumulation of background Si and O impurities measured by secondary ion mass spectrometry (SIMS) at the sub-interfaces in undoped, Zn- and Mg-doped multi-layer GaN structures grown by hydride vapor phase epitaxy (HVPE) on sapphire substrates with growth interruptions. The impurities accumulation is attributed to reaction of ammonia with the rector quartz ware during the growth interruptions. Because of this effect, HVPE-grown GaN layers had excessive Si and O concentration on the surface that may hamper forming of ohmic contacts especially in the case of p-type layers and may complicate homo-epitaxial growth of a device structure.

  2. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  3. Optical properties of bulk gallium nitride single crystals grown by chloride-hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Agyekyan, V. F.; Borisov, E. V.; Serov, A. Yu.; Filosofov, N. G.

    2017-12-01

    A gallium nitride crystal 5 mm in thickness was grown by chloride-hydride vapor-phase epitaxy on a sapphire substrate, from which the crystal separated during cooling. At an early stage, a three-dimensional growth mode was implemented, followed by a switch to a two-dimensional mode. Spectra of exciton reflection, exciton luminescence, and Raman scattering are studied in several regions characteristic of the sample. Analysis of these spectra and comparison with previously obtained data for thin epitaxial GaN layers with a wide range of silicon doping enabled conclusions about the quality of the crystal lattice in these characteristic regions.

  4. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    NASA Astrophysics Data System (ADS)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  5. High quality factor whispering gallery modes from self-assembled hexagonal GaN rods grown by metal-organic vapor phase epitaxy.

    PubMed

    Tessarek, C; Sarau, G; Kiometzis, M; Christiansen, S

    2013-02-11

    Self-assembled GaN rods were grown on sapphire by metal-organic vapor phase epitaxy using a simple two-step method that relies first on a nitridation step followed by GaN epitaxy. The mask-free rods formed without any additional catalyst. Most of the vertically aligned rods exhibit a regular hexagonal shape with sharp edges and smooth sidewall facets. Cathodo- and microphotoluminescence investigations were carried out on single GaN rods. Whispering gallery modes with quality factors greater than 4000 were measured demonstrating the high morphological and optical quality of the self-assembled GaN rods.

  6. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  7. Epitaxial CuInSe2 thin films grown by molecular beam epitaxy and migration enhanced epitaxy

    NASA Astrophysics Data System (ADS)

    Abderrafi, K.; Ribeiro-Andrade, R.; Nicoara, N.; Cerqueira, M. F.; Gonzalez Debs, M.; Limborço, H.; Salomé, P. M. P.; Gonzalez, J. C.; Briones, F.; Garcia, J. M.; Sadewasser, S.

    2017-10-01

    While CuInSe2 chalcopyrite materials are mainly used in their polycrystalline form to prepare thin film solar cells, epitaxial layers have been used for the characterization of defects. Typically, epitaxial layers are grown by metal-organic vapor phase epitaxy or molecular beam epitaxy (MBE). Here we present epitaxial layers grown by migration enhanced epitaxy (MEE) and compare the materials quality to MBE grown layers. CuInSe2 layers were grown on GaAs (0 0 1) substrates by co-evaporation of Cu, In, and Se using substrate temperatures of 450 °C, 530 °C, and 620 °C. The layers were characterized by high resolution X-ray diffraction (HR-XRD), high-resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and atomic force microscopy (AFM). HR-XRD and HR-TEM show a better crystalline quality of the MEE grown layers, and Raman scattering measurements confirm single phase CuInSe2. AFM shows the previously observed faceting of the (0 0 1) surface into {1 1 2} facets with trenches formed along the [1 1 0] direction. The surface of MEE-grown samples appears smoother compared to MBE-grown samples, a similar trend is observed with increasing growth temperature.

  8. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Metal-organic vapor phase epitaxy of (GaAl)As for 0.85-μm laser diodes

    NASA Astrophysics Data System (ADS)

    Jacobs, K.; Bugge, F.; Butzke, G.; Lehmann, L.; Schimko, R.

    1988-11-01

    Metal-organic vapor phase epitaxy was used to grow stripe heterolaser diodes that were hitherto fabricated by liquid phase epitaxy. The main relationships between the growth parameters (partial input pressures, temperatures) and the properties of materials (thicknesses, solid-solution compositions, carrier densities) were investigated. The results were in full agreement with the mechanism of growth controlled by a vapor-phase diffusion. The results achieved routinely in the growth of GaAs are reported. It is shown that double heterostructure laser diodes fabricated by metal-organic vapor phase epitaxy compete favorably with those grown so far by liquid phase epitaxy, including their degradation and reliability.

  9. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  10. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured GaxIn1-xP (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to be nearly fullymore » relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  11. Epitaxial growth of three dimensionally structured III-V photonic crystal via hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Qiye; Kim, Honggyu; Zhang, Runyu

    2015-12-14

    Three-dimensional (3D) photonic crystals are one class of materials where epitaxy, and the resultant attractive electronic properties, would enable new functionalities for optoelectronic devices. Here we utilize self-assembled colloidal templates to fabricate epitaxially grown single crystal 3D mesostructured Ga{sub x}In{sub 1−x}P (GaInP) semiconductor photonic crystals using hydride vapor phase epitaxy (HVPE). The epitaxial relationship between the 3D GaInP and the substrate is preserved during the growth through the complex geometry of the template as confirmed by X-ray diffraction (XRD) and high resolution transmission electron microscopy. XRD reciprocal space mapping of the 3D epitaxial layer further demonstrates the film to bemore » nearly fully relaxed with a negligible strain gradient. Fourier transform infrared spectroscopy reflection measurement indicates the optical properties of the photonic crystal which agree with finite difference time domain simulations. This work extends the scope of the very few known methods for the fabrication of epitaxial III-V 3D mesostructured materials to the well-developed HVPE technique.« less

  12. Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun

    2014-12-01

    Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.

  13. Comparison of InGaAs(100) Grown by Chemical Beam Epitaxy and Metal Organic Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Williams, M. D.; Greene, A. L.; Daniels-Race, T.; Lum, R. M.

    2000-01-01

    Secondary ion mass spectrometry is used to study the effects of substrate temperature on the composition and growth rate of InGaAs/InP(100) multilayers grown by chemical beam epitaxy, metal-organic chemical vapor deposition and solid source molecular beam epitaxy. The growth kinetics of the material grown by the different techniques are analyzed and compared.

  14. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  15. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  16. Hydride vapor phase epitaxy of AlN using a high temperature hot-wall reactor

    NASA Astrophysics Data System (ADS)

    Baker, Troy; Mayo, Ashley; Veisi, Zeinab; Lu, Peng; Schmitt, Jason

    2014-10-01

    Aluminum nitride (AlN) was grown on c-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). The experiments utilized a two zone inductively heated hot-wall reactor. The surface morphology, crystal quality, and growth rate were investigated as a function of growth temperature in the range of 1450-1575 °C. AlN templates grown to a thickness of 1 μm were optimized with double axis X-ray diffraction (XRD) rocking curve full width half maximums (FWHMs) of 135″ for the (002) and 513″ for the (102).

  17. A semi-empirical model for the complete orientation dependence of the growth rate for vapor phase epitaxy - Chloride VPE of GaAs

    NASA Technical Reports Server (NTRS)

    Seidel-Salinas, L. K.; Jones, S. H.; Duva, J. M.

    1992-01-01

    A semi-empirical model has been developed to determine the complete crystallographic orientation dependence of the growth rate for vapor phase epitaxy (VPE). Previous researchers have been able to determine this dependence for a limited range of orientations; however, our model yields relative growth rate information for any orientation. This model for diamond and zincblende structure materials is based on experimental growth rate data, gas phase diffusion, and surface reactions. Data for GaAs chloride VPE is used to illustrate the model. The resulting growth rate polar diagrams are used in conjunction with Wulff constructions to simulate epitaxial layer shapes as grown on patterned substrates. In general, this model can be applied to a variety of materials and vapor phase epitaxy systems.

  18. Development of GaInP Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Schulte, Kevin L.; Simon, John; Mangum, John; ...

    2017-04-30

    We demonstrate the growth of homojunction GaInP solar cells by dynamic hydride vapor phase epitaxy for the first time. Simple unpassivated n-on-p structures grown in an inverted configuration with gold back reflectors were analyzed. Short wavelength performance varied strongly with emitter thickness, since collection in the emitter was limited by the lack of surface passivation. Collection in the base increased strongly with decreasing doping density, in the range 1 x 10 16 - 5 x 10 17 cm -3. Optical modeling indicated that, in our best device, doped ~1 x 10 16 cm -3, almost 94% of photons that passedmore » through the emitter were collected. Modeling also indicated that the majority of collection occurs in the depletion region with this design, suggesting that nonradiative recombination there might limit device performance. In agreement with this observation, the experimental dark J-V curve exhibited an ideality factor near n = 2. Thus, limitation of deep level carrier traps in the material is a path to improved performance. Preliminary experiments indicate that a reduced V/III ratio, which potentially affects the density of these presumed traps, improves cell performance. With reduced V/III ratio, we demonstrate a ~13% efficient GaInP cell measured under the 1-sun AM1.5G spectrum. In conclusion, this cell had an antireflective coating, but no front surface passivation.« less

  19. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  20. Indirectly pumped 3.7 THz InGaAs/InAlAs quantum-cascade lasers grown by metal-organic vapor-phase epitaxy.

    PubMed

    Fujita, Kazuue; Yamanishi, Masamichi; Furuta, Shinichi; Tanaka, Kazunori; Edamura, Tadataka; Kubis, Tillmann; Klimeck, Gerhard

    2012-08-27

    Device-performances of 3.7 THz indirect-pumping quantum-cascade lasers are demonstrated in an InGaAs/InAlAs material system grown by metal-organic vapor-phase epitaxy. The lasers show a low threshold-current-density of ~420 A/cm2 and a peak output power of ~8 mW at 7 K, no sign of parasitic currents with recourse to well-designed coupled-well injectors in the indirect pump scheme, and a maximum operating temperature of Tmax ~100 K. The observed roll-over of output intensities in current ranges below maximum currents and limitation of Tmax are discussed with a model for electron-gas heating in injectors. Possible ways toward elevation of Tmax are suggested.

  1. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khromov, S.; Hemmingsson, C.; Monemar, B.

    2014-12-14

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10{sup 16} cm{sup −3} to 6 × 10{sup 17} cm{sup −3}. Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits,more » quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10{sup 17} cm{sup −3} is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission.« less

  2. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy

    PubMed Central

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-01-01

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1–2 × 109 cm−2, which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 109 cm−2). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices. PMID:28772961

  3. Reduction of Defects in AlGaN Grown on Nanoscale-Patterned Sapphire Substrates by Hydride Vapor Phase Epitaxy.

    PubMed

    Tasi, Chi-Tsung; Wang, Wei-Kai; Tsai, Tsung-Yen; Huang, Shih-Yung; Horng, Ray-Hua; Wuu, Dong-Sing

    2017-05-31

    In this study, a 3-μm-thick AlGaN film with an Al mole fraction of 10% was grown on a nanoscale-patterned sapphire substrate (NPSS) using hydride vapor phase epitaxy (HVPE). The growth mechanism, crystallization, and surface morphology of the epilayers were examined using X-ray diffraction, transmission electron microscopy (TEM), and scanning electron microscopy at various times in the growth process. The screw threading dislocation (TD) density of AlGaN-on-NPSS can improve to 1-2 × 10⁸ cm -2 , which is significantly lower than that of the sample grown on a conventional planar sapphire substrate (7 × 10⁸ cm -2 ). TEM analysis indicated that these TDs do not subsequently propagate to the surface of the overgrown AlGaN layer, but bend or change directions in the region above the voids within the side faces of the patterned substrates, possibly because of the internal stress-relaxed morphologies of the AlGaN film. Hence, the laterally overgrown AlGaN films were obtained by HVPE, which can serve as a template for the growth of ultraviolet III-nitride optoelectronic devices.

  4. Microstructure and Optical Properties of Nonpolar m-Plane GaN Films Grown on m-Plane Sapphire by Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Duan, Ruifei; Wang, Junxi; Li, Jinmin; Huo, Ziqiang; Yang, Jiankun; Zeng, Yiping

    2008-05-01

    Thick nonpolar (1010) GaN layers were grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE) using magnetron sputtered ZnO buffers, while semipolar (1013) GaN layers were obtained by the conventional two-step growth method using the same substrate. The in-plane anisotropic structural characteristics and stress distribution of the epilayers were revealed by high resolution X-ray diffraction and polarized Raman scattering measurements. Atomic force microscopy (AFM) images revealed that the striated surface morphologies correlated with the basal plane stacking faults for both (1010) and (1013) GaN films. The m-plane GaN surface showed many triangular-shaped pits aligning uniformly with the tips pointing to the c-axis after etching in boiled KOH, whereas the oblique hillocks appeared on the semipolar epilayers. In addition, the dominant emission at 3.42 eV in m-plane GaN films displayed a red shift with respect to that in semipolar epilayers, maybe owing to the different strain states present in the two epitaxial layers.

  5. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  6. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    NASA Astrophysics Data System (ADS)

    Puybaret, Renaud; Patriarche, Gilles; Jordan, Matthew B.; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Voss, Paul L.; de Heer, Walt A.; Berger, Claire; Ougazzaden, Abdallah

    2016-03-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5-8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  7. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metalmore » organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.« less

  8. Metal-organic vapor-phase epitaxy-grown ultra-low density InGaAs/GaAs quantum dots exhibiting cascaded single-photon emission at 1.3 μm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paul, Matthias, E-mail: m.paul@ihfg.uni-stuttgart.de; Kettler, Jan; Zeuner, Katharina

    By metal-organic vapor-phase epitaxy, we have fabricated InGaAs quantum dots on GaAs substrate with an ultra-low lateral density (<10{sup 7} cm{sup −2}). The photoluminescence emission from the quantum dots is shifted to the telecom O-band at 1.31 μm by an InGaAs strain reducing layer. In time-resolved measurements, we find fast decay times for exciton (∼600 ps) and biexciton (∼300 ps). We demonstrate triggered single-photon emission (g{sup (2)}(0)=0.08) as well as cascaded emission from the biexciton decay. Our results suggest that these quantum dots can compete with their counterparts grown by state-of-the-art molecular beam epitaxy.

  9. Strain-free bulk-like GaN grown by hydride-vapor-phase-epitaxy on two-step epitaxial lateral overgrown GaN template

    NASA Astrophysics Data System (ADS)

    Gogova, D.; Kasic, A.; Larsson, H.; Hemmingsson, C.; Monemar, B.; Tuomisto, F.; Saarinen, K.; Dobos, L.; Pécz, B.; Gibart, P.; Beaumont, B.

    2004-07-01

    Crack-free bulk-like GaN with high crystalline quality has been obtained by hydride-vapor-phase-epitaxy (HVPE) growth on a two-step epitaxial lateral overgrown GaN template on sapphire. During the cooling down stage, the as-grown 270-μm-thick GaN layer was self-separated from the sapphire substrate. Plan-view transmission electron microscopy images show the dislocation density of the free-standing HVPE-GaN to be ˜2.5×107 cm-2 on the Ga-polar face. A low Ga vacancy related defect concentration of about 8×1015 cm-3 is extracted from positron annihilation spectroscopy data. The residual stress and the crystalline quality of the material are studied by two complementary techniques. Low-temperature photoluminescence spectra show the main neutral donor bound exciton line to be composed of a doublet structure at 3.4715 (3.4712) eV and 3.4721 (3.4718) eV for the Ga- (N-) polar face with the higher-energy component dominating. These line positions suggest virtually strain-free material on both surfaces with high crystalline quality as indicated by the small full width at half maximum values of the donor bound exciton lines. The E1(TO) phonon mode position measured at 558.52 cm-1 (Ga face) by infrared spectroscopic ellipsometry confirms the small residual stress in the material, which is hence well suited to act as a lattice-constant and thermal-expansion-coefficient matched substrate for further homoepitaxy, as needed for high-quality III-nitride device applications.

  10. High-Performance InGaAs/InP Composite-Channel High Electron Mobility Transistors Grown by Metal-Organic Vapor-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Sugiyama, Hiroki; Kosugi, Toshihiko; Yokoyama, Haruki; Murata, Koichi; Yamane, Yasuro; Tokumitsu, Masami; Enoki, Takatomo

    2008-04-01

    This paper reports InGaAs/InP composite-channel (CC) high electron mobility transistors (HEMTs) grown by metal-organic vapor-phase epitaxy (MOVPE) with excellent breakdown and high-speed characteristics. Atomic force microscopy (AFM) reveals high-quality heterointerfaces between In(Ga,Al)As and In(Al)P. Fabricated 80-nm-gate CC HEMTs exhibit on- and off-state breakdown (burnout) voltages estimated at higher than 3 and 8 V. An excellent current-gain cutoff frequency ( fT) of 186 GHz is also obtained in the CC HEMTs. The on-wafer uniformity of CC-HEMT characteristics is comparable to those of our mature 100-nm-gate InGaAs single-channel HEMTs. Bias-stress aging tests reveals that the lifetime of CC HEMTs is expected to be comparable to that of our conventional InGaAs single-channel HEMTs.

  11. Substrate misorientation induced strong increase in the hole concentration in Mg doped GaN grown by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suski, T.; Litwin-Staszewska, E.; Piotrzkowski, R.

    We demonstrate that relatively small GaN substrate misorientation can strongly change hole carrier concentration in Mg doped GaN layers grown by metalorganic vapor phase epitaxy. In this work intentionally misoriented GaN substrates (up to 2 deg. with respect to ideal <0001> plane) were employed. An increase in the hole carrier concentration to the level above 10{sup 18} cm{sup -3} and a decrease in GaN:Mg resistivity below 1 {omega} cm were achieved. Using secondary ion mass spectroscopy we found that Mg incorporation does not change with varying misorientation angle. This finding suggests that the compensation rate, i.e., a decrease in unintentionalmore » donor density, is responsible for the observed increase in the hole concentration. Analysis of the temperature dependence of electrical transport confirms this interpretation.« less

  12. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  13. Tunnel Junction Development Using Hydride Vapor Phase Epitaxy

    DOE PAGES

    Ptak, Aaron J.; Simon, John D.; Schulte, Kevin L.; ...

    2017-10-18

    We demonstrate for the first time III-V tunnel junctions grown using hydride vapor phase epitaxy (HVPE) with peak tunneling currents >8 A/cm 2, sufficient for operation of a multijunction device to several hundred suns of concentration. Multijunction solar cells rely on tunneling interconnects between subcells to enable series connection with minimal voltage loss, but tunnel junctions have never been shown using the HVPE growth method. HVPE has recently reemerged as a low-cost growth method for high-quality III-V materials and devices, including the growth of high-efficiency III-V solar cells. We previously showed single-junction GaAs solar cells with conversion efficiencies of ~24%more » with a path forward to equal or exceed the practical efficiency limits of crystalline Si. Moving to a multijunction device structure will allow for even higher efficiencies with minimal impact on cost, necessitating the development of tunnel interconnects. Here in this paper, we demonstrate the performance of both isolated HVPE-grown tunnel junctions, as well as single-junction GaAs solar cell structures with a tunnel junction incorporated into the contact region. We observe no degradation in device performance compared to a structure without the added junction.« less

  14. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  15. III-nitride nanopyramid light emitting diodes grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wildeson, Isaac H.; Colby, Robert; Ewoldt, David A.; Liang, Zhiwen; Zakharov, Dmitri N.; Zaluzec, Nestor J.; García, R. Edwin; Stach, Eric A.; Sands, Timothy D.

    2010-08-01

    Nanopyramid light emitting diodes (LEDs) have been synthesized by selective area organometallic vapor phase epitaxy. Self-organized porous anodic alumina is used to pattern the dielectric growth templates via reactive ion etching, eliminating the need for lithographic processes. (In,Ga)N quantum well growth occurs primarily on the six {11¯01} semipolar facets of each of the nanopyramids, while coherent (In,Ga)N quantum dots with heights of up to ˜20 nm are incorporated at the apex by controlling growth conditions. Transmission electron microscopy (TEM) indicates that the (In,Ga)N active regions of the nanopyramid heterostructures are completely dislocation-free. Temperature-dependent continuous-wave photoluminescence of nanopyramid heterostructures yields a peak emission wavelength of 617 nm and 605 nm at 300 K and 4 K, respectively. The peak emission energy varies with increasing temperature with a double S-shaped profile, which is attributed to either the presence of two types of InN-rich features within the nanopyramids or a contribution from the commonly observed yellow defect luminescence close to 300 K. TEM cross-sections reveal continuous planar defects in the (In,Ga)N quantum wells and GaN cladding layers grown at 650-780 °C, present in 38% of the nanopyramid heterostructures. Plan-view TEM of the planar defects confirms that these defects do not terminate within the nanopyramids. During the growth of p-GaN, the structure of the nanopyramid LEDs changed from pyramidal to a partially coalesced film as the thickness requirements for an undepleted p-GaN layer result in nanopyramid impingement. Continuous-wave electroluminescence of nanopyramid LEDs reveals a 45 nm redshift in comparison to a thin-film LED, suggesting higher InN incorporation in the nanopyramid LEDs. These results strongly encourage future investigations of III-nitride nanoheteroepitaxy as an approach for creating efficient long wavelength LEDs.

  16. Thermodynamic considerations of the vapor phase reactions in III-nitride metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2017-04-01

    We analyzed the metal organic vapor phase epitaxial growth mechanism of the III-nitride semiconductors GaN, AlN, and InN by first-principles calculations and thermodynamic analyses. In these analyses, we investigated the decomposition processes of the group III source gases X(CH3)3 (X = Ga, Al, In) at finite temperatures and determined whether the (CH3)2GaNH2 adduct can be formed or not. The results of our calculations show that the (CH3)2GaNH2 adduct cannot be formed in the gas phase in GaN metal organic vapor phase epitaxy (MOVPE), whereas, in AlN MOVPE, the formation of the (CH3)2AlNH2 adduct in the gas phase is exclusive. In the case of GaN MOVPE, trimethylgallium (TMG, [Ga(CH3)3]) decomposition into Ga gas on the growth surface with the assistance of H2 carrier gas, instead of the formation of the (CH3)2GaNH2 adduct, occurs almost exclusively. Moreover, in the case of InN MOVPE, the formation of the (CH3)2InNH2 adduct does not occur and it is relatively easy to produce In gas even without H2 in the carrier gas.

  17. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Turski, H., E-mail: henryk@unipress.waw.pl; Muziol, G.; Wolny, P.

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ{sub N}) during quantum wells (QWs) growth. We found that high Φ{sub N} improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold currentmore » density are discussed.« less

  18. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  19. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    NASA Astrophysics Data System (ADS)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Suda, Jun

    2016-05-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1% was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2 V-1 s-1 at 300 K and 72 cm2 V-1 s-1 at 200 K were observed in lightly doped p-GaN.

  20. OM-VPE growth of Mg-doped GaAs. [OrganoMetallic-Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Lewis, C. R.; Dietze, W. T.; Ludowise, M. J.

    1982-01-01

    The epitaxial growth of Mg-doped GaAs by the organometallic vapor phase epitaxial process (OM-VPE) has been achieved for the first time. The doping is controllable over a wide range of input fluxes of bis (cyclopentadienyl) magnesium, (C5H5)2Mg, the organometallic precursor to Mg.

  1. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  2. Deep level transient spectroscopy signatures of majority traps in GaN p-n diodes grown by metal-organic vapor-phase epitaxy technique on GaN substrates

    NASA Astrophysics Data System (ADS)

    PŁaczek-Popko, E.; Trzmiel, J.; Zielony, E.; Grzanka, S.; Czernecki, R.; Suski, T.

    2009-12-01

    In this study, we present the results of investigation on p-n GaN diodes by means of deep level transient spectroscopy (DLTS) within the temperature range of 77-350 K. Si-doped GaN layers were grown by metal-organic vapor-phase epitaxy technique (MOVPE) on the free-standing GaN substrates. Subsequently Mg-doped GaN layers were grown. To perform DLTS measurements Ni/Au contacts to p-type material and Ti/Au contacts to n-type material were processed. DLTS signal spectra revealed the presence of two majority traps of activation energies obtained from Arrhenius plots equal to E1=0.22 eV and E2=0.65 eV. In present work we show that the trap E1 is linked with the extended defects whereas the trap E2 is the point defect related. Its capture cross section is thermally activated with energy barrier for capture equal to 0.2 eV.

  3. Modeling of Gallium Nitride Hydride Vapor Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A reactor model for the hydride vapor phase epitaxy of GaN is presented. The governing flow, energy, and species conservation equations are solved in two dimensions to examine the growth characteristics as a function of process variables and reactor geometry. The growth rate varies with GaCl composition but independent of NH3 and H2 flow rates. A change in carrier gas for Ga source from H2 to N2 affects the growth rate and uniformity for a fixed reactor configuration. The model predictions are in general agreement with observed experimental behavior.

  4. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    NASA Astrophysics Data System (ADS)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  5. Phase degradation in BxGa1-xN films grown at low temperature by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; Allerman, Andrew A.; Lee, Stephen R.

    2017-04-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of BxGa1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750-900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to 7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stacking faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at 362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. Only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.

  6. Photoinduced current transient spectroscopy of deep levels and transport mechanisms in iron-doped GaN thin films grown by low pressure-metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Muret, P.; Pernot, J.; Azize, M.; Bougrioua, Z.

    2007-09-01

    Electrical transport and deep levels are investigated in GaN:Fe layers epitaxially grown on sapphire by low pressure metalorganic vapor phase epitaxy. Photoinduced current transient spectroscopy and current detected deep level spectroscopy are performed between 200 and 650 K on three Fe-doped samples and an undoped sample. A detailed study of the detected deep levels assigns dominant centers to a deep donor 1.39 eV below the conduction band edge EC and to a deep acceptor 0.75 eV above the valence band edge EV at low electric field. A strong Poole-Frenkel effect is evidenced for the donor. Schottky diodes characteristics and transport properties in the bulk GaN:Fe layer containing a homogenous concentration of 1019 Fe/cm3 are typical of a compensated semiconductor. They both indicate that the bulk Fermi level is located typically 1.4 eV below EC, in agreement with the neutrality equation and dominance of the deep donor concentration. This set of results demonstrates unambiguously that electrical transport in GaN:Fe is governed by both types, either donor or acceptor, of the iron impurity, either substitutional in gallium sites or associated with other defects.

  7. Defect structure of high temperature hydride vapor phase epitaxy-grown epitaxial (0 0 0 1) AlN/sapphire using growth mode modification process

    NASA Astrophysics Data System (ADS)

    Su, Xujun; Zhang, Jicai; Huang, Jun; Zhang, Jinping; Wang, Jianfeng; Xu, Ke

    2017-06-01

    Defect structures were investigated by transmission electron microscopy for AlN/sapphire (0 0 0 1) epilayers grown by high temperature hydride vapor phase epitaxy using a growth mode modification process. The defect structures, including threading dislocations, inversion domains, and voids, were analyzed by diffraction contrast, high-resolution imaging, and convergent beam diffraction. AlN film growth was initiated at 1450 °C with high V/III ratio for 8 min. This was followed by low V/III ratio growth for 12 min. The near-interfacial region shows a high density of threading dislocations and inversion domains. Most of these dislocations have Burgers vector b = 1/3〈1 1 2 0〉 and were reduced with the formation of dislocation loops. In the middle range 400 nm < h < 2 μm, dislocations gradually aggregated and reduced to ∼109 cm-2. The inversion domains have a shuttle-like shape with staggered boundaries that deviate by ∼ ±5° from the c axis. Above 2 μm thickness, the film consists of isolated threading dislocations with a total density of 8 × 108 cm-2. Most of threading dislocations are either pure edge or mixed dislocations. The threading dislocation reduction in these films is associated with dislocation loops formation and dislocation aggregation-interaction during island growth with high V/III ratio.

  8. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  9. Effect of AlInGaN barrier layers with various TMGa flows on optoelectronic characteristics of near UV light-emitting diodes grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fu, Yi-Keng; Lu, Yu-Hsuan; Jiang, Ren-Hao; Chen, Bo-Chun; Fang, Yen-Hsiang; Xuan, Rong; Su, Yan-Kuin; Lin, Chia-Feng; Chen, Jebb-Fang

    2011-08-01

    Near ultraviolet light-emitting diodes (LEDs) with quaternary AlInGaN quantum barriers (QBs) are grown by atmospheric pressure metalorganic vapor phase epitaxy. The indium mole fraction of AlInGaN QB could be enhanced as we increased the TMG flow rate. Both the wavelength shift in EL spectra and forward voltage at 20 mA current injection were reduced by using AlInGaN QB. Under 100 mA current injection, the LED output power with Al 0.089In 0.035Ga 0.876N QB can be enhanced by 15.9%, compared to LED with GaN QB. It should be attributed to a reduction of lattice mismatch induced polarization mismatch in the active layer.

  10. Study of thermal stability of spontaneously grown superlattice structures by metalorganic vapor phase epitaxy in AlxGa1-xAs/GaAs heterostructure

    NASA Astrophysics Data System (ADS)

    Pradhan, A.; Maitra, T.; Mukherjee, S.; Mukherjee, S.; Satpati, B.; Nayak, A.; Bhunia, S.

    2018-04-01

    Spontaneous superlattice ordering in a length scale larger than an atomic layer has been observed in AlxGa1-xAs layers grown on (100) GaAs substrates by metalorganic vapor phase epitaxy. Transmission electron microscopic image clearly revealed superlattice structures and the selected area electron diffraction showed closely spaced superlattice spots around the main diffraction pattern. High resolution x-ray diffraction showed distinct and sharp superlattice peaks symmetrically positioned around the central (004) Bragg peak and the similar measurement for (002) planes, which is quasi-forbidden for Bragg reflections showed only superlattice peaks. Thermal annealing studies showed the superlattice structure was stable up to 800 °C and disappeared after annealing at 900 °C retaining the crystallinity of the epilayer. Study of inter-diffusivitiesin such superlattice structures has been carried out using high temperaturex-ray diffraction results. Here we present (004) x-ray θ-2θ scans of the AlGaAs/GaAs (100) sample with annealing time for different temperatures. Conclusions regarding interdiffusion in such superlattice structures are drawn from high temperature X-ray measurements.

  11. Electron mobility enhancement in metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors by control of surface morphology of spacer layer

    NASA Astrophysics Data System (ADS)

    Yamada, Atsushi; Ishiguro, Tetsuro; Kotani, Junji; Nakamura, Norikazu

    2018-01-01

    We demonstrated low-sheet-resistance metalorganic-vapor-phase-epitaxy-grown InAlN high-electron-mobility transistors using AlGaN spacers with excellent surface morphology. We systematically investigated the effects of AlGaN spacer growth conditions on surface morphology and electron mobility. We found that the surface morphology of InAlN barriers depends on that of AlGaN spacers. Ga desorption from AlGaN spacers was suppressed by increasing the trimethylaluminum (TMA) supply rate, resulting in the small surface roughnesses of InAlN barriers and AlGaN spacers. Moreover, we found that an increase in the NH3 supply rate also improved the surface morphologies of InAlN barriers and AlGaN spacers as long as the TMA supply rate was high enough to suppress the degradation of GaN channels. Finally, we realized a low sheet resistance of 185.5 Ω/sq with a high electron mobility of 1210 cm2 V-1 s-1 by improving the surface morphologies of AlGaN spacers and InAlN barriers.

  12. Deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase-epitaxy n-GaAs

    NASA Technical Reports Server (NTRS)

    Partin, D. L.; Chen, J. W.; Milnes, A. G.; Vassamillet, L. F.

    1979-01-01

    The paper presents deep-level transient spectroscopy studies of Ni- and Zn-diffused vapor-phase epitaxy n-GaAs. Nickel diffused into VPE n-GaAs reduces the hole diffusion length L sub p from 4.3 to 1.1 microns. Deep-level transient spectroscopy was used to identify energy levels in Ni-diffused GaAs; the as-grown VPE GaAs contains traces of these levels and an electron trap. Ni diffusion reduces the concentration of this level by an amount that matches the increase in concentration of each of the two Ni-related levels. A technique for measuring minority-carrier capture cross sections was developed, which indicates that L sub p in Ni-diffused VPE n-GaAs is controlled by the E sub c - 0.39 eV defect level.

  13. Use of column V alkyls in organometallic vapor phase epitaxy (OMVPE)

    NASA Technical Reports Server (NTRS)

    Ludowise, M. J.; Cooper, C. B., III

    1982-01-01

    The use of the column V-trialkyls trimethylarsenic (TMAs) and trimethylantimony (TMSb) for the organometallic vapor phase epitaxy (OM-VPE) of III-V compound semiconductors is reviewed. A general discussion of the interaction chemistry of common Group III and Group V reactants is presented. The practical application of TMSb and TMAs for OM-VPE is demonstrated using the growth of GaSb, GaAs(1-y)Sb(y), Al(x)Ga(1-x)Sb, and Ga(1-x)In(x)As as examples.

  14. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.

  15. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE PAGES

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.; ...

    2016-11-01

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  16. Phase degradation in B xGa 1–xN films grown at low temperature by metalorganic vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gunning, Brendan P.; Moseley, Michael W.; Koleske, Daniel D.

    Using metalorganic vapor phase epitaxy, a comprehensive study of B xGa 1-xN growth on GaN and AlN templates is described. BGaN growth at high-temperature and high-pressure results in rough surfaces and poor boron incorporation efficiency, while growth at low-temperature and low-pressure (750–900 °C and 20 Torr) using nitrogen carrier gas results in improved surface morphology and boron incorporation up to ~7.4% as determined by nuclear reaction analysis. However, further structural analysis by transmission electron microscopy and x-ray pole figures points to severe degradation of the high boron composition films, into a twinned cubic structure with a high density of stackingmore » faults and little or no room temperature photoluminescence emission. Films with <1% triethylboron (TEB) flow show more intense, narrower x-ray diffraction peaks, near-band-edge photoluminescence emission at ~362 nm, and primarily wurtzite-phase structure in the x-ray pole figures. For films with >1% TEB flow, the crystal structure becomes dominated by the cubic phase. As a result, only when the TEB flow is zero (pure GaN), does the cubic phase entirely disappear from the x-ray pole figure, suggesting that under these growth conditions even very low boron compositions lead to mixed crystalline phases.« less

  17. A Kinetic Model for GaAs Growth by Hydride Vapor Phase Epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Simon, John; Jain, Nikhil

    2016-11-21

    Precise control of the growth of III-V materials by hydride vapor phase epitaxy (HVPE) is complicated by the fact that the growth rate depends on the concentrations of nearly all inputs to the reactor and also the reaction temperature. This behavior is in contrast to metalorganic vapor phase epitaxy (MOVPE), which in common practice operates in a mass transport limited regime where growth rate and alloy composition are controlled almost exclusively by flow of the Group III precursor. In HVPE, the growth rate and alloy compositions are very sensitive to temperature and reactant concentrations, which are strong functions of themore » reactor geometry. HVPE growth, particularly the growth of large area materials and devices, will benefit from the development of a growth model that can eventually be coupled with a computational fluid dynamics (CFD) model of a specific reactor geometry. In this work, we develop a growth rate law using a Langmuir-Hinshelwood (L-H) analysis, fitting unknown parameters to growth rate data from the literature that captures the relevant kinetic and thermodynamic phenomena of the HVPE process. We compare the L-H rate law to growth rate data from our custom HVPE reactor, and develop quantitative insight into reactor performance, demonstrating the utility of the growth model.« less

  18. Fabrication of selective-area growth InGaN LED by mixed-source hydride vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Bae, Sung Geun; Jeon, Injun; Jeon, Hunsoo; Kim, Kyoung Hwa; Yang, Min; Yi, Sam Nyung; Lee, Jae Hak; Ahn, Hyung Soo; Yu, Young Moon; Sawaki, Nobuhiko; Kim, Suck-Whan

    2018-01-01

    We prepared InGaN light-emitting diodes (LEDs) with the active layers grown from a mixed source of Ga-In-N materials on an n-type GaN substrate by a selective-area growth method and three fabrication steps: photolithography, epitaxial layer growth, and metallization. The preparation followed a previously developed experimental process using apparatus for mixed-source hydride vapor-phase epitaxy (HVPE), which consisted of a multi-graphite boat, for insulating against the high temperature and to control the growth rate of epilayers, filled with the mixed source on the inside and a radio-frequency (RF) heating coil for heating to a high temperature (T > 900 °C) and for easy control of temperature outside the source zone. Two types of LEDs were prepared, with In compositions of 11.0 and 6.0% in the InGaN active layer, and room-temperature electroluminescence measurements exhibited a main peak corresponding to the In composition at either 420 or 390 nm. The consecutive growth of InGaN LEDs by the mixed-source HVPE method provides a technique for the production of LEDs with a wide range of In compositions in the active layer.

  19. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  20. The effect of surfactants on epitaxial growth of gallium nitride from gas phase in the Ga-HCl-NH3-H2-Ar system

    NASA Astrophysics Data System (ADS)

    Zhilyaev, Yu. V.; Zelenin, V. V.; Orlova, T. A.; Panteleev, V. N.; Poletaev, N. K.; Rodin, S. N.; Snytkina, S. A.

    2015-05-01

    We have studied epitaxial layers of gallium nitride (GaN) in a template composition grown by surfactant-mediated hydride-chloride vapor phase epitaxy. The surfactant component was provided by 5 mass % additives of antimony and indium to the source of gallium. Comparative analysis of the obtained results shows evidence of the positive influence of surfactants on the morphology of epitaxial GaN layers.

  1. Effect of gamma-ray irradiation on structural properties of GaAsN films grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Wattanawareekul, Atiwat; Suwanyangyaun, Pattana; Srepusharawoot, Pornjuk; Onabe, Kentaro

    2015-05-01

    The effects of gamma-ray irradiation on the structural properties of GaAs1-xNx films (N concentration=1.9 and 5.1 at%) grown by metal organic vapor phase epitaxy on GaAs (001) substrates were investigated. The GaAs1-xNx films were irradiated by gamma rays with irradiation strength of 0-2.0 MGy. Scanning electron microscopy and atomic force microscopy results showed that a gamma ray with a strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy formed holes with a density of 0.0, 8.8, 9.4, 11.5, and 11.9 μm-2, respectively, on the surface of a GaAs0.981N0.019 film with low N content. On the other hand, the irradiated high-N-content GaAs0.949N0.051 film exhibited a cross-hatch pattern, which was induced by partial strain relaxation at high N levels, with a line density of 0.0, 0.21, 0.37, 0.67, and 0.26 μm-1 corresponding to an irradiation strength of 0, 0.5, 1.0, 1.5, and 2.0 MGy, respectively. The high-resolution X-ray diffraction and Raman scattering results revealed an increase in N incorporation and strain relaxation after irradiation. In addition, the GaAs0.949N0.051 films exhibited phase separation, which took place via N out-diffusion across the interface when the irradiation strength exceeded 1.0 MGy. Based on these results, the main cause of structural change was determined to be the irradiation effects including displacement damage and gamma-ray heating.

  2. Ultrahigh-yield growth of GaN via halogen-free vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi

    2018-06-01

    The material yield of Ga during GaN growth via halogen-free vapor-phase epitaxy (HF-VPE) was systematically investigated and found to be much higher than that obtained using conventional hydride VPE. This is attributed to the much lower process pressure and shorter seed-to-source distance, owing to the inherent chemical reactions and corresponding reactor design used for HF-VPE growth. Ultrahigh-yield GaN growth was demonstrated on a 4-in.-diameter sapphire seed substrate.

  3. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  4. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  5. Growth studies of erbium-doped GaAs deposited by metalorganic vapor phase epitaxy using noval cyclopentadienyl-based erbium sources

    NASA Technical Reports Server (NTRS)

    Redwing, J. M.; Kuech, T. F.; Gordon, D. C.; Vaartstra, B. A.; Lau, S. S.

    1994-01-01

    Erbium-doped GaAS layers were grown by metalorganic vapor phase epitaxy using two new sources, bis(i-propylcyclopentadienyl)cyclopentadienyl erbium and tris(t-butylcyclopentadienyl) erbium. Controlled Er doping in the range of 10(exp 17) - 10(exp 18)/cu cm was achieved using a relatively low source temperature of 90 C. The doping exhibits a second-order dependence on inlet source partial pressure, similar to behavior obtained with cyclopentadienyl Mg dopant sources. Equivalent amounts of oxygen and Er are present in 'as-grown' films indicating that the majority of Er dopants probably exist as Er-O complexes in the material. Er(+3) luminescence at 1.54 micrometers was measured from the as-grown films, but ion implantation of additional oxygen decreases the emission intensity. Electrical compensation of n-type GaAs layers codoped with Er and Si is directly correlated to the Er concentration is proposed to arise from the deep centers associated with Er which are responsible for a broad emission band near 0.90 micrometers present in the photoluminescence spectra of GaAs:Si, Er films.

  6. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  7. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  8. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ayari, Taha; Li, Xin; Voss, Paul L.

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure tomore » be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.« less

  9. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  10. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  11. Effect of doping on the forward current-transport mechanisms in a metal-insulator-semiconductor contact to INP:ZN grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Cova, P.; Singh, A.; Medina, A.; Masut, R. A.

    1998-04-01

    A detailed study of the effect of doping density on current transport was undertaken in Au metal-insulator-semiconductor (MIS) contacts fabricated on Zn-doped InP layers grown by metal organic vapor phase epitaxy. A recently developed method was used for the simultaneous analysis of the current-voltage ( I- V) and capacitance-voltage ( C- V) characteristics in an epitaxial MIS diode which brings out the contributions of different current-transport mechanisms to the total current. I- V and high-frequency C- V measurements were performed on two MIS diodes at different temperatures in the range 220-395 K. The barrier height at zero bias of Au/InP:Zn MIS diodes, φ0 (1.06 V±10%), was independent both of the Zn-doping density and of the surface preparation. The interface state density distribution Nss as well as the thickness of the oxide layer (2.2±15% nm) unintentionally grown before Au deposition were independent of the Zn-doping concentration in the range 10 16< NA<10 17 cm -3; not so the effective potential barrier χ of the insulator layer and the density of the mid-gap traps. χ was much lower for the highly-doped sample. Our results indicate that at high temperatures, independent of the Zn-doping concentration, the interfacial layer-thermionic (ITE) and interfacial layer-diffusion (ID) mechanisms compete with each other to control the current transport. At intermediate temperatures, however, ITE and ID will no longer be the only dominant mechanisms in the MIS diode fabricated on the highly-doped sample. In this case, the assumption of a generation-recombination current permits a better fit to the experimental data. Analysis of the data suggests that the generation-recombination current, observed only in the highly-doped sample, is associated with an increase in the Zn-doping density. From the forward I- V data for this diode we obtained the energy level (0.60 eV from the conduction band) for the most effective recombination centers.

  12. Phase transformation of molecular beam epitaxy-grown nanometer-thick Gd₂O₃ and Y₂O₃ on GaN.

    PubMed

    Chang, Wen-Hsin; Wu, Shao-Yun; Lee, Chih-Hsun; Lai, Te-Yang; Lee, Yi-Jun; Chang, Pen; Hsu, Chia-Hung; Huang, Tsung-Shiew; Kwo, J Raynien; Hong, Minghwei

    2013-02-01

    High quality nanometer-thick Gd₂O₃ and Y₂O₃ (rare-earth oxide, R₂O₃) films have been epitaxially grown on GaN (0001) substrate by molecular beam epitaxy (MBE). The R₂O₃ epi-layers exhibit remarkable thermal stability at 1100 °C, uniformity, and highly structural perfection. Structural investigation was carried out by in situ reflection high energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with synchrotron radiation. In the initial stage of epitaxial growth, the R₂O₃ layers have a hexagonal phase with the epitaxial relationship of R₂O₃ (0001)(H)<1120>(H)//GaN(0001)(H)<1120>(H). With the increase in R₂O₃ film thickness, the structure of the R₂O₃ films changes from single domain hexagonal phase to monoclinic phase with six different rotational domains, following the R₂O₃ (201)(M)[020](M)//GaN(0001)(H)<1120>(H) orientational relationship. The structural details and fingerprints of hexagonal and monoclinic phase Gd₂O₃ films have also been examined by using electron energy loss spectroscopy (EELS). Approximate 3-4 nm is the critical thickness for the structural phase transition depending on the composing rare earth element.

  13. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  14. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  15. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy.

    PubMed

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-06-24

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields.

  16. Cross-stacked carbon nanotubes assisted self-separation of free-standing GaN substrates by hydride vapor phase epitaxy

    PubMed Central

    Wei, Tongbo; Yang, Jiankun; Wei, Yang; Huo, Ziqiang; Ji, Xiaoli; Zhang, Yun; Wang, Junxi; Li, Jinmin; Fan, Shoushan

    2016-01-01

    We report a novel method to fabricate high quality 2-inch freestanding GaN substrate grown on cross-stacked carbon nanotubes (CSCNTs) coated sapphire by hydride vapor phase epitaxy (HVPE). As nanoscale masks, these CSCNTs can help weaken the interface connection and release the compressive stress by forming voids during fast coalescence and also block the propagation of threading dislocations (TDs). During the cool-down process, thermal stress-induced cracks are initiated at the CSCNTs interface with the help of air voids and propagated all over the films which leads to full self-separation of FS-GaN substrate. Raman and photoluminescence spectra further reveal the stress relief and crystalline improvement of GaN with CSCNTs. It is expected that the efficient, low cost and mass-producible technique may enable new applications for CNTs in nitride optoelectronic fields. PMID:27340030

  17. Diffusion of Mg dopant in metal-organic vapor-phase epitaxy grown GaN and AlxGa1-xN

    NASA Astrophysics Data System (ADS)

    Köhler, K.; Gutt, R.; Wiegert, J.; Kirste, L.

    2013-02-01

    Diffusion of the p-type dopant Mg in GaN and AlxGa1-xN which is accompanied by segregation and affected by transient effects in metal-organic vapor-phase epitaxy reactors is investigated. We have grown 110 nm thick Mg doped GaN and Al0.1Ga0.9N layers on top of undoped GaN and Al0.1Ga0.9N layers, respectively, in a temperature range between 925 °C and 1050 °C where we placed special emphasis on the lower temperature limit without diffusion to allow separation of Mg transients, diffusion, and segregation. Hereby, AlxGa1-xN layers enable monitoring of the resolution limit by secondary ion mass spectrometry analyses for the respective samples; therefore, thin AlxGa1-xN marker layers are incorporated in the thick GaN layers. We found an upper limit of 1.25 × 1019 cm-3 for diffusing Mg atoms in both sample types. Owing to the marked influence of Mg segregation in Al0.1Ga0.9N, diffusion is only seen by using a GaN cap on top of the Al0.1Ga0.9N layer sequence. Diffusion in Al0.1Ga0.9N is shown to be increased by about 25%-30% compared to GaN. Post growth annealing experiments under conditions equivalent to those used for growth of the Mg doped samples showed negligible diffusion. Comparing the results to well established findings on other doped III-V compounds, diffusion is explained by an interstitial-substitutional mechanism with a diffusion coefficient, which is concentration dependent. Analysis of the temperature dependent diffusivity revealed an activation energy of 5.0 eV for GaN:Mg and 5.2 eV for Al0.1Ga0.9N:Mg.

  18. All metalorganic chemical vapor phase epitaxy of p/n-GaN tunnel junction for blue light emitting diode applications

    NASA Astrophysics Data System (ADS)

    Neugebauer, S.; Hoffmann, M. P.; Witte, H.; Bläsing, J.; Dadgar, A.; Strittmatter, A.; Niermann, T.; Narodovitch, M.; Lehmann, M.

    2017-03-01

    We report on III-Nitride blue light emitting diodes (LEDs) comprising a GaN-based tunnel junction (TJ) all realized by metalorganic vapor phase epitaxy in a single growth process. The TJ grown atop the LED structures consists of a Mg-doped GaN layer and subsequently grown highly Ge-doped GaN. Long thermal annealing of 60 min at 800 °C is important to reduce the series resistance of the LEDs due to blockage of acceptor-passivating hydrogen diffusion through the n-type doped top layer. Secondary ion mass spectroscopy measurements reveal Mg-incorporation into the topmost GaN:Ge layer, implying a non-abrupt p-n tunnel junction and increased depletion width. Still, significantly improved lateral current spreading as compared to conventional semi-transparent Ni/Au p-contact metallization and consequently a more homogeneous electroluminescence distribution across 1 × 1 mm2 LED structures is achieved. Direct estimation of the depletion width is obtained from electron holography experiments, which allows for a discussion of the possible tunneling mechanism.

  19. Highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures grown by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Hertkorn, J.; Thapa, S. B.; Wunderer, T.; Scholz, F.; Wu, Z. H.; Wei, Q. Y.; Ponce, F. A.; Moram, M. A.; Humphreys, C. J.; Vierheilig, C.; Schwarz, U. T.

    2009-07-01

    In this study, we present theoretical and experimental results regarding highly conductive modulation doped composition graded p-AlGaN/(AlN)/GaN multiheterostructures. Based on simulation results, several multiheterostructures were grown by metalorganic vapor phase epitaxy. Using high resolution x-ray diffraction and x-ray reflectometry, the abruptness of the AlGaN/AlN/GaN interfaces could be determined. Using electron holography, the energetic profile of the valence band could be measured, yielding important information about the vertical carrier transport in such multiheterostructures. The electrical properties of the samples were investigated by measuring the lateral (σL) and vertical (σV) conductivity, respectively. The free hole concentration of a sample optimized in terms of lateral conductivity was measured to be 1.2×1019 cm-3 (295 K) with a mobility of 7 cm2/V s, yielding a record σL of 13.7 (Ω cm)-1. Low temperature Hall measurements (77 K) proved the existence of a two-dimensional hole gas at the AlN/GaN interface, as the lateral conductivity could be increased to 30 (Ω cm)-1 and no carrier freeze out was observable. By substituting the p-GaN layer in a light emitting diode (LED) with an AlGaN/GaN multiheterostructure, the overall voltage drop could be reduced by more than 100 mV (j =65 A/cm2). Furthermore improved current spreading on the p-side of LEDs with integrated AlGaN/AlN/GaN multiheterostructures could be proved by μ-electroluminescence, respectively.

  20. Gradual tilting of crystallographic orientation and configuration of dislocations in GaN selectively grown by vapour phase epitaxy methods

    PubMed

    Kuwan; Tsukamoto; Taki; Horibuchi; Oki; Kawaguchi; Shibata; Sawaki; Hiramatsu

    2000-01-01

    Cross-sectional transmission electron microscope (TEM) observation was performed for selectively grown gallium nitride (GaN) in order to examine the dependence of GaN microstructure on the growth conditions. The GaN films were grown by hydride vapour phase epitaxy (HVPE) or metalorganic vapour phase epitaxy (MOVPE) on GaN covered with a patterned mask. Thin foil specimens for TEM observation were prepared with focused ion beam (FIB) machining apparatus. It was demonstrated that the c-axis of GaN grown over the terrace of the mask tilts towards the centre of the terrace when the GaN is grown in a carrier gas of N2. The wider terrace results in a larger tilting angle if other growth conditions are identical. The tilting is attributed to 'horizontal dislocations' (HDs) generated during the overgrowth of GaN on the mask terrace. The HDs in HVPE-GaN have a semi-loop shape and are tangled with one another, while those in MOVPE-GaN are straight and lined up to form low-angle grain boundaries.

  1. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  2. Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition

    PubMed Central

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin

    2017-01-01

    Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964

  3. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  4. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    DTIC Science & Technology

    2016-09-15

    controlled synthesis of single-wall carbon nanotubes. Firstly, we have successfully demonstrated a vapor-phase-epitaxy-analogous general strategy for...preselected chirality. Moreover, we carried out systematic investigations of the chirality-dependent growth kinetics and termination mechanism for the... generally believed that the diameters of the nanotubes are determined by the size of the catalytic metal particles. Unfortunately, attempts to control

  5. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  6. Development of high-bandgap AlGaInP solar cells grown by organometallic vapor-phase epitaxy

    DOE PAGES

    Perl, Emmett E.; Simon, John; Geisz, John F.; ...

    2016-03-29

    AlGaInP solar cells with bandgaps between 1.9 and 2.2 eV are investigated for use in next-generation multijunction photovoltaic devices. This quaternary alloy is of great importance to the development of III-V solar cells with five or more junctions and for cells optimized for operation at elevated temperatures because of the high bandgaps required in these designs. In this work, we explore the conditions for the organometallic vapor-phase epitaxy growth of AlGaInP and study their effects on cell performance. Initial efforts focused on developing ~2.0-eV AlGaInP solar cells with a nominal aluminum composition of 12%. Under the direct spectrum at 1000more » W/m 2 (AM1.5D), the best of these samples had an open-circuit voltage of 1.59 V, a bandgap-voltage offset of 440 mV, a fill factor of 88.0%, and an efficiency of 14.8%. We then varied the aluminum composition of the alloy from 0% to 24% and were able to tune the bandgap of the AlGaInP layers from ~1.9 to ~2.2 eV. Furthermore, while the samples with a higher aluminum composition exhibited a reduced quantum efficiency and increased bandgap-voltage offset, the bandgap-voltage offset remained at 500 mV or less, up to a bandgap of ~2.1 eV.« less

  7. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Technical Reports Server (NTRS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-01-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  8. Reaction mechanisms in the organometallic vapor phase epitaxial growth of GaAs

    NASA Astrophysics Data System (ADS)

    Larsen, C. A.; Buchan, N. I.; Stringfellow, G. B.

    1988-02-01

    The decomposition mechanisms of AsH3, trimethylgallium (TMGa), and mixtures of the two have been studied in an atmospheric-pressure flow system with the use of D2 to label the reaction products which are analyzed in a time-of-flight mass spectrometer. AsH3 decomposes entirely heterogeneously to give H2. TMGa decomposes by a series of gas-phase steps, involving methyl radicals and D atoms to produce CH3D, CH4, C2H6, and HD. TMGa decomposition is accelerated by the presence of AsH3. When the two are mixed, as in the organometallic vapor phase epitaxial growth of GaAs, both compounds decompose in concert to produce only CH4. A likely model is that of a Lewis acid-base adduct that forms and subsequently eliminates CH4.

  9. Hydride vapor phase epitaxy and characterization of high-quality ScN epilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oshima, Yuichi, E-mail: OSHIMA.Yuichi@nims.go.jp; Víllora, Encarnación G.; Shimamura, Kiyoshi

    2014-04-21

    The heteroepitaxial growth of ScN films was investigated on various substrates by hydride vapor phase epitaxy (HVPE). Single crystalline mirror-like ScN(100) and ScN(110) layers were successfully deposited on r- and m-plane sapphire substrates, respectively. Homogeneous stoichiometric films (N/Sc ratio 1.01 ± 0.10) up to 40 μm in thickness were deposited. Their mosaicity drastically improved with increasing the film thickness. The band gap was determined by optical methods to be 2.06 eV. Impurity concentrations including H, C, O, Si, and Cl were investigated through energy dispersive X-ray spectrometry and secondary ion mass spectrometry. As a result, it was found that the presence of impurities wasmore » efficiently suppressed in comparison with that of HVPE-grown ScN films reported in the past, which was possible thanks to the home-designed corrosion-free HVPE reactor. Room-temperature Hall measurements indicated that the residual free electron concentrations ranged between 10{sup 18}–10{sup 20} cm{sup −3}, which was markedly lower than the reported values. The carrier mobility increased monotonically with the decreasing in carrier concentration, achieving the largest value ever reported, 284 cm{sup 2} V{sup −1} s{sup −1} at n = 3.7 × 10{sup 18} cm{sup −3}.« less

  10. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  11. Method of varying a characteristic of an optical vertical cavity structure formed by metalorganic vapor phase epitaxy

    DOEpatents

    Hou, Hong Q.; Coltrin, Michael E.; Choquette, Kent D.

    2001-01-01

    A process for forming an array of vertical cavity optical resonant structures wherein the structures in the array have different detection or emission wavelengths. The process uses selective area growth (SAG) in conjunction with annular masks of differing dimensions to control the thickness and chemical composition of the materials in the optical cavities in conjunction with a metalorganic vapor phase epitaxy (MOVPE) process to build these arrays.

  12. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  13. Proximity Effects of Beryllium-Doped GaN Buffer Layers on the Electronic Properties of Epitaxial AlGaN/GaN Heterostructures

    DTIC Science & Technology

    2010-05-17

    arranged by Prof. A. Zaslavsky Keywords: Gallium nitride High electron mobility transistor Molecular beam epitaxy Homoepitaxy Doping a b s t r a c t AlGaN...GaN/Be:GaN heterostructures have been grown by rf-plasma molecular beam epitaxy on free- standing semi-insulating GaN substrates, employing...hydride vapor phase epitaxy (HVPE) grown GaN sub- strates has enabled the growth by molecular beam epitaxy (MBE) of AlGaN/GaNHEMTswith significantly

  14. Environmentally friendly method to grow wide-bandgap semiconductor aluminum nitride crystals: Elementary source vapor phase epitaxy

    PubMed Central

    Wu, PeiTsen; Funato, Mitsuru; Kawakami, Yoichi

    2015-01-01

    Aluminum nitride (AlN) has attracted increasing interest as an optoelectronic material in the deep ultraviolet spectral range due to its wide bandgap of 6.0 eV (207 nm wavelength) at room temperature. Because AlN bulk single crystals are ideal device substrates for such applications, the crystal growth of bulky AlN has been extensively studied. Two growth methods seem especially promising: hydride vapor phase epitaxy (HVPE) and sublimation. However, the former requires hazardous gases such as hydrochloric acid and ammonia, while the latter needs extremely high growth temperatures around 2000 °C. Herein we propose a novel vapor-phase-epitaxy-based growth method for AlN that does not use toxic materials; the source precursors are elementary aluminum and nitrogen gas. To prepare our AlN, we constructed a new growth apparatus, which realizes growth of AlN single crystals at a rate of ~18 μm/h at 1550 °C using argon as the source transfer via the simple reaction Al + 1/2N2 → AlN. This growth rate is comparable to that by HVPE, and the growth temperature is much lower than that in sublimation. Thus, this study opens up a novel route to achieve environmentally friendly growth of AlN. PMID:26616203

  15. Comparison of O2 and H2O as oxygen source for homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Konishi, Keita; Goto, Ken; Togashi, Rie; Murakami, Hisashi; Higashiwaki, Masataka; Kuramata, Akito; Yamakoshi, Shigenobu; Monemar, Bo; Kumagai, Yoshinao

    2018-06-01

    Homoepitaxial growth of β-Ga2O3 layers by halide vapor phase epitaxy (HVPE) using O2 or H2O as an oxygen source was investigated by thermodynamic analysis, and compared with measured properties after growth. The thermodynamic analysis revealed that Ga2O3 growth is expected even at 1000 °C using both oxygen sources due to positive driving forces for Ga2O3 deposition. The experimental results for homoepitaxial growth on (0 0 1) β-Ga2O3 substrates showed that the surfaces of the layers grown with H2O were smoother than those grown with O2, although the growth rate with H2O was approximately half that with O2. However, in the homoepitaxial layer grown using H2O, incorporation of Si impurities with a concentration almost equal to the effective donor concentration (2 × 1016 cm-3) was confirmed, which was caused by decomposition of the quartz glass reactor due to the presence of hydrogen in the system.

  16. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  17. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy

    NASA Astrophysics Data System (ADS)

    Halder, Nripendra N.; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-01

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  18. Pure wurtzite GaP nanowires grown on zincblende GaP substrates by selective area vapor liquid solid epitaxy.

    PubMed

    Halder, Nripendra N; Kelrich, Alexander; Cohen, Shimon; Ritter, Dan

    2017-11-17

    We report on the growth of single phase wurtzite (WZ) GaP nanowires (NWs) on GaP (111) B substrates by metal organic molecular beam epitaxy following the selective area vapor-liquid-solid (SA-VLS) approach. During the SA-VLS process, precursors are supplied directly to the NW sidewalls, and the short diffusion length of gallium (or its precursors) does not significantly limit axial growth. Transmission electron microscopy (TEM) images reveal that no stacking faults are present along a 600 nm long NW. The lattice constants of the pure WZ GaP obtained from the TEM images agree with values determined previously by x-ray diffraction from non-pure NW ensembles.

  19. Metalorganic vapor phase epitaxial growth of red and infrared vertical-cavity surface-emitting laser diodes

    NASA Astrophysics Data System (ADS)

    Schneider, R. P.; Lott, J. A.; Lear, K. L.; Choquette, K. D.; Crawford, M. H.; Kilcoyne, S. P.; Figiel, J. J.

    1994-12-01

    Metalorganic vapor phase epitaxy (MOVPE) is used for the growth of vertical-cavity surface-emitting laser (VCSEL) diodes. MOVPE exhibits a number of important advantages over the more commonly-used molecular-beam epitaxial (MBE) techniques, including ease of continuous compositional grading and carbon doping for low-resistance p-type distributed Bragg reflectors (DBRs), higher growth rates for rapid throughput and greater versatility in choice of materials and dopants. Planar gain-guided red VCSELs based on AlGaInP/AlGaAs heterostructures lase continuous-wave at room temperature, with voltage thresholds between 2.5 and 3 V and maximum power outputs of over 0.3 mW. Top-emitting infra-red (IR) VCSELs exhibit the highest power-conversion (wall-plug) efficiencies (21%), lowest threshold voltage (1.47 V), and highest single mode power (4.4 mW from an 8 μm device) yet reported. These results establish MOVPE as a preferred growth technique for this important new family of photonic devices.

  20. Thick nonpolar m-plane and semipolar (10 1 ̅ 1 ̅) GaN on an ammonothermal seed by tri-halide vapor-phase epitaxy using GaCl3

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Matsuda, Karen; Takekawa, Nao; Hikida, Kazuhiro; Hayashida, Naoto; Murakami, Hisashi; Koukitu, Akinori

    2017-03-01

    GaN layers of thickness 0.5-1.3 mm were grown at 1280 °C at a growth rate of 95-275 μm/h by tri-halide vapor-phase epitaxy on nonpolar m-plane (10 1 ̅ 0) and semipolar (10 1 ̅ 1 ̅) ammonothermal GaN substrates. For nonpolar m-plane (10 1 ̅ 0) with a -5° off-angle, the full widths at half maximum (FWHMs) of X-ray rocking curves (XRCs) and the basal plane stacking fault (BSF) density increased from 50 to 178″ and from 4.8×101 to 1.0×103 cm-1, respectively, upon increasing the growth rate from 115 to 245 μm/h. On the other hand, the XRC-FWHM and the BSF density for semipolar (10 1 ̅ 1 ̅) grown at 275 μm/h were as small as 28″ and 8.3×101 cm-1, respectively.

  1. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  2. Interface morphology studies of liquid phase epitaxy grown HgCdTe films by atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Azoulay, M.; George, M. A.; Burger, A.; Collins, W. E.; Silberman, E.

    1994-04-01

    In this paper we report an investigation of the morphology of the interfaces of liquid phase epitaxy (LPE) grown HgCdTe thin films on CdTe and CdZnTe substrates by atomic force microscopy (AFM) on freshly cleaved (110) crystallographic planes. An empirical observation which may be linked to lattice mismatch was indicated by an angle between the cleavage steps of the substrate to those of the film. The precipitates with size ranging from 5 nm to 20 nm were found to be most apparent near the interface.

  3. Comparison of electrical properties and deep traps in p-AlxGa1-xN grown by molecular beam epitaxy and metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.

    2009-10-01

    The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.

  4. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  5. Liquid-phase epitaxy grown PbSnTe distributed feedback laser diodes with broad continuous single-mode tuning range

    NASA Technical Reports Server (NTRS)

    Hsieh, H.-H.; Fonstad, C. G.

    1980-01-01

    Distributed feedback (DFB) pulsed laser operation has been demonstrated in stripe geometry Pb(1-x)Sn(x)Te double-heterostructures grown by liquid-phase epitaxy. The grating structure of 0.79 micron periodicity operates in first order near 12.8 microns and was fabricated prior to the liquid-phase epitaxial growth using holographic exposure techniques. These DFB lasers had moderate thresholds, 3.6 kA/sq cm, and the output power versus current curves exhibited a sharp turn-on free of kinks. Clean, single-mode emission spectra, continuously tunable over a range in excess of 20 per cm, centered about 780 per cm (12.8 microns), and at an average rate of 1.2 per cm-K from 9 to 26 K, were observed. While weaker modes could at times be seen in the spectrum, substantially single-mode operation was obtained over the entire operating range and to over 10 times threshold.

  6. Ordered structure of FeGe2 formed during solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Jenichen, B.; Hanke, M.; Gaucher, S.; Trampert, A.; Herfort, J.; Kirmse, H.; Haas, B.; Willinger, E.; Huang, X.; Erwin, S. C.

    2018-05-01

    Fe3Si /Ge (Fe ,Si ) /Fe3Si thin-film stacks were grown by a combination of molecular beam epitaxy and solid-phase epitaxy (Ge on Fe3Si ). The stacks were analyzed using electron microscopy, electron diffraction, and synchrotron x-ray diffraction. The Ge(Fe,Si) films crystallize in the well-oriented, layered tetragonal structure FeGe2 with space group P 4 m m . This kind of structure does not exist as a bulk material and is stabilized by the solid-phase epitaxy of Ge on Fe3Si . We interpret this as an ordering phenomenon induced by minimization of the elastic energy of the epitaxial film.

  7. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  8. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulte, Kevin L.; Braun, Anna; Simon, John

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  9. High growth rate hydride vapor phase epitaxy at low temperature through use of uncracked hydrides

    DOE PAGES

    Schulte, Kevin L.; Braun, Anna; Simon, John; ...

    2018-01-22

    We demonstrate hydride vapor phase epitaxy (HVPE) of GaAs with unusually high growth rates (RG) at low temperature and atmospheric pressure by employing a hydride-enhanced growth mechanism. Under traditional HVPE growth conditions that involve growth from Asx species, RG exhibits a strong temperature dependence due to slow kinetics at the surface, and growth temperatures >750 degrees C are required to obtain RG > 60 um/h. We demonstrate that when the group V element reaches the surface in a hydride, the kinetic barrier is dramatically reduced and surface kinetics no longer limit RG. In this regime, RG is dependent on massmore » transport of uncracked AsH3 to the surface. By controlling the AsH3 velocity and temperature profile of the reactor, which both affect the degree of AsH3 decomposition, we demonstrate tuning of RG. We achieve RG above 60 um/h at temperatures as low as 560 degrees C and up to 110 um/h at 650 degrees C. We incorporate high-RG GaAs into solar cell devices to verify that the electronic quality does not deteriorate as RG is increased. The open circuit voltage (VOC), which is a strong function of non-radiative recombination in the bulk material, exhibits negligible variance in a series of devices grown at 650 degrees C with RG = 55-110 um/h. The implications of low temperature growth for the formation of complex heterostructure devices by HVPE are discussed.« less

  10. Spontaneous formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Trassoudaine, Agnès; Roche, Elissa; Bougerol, Catherine; André, Yamina; Avit, Geoffrey; Monier, Guillaume; Ramdani, Mohammed Réda; Gil, Evelyne; Castelluci, Dominique; Dubrovskii, Vladimir G.

    2016-11-01

    Spontaneous GaN/AlN core-shell nanowires with high crystal quality were synthesized on sapphire substrates by vapor-liquid-solid hydride vapor phase epitaxy (VLS-HVPE) without any voluntary aluminum source. Deposition of aluminum is difficult to achieve in this growth technique which uses metal-chloride gaseous precursors: the strong interaction between the AlCl gaseous molecules and the quartz reactor yields a huge parasitic nucleation on the walls of the reactor upstream the substrate. We open up an innovative method to produce GaN/AlN structures by HVPE, thanks to aluminum etching from the sapphire substrate followed by redeposition onto the sidewalls of the GaN core. The paper presents the structural characterization of GaN/AlN core-shell nanowires, speculates on the growth mechanism and discusses a model which describes this unexpected behavior.

  11. The {alpha}-particle excited scintillation response of the liquid phase epitaxy grown LuAG:Ce thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prusa, P.; Cechak, T.; Mares, J. A.

    2008-01-28

    Liquid phase epitaxy grown Lu{sub 3}Al{sub 5}O{sub 12}:Ce (LuAG:Ce) 20 {mu}m thick films and plate cut from the bulk Czochralski-grown LuAG:Ce crystal were prepared for comparison of photoelectron yield (PhY) and PhY dependence on shaping time (0.5-10 {mu}s). {sup 241}Am ({alpha} particles) was used for excitation. At the 0.5 {mu}s shaping time, the best film shows comparable PhY with the bulk sample. PhY of bulk material increases noticeably more with shaping time than that of the films. Energy resolution of films is better. Influence of Pb{sup 2+} contamination in the films (from the flux) and antisite Lu{sub Al} defect inmore » bulk material is discussed.« less

  12. Direct Growth of CdTe on a (211) Si Substrate with Vapor Phase Epitaxy Using a Metallic Cd Source

    NASA Astrophysics Data System (ADS)

    Iso, Kenji; Gokudan, Yuya; Shiraishi, Masumi; Murakami, Hisashi; Koukitu, Akinori

    2017-10-01

    We successfully performed epitaxial CdTe growth on a Si (211) substrate with vapor-phase epitaxy using a cost-effective metallic cadmium source as a group-II precursor. The thermodynamic data demonstrate that the combination of metallic Cd and diisopropyl-telluride (DiPTe) with a H2 carrier gas enables the growth of CdTe crystals. A CdTe single crystal with a (422) surface orientation was obtained when a growth temperature between 600°C and 650°C was employed. The surface morphology and crystalline quality were improved with increasing film thickness. The full-width at half-maximum of the x-ray rocking curves with a film thickness of 15.7 μm for the skew-symmetrical (422) and asymmetrical (111) reflection were 528 arcsec and 615 arcsec, respectively.

  13. Vapor phase growth technique of III-V compounds utilizing a preheating step

    NASA Technical Reports Server (NTRS)

    Olsen, Gregory Hammond (Inventor); Zamerowski, Thomas Joseph (Inventor); Buiocchi, Charles Joseph (Inventor)

    1978-01-01

    In the vapor phase epitaxy fabrication of semiconductor devices and in particular semiconductor lasers, the deposition body on which a particular layer of the laser is to be grown is preheated to a temperature about 40.degree. to 60.degree. C. lower than the temperature at which deposition occurs. It has been discovered that by preheating at this lower temperature there is reduced thermal decomposition at the deposition surface, especially for semiconductor materials such as indium gallium phosphide and gallium arsenide phosphide. A reduction in thermal decomposition reduces imperfections in the deposition body in the vicinity of the deposition surface, thereby providing a device with higher efficiency and longer lifetime.

  14. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alberi, Kirstin; Scarpulla, Michael A.

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  15. Photoassisted physical vapor epitaxial growth of semiconductors: a review of light-induced modifications to growth processes

    DOE PAGES

    Alberi, Kirstin; Scarpulla, Michael A.

    2017-11-22

    Herein, we review the remarkable range of modifications to materials properties associated with photoexcitation of the growth surface during physical vapor epitaxy of semiconductors. We concentrate on mechanisms producing measureable, utilizable changes in crystalline perfection, phase, composition, doping, and defect distribution. We outline the relevant physics of different mechanisms, concentrating on those yielding effects orthogonal to the primary growth variables of temperature and atomic or molecular fluxes and document the phenomenological effects reported. Based on experimental observations from a range of semiconductor systems and growth conditions, the primary effects include enhanced anion desorption, molecular dissociation, increased doping efficiency, modification tomore » defect populations and improvements to the crystalline quality of epilayers grown at low temperatures. Future research directions and technological applications are also discussed.« less

  16. AlGaN/GaN high electron mobility transistor grown on GaN template substrate by molecule beam epitaxy system

    NASA Astrophysics Data System (ADS)

    Tsai, Jenn-Kai; Chen, Y. L.; Gau, M. H.; Pang, W. Y.; Hsu, Y. C.; Lo, Ikai; Hsieh, C. H.

    2008-03-01

    In this study, AlGaN/GaN high electron mobility transistor (HEMT) structure was grow on GaN template substrate radio frequency plasma assisted molecular beam epitaxy (MBE) equipped with an EPI UNI-Bulb nitrogen plasma source. The undoped GaN template substrate was grown on c-sapphire substrate by metal organic vapor phase epitaxy system (MOPVD). After growth of MOVPE and MBE, the samples are characterized by double crystal X-ray diffraction (XRD), transmission electron microscopy (TEM), field emission scanning electron microscopy (SEM), atomic force microscopy (AFM), and Hall effect measurements. We found that the RMS roughness of template substrate play the major role in got the high value of mobility on AlGaN/GaN HEMT. When the roughness was lower than 0.77 nm in a 25 μm x 25 μm area, the mobility of HEMT at the temperature of 77 K was over 10000 cm^2/Vs.

  17. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. Itmore » is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.« less

  18. Theoretical study of the composition pulling effect in InGaN metalorganic vapor-phase epitaxy growth

    NASA Astrophysics Data System (ADS)

    Inatomi, Yuya; Kangawa, Yoshihiro; Ito, Tomonori; Suski, Tadeusz; Kumagai, Yoshinao; Kakimoto, Koichi; Koukitu, Akinori

    2017-07-01

    The composition pulling effect in metalorganic vapor-phase InGaN epitaxy was theoretically investigated by thermodynamic analysis. The excess energies of biaxial-strained In x Ga1- x N were numerically calculated using empirical interatomic potentials considering different situations: (i) coherent growth on GaN(0001), (ii) coherent growth on In0.2Ga0.8N(0001), and (iii) bulk growth. Using the excess energies, the excess chemical potentials of InN and GaN alloys were computed. Our results show that compressive strain suppresses In incorporation, whereas tensile strain promotes it. Moreover, assuming chemical equilibrium, the relationship between the solid composition and the growth conditions was predicted. The results successfully reproduced the typical composition pulling effect.

  19. Metalorganic Vapor-Phase Epitaxy Growth Parameters for Two-Dimensional MoS2

    NASA Astrophysics Data System (ADS)

    Marx, M.; Grundmann, A.; Lin, Y.-R.; Andrzejewski, D.; Kümmell, T.; Bacher, G.; Heuken, M.; Kalisch, H.; Vescan, A.

    2018-02-01

    The influence of the main growth parameters on the growth mechanism and film formation processes during metalorganic vapor-phase epitaxy (MOVPE) of two-dimensional MoS2 on sapphire (0001) have been investigated. Deposition was performed using molybdenum hexacarbonyl and di- tert-butyl sulfide as metalorganic precursors in a horizontal hot-wall MOVPE reactor from AIXTRON. The structural properties of the MoS2 films were analyzed by atomic force microscopy, scanning electron microscopy, and Raman spectroscopy. It was found that a substrate prebake step prior to growth reduced the nucleation density of the polycrystalline film. Simultaneously, the size of the MoS2 domains increased and the formation of parasitic carbonaceous film was suppressed. Additionally, the influence of growth parameters such as reactor pressure and surface temperature is discussed. An upper limit for these parameters was found, beyond which strong parasitic deposition or incorporation of carbon into MoS2 took place. This carbon contamination became significant at reactor pressure above 100 hPa and temperature above 900°C.

  20. Minority carrier diffusion and defects in InGaAsN grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kurtz, Steven R.; Klem, J. F.; Allerman, A. A.; Sieg, R. M.; Seager, C. H.; Jones, E. D.

    2002-02-01

    To gain insight into the nitrogen-related defects of InGaAsN, nitrogen vibrational mode spectra, Hall mobilities, and minority carrier diffusion lengths are examined for InGaAsN (1.1 eV band gap) grown by molecular beam epitaxy (MBE). Annealing promotes the formation of In-N bonding, and lateral carrier transport is limited by large scale (≫mean free path) material inhomogeneities. Comparing solar cell quantum efficiencies with our earlier results for devices grown by metalorganic chemical vapor deposition (MOCVD), we find significant electron diffusion in the MBE material (reversed from the hole diffusion in MOCVD material), and minority carrier diffusion in InGaAsN cannot be explained by a "universal," nitrogen-related defect.

  1. Superconducting Ga/GaSe layers grown by van der Waals epitaxy

    NASA Astrophysics Data System (ADS)

    Desrat, W.; Moret, M.; Briot, O.; Ngo, T.-H.; Piot, B. A.; Jabakhanji, B.; Gil, B.

    2018-04-01

    We report on the growth of GaSe films by molecular beam epitaxy on both (111)B GaAs and sapphire substrates. X-ray diffraction reveals the perfect crystallinity of GaSe with the c-axis normal to the substrate surface. The samples grown under Ga rich conditions possess an additional gallium film on top of the monochalcogenide layer. This metallic film shows two normal-to-superconducting transitions which are detected at T c ≈ 1.1 K and 6.0 K. They correspond likely to the β and α-phases of gallium in the form of bulk and droplets respectively. Our results demonstrate that van der Waals epitaxy can lead to future high quality hybrid superconductor/monochalcogenide heterostructures.

  2. Abrupt GaN/p-GaN:Mg junctions grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Agarwal, Anchal; Gupta, Chirag; Alhassan, Abdullah; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-11-01

    An improvement in the suppression of surface riding of magnesium from p-GaN:Mg into subsequent layers was achieved via low temperature flow modulation epitaxy. In particular, the slope of the Mg concentration drop was reduced to 5 nm/dec for a growth temperature of 620 °C — the lowest value ever reported for metalorganic chemical vapor deposition. The electrical quality of the top layer was verified by creating a two-dimensional electron gas on top of the buried p-GaN layer, which exhibited a mobility of 1300 cm2 V-1 s-1. In addition, layers grown using flow modulation epitaxy were shown to block the propagation of Mg more efficiently than samples in which an ex situ wet etch was used.

  3. Coexisting nanoscale inverse spinel and rock salt crystallographic phases in NiCo2O4 epitaxial thin films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Sharona, H.; Loukya, B.; Bhat, U.; Sahu, R.; Vishal, B.; Silwal, P.; Gupta, A.; Datta, R.

    2017-12-01

    The origin of alternating wavy dark-bright stripe-like contrast in strain contrast transmission electron microscopy images of NiCo2O4 (NCO) epitaxial thin films grown by pulsed laser deposition has been investigated. The nanoscale stripe-like pattern is determined to be associated with coexisting rock salt (RS) and inverse spinel crystal phases. The presence of two different phases, not addressed in previous reports, is experimentally confirmed by both electron diffraction and high resolution transmission electron microscopy imaging. First principles based calculations, together with compressive strain present in the films, support the formation of such coexisting crystallographic phases in NCO. Similar microstructural patterns and RS structure are not observed in epitaxial films of two other oxides of the spinel family, namely, NiFe2O4 and CoFe2O4. A correlation between the coexisting structures and the macroscopic physical properties of NCO is discussed.

  4. Relationship between dislocation and the visible luminescence band observed in ZnO epitaxial layers grown on c-plane p-GaN templates by chemical vapor deposition technique

    NASA Astrophysics Data System (ADS)

    Saroj, Rajendra K.; Dhar, S.

    2016-08-01

    ZnO epitaxial layers are grown on c-plane GaN (p-type)/sapphire substrates using a chemical vapor deposition technique. Structural and luminescence properties of these layers have been studied systematically as a function of various growth parameters. It has been found that high quality ZnO epitaxial layers can indeed be grown on GaN films at certain optimum conditions. It has also been observed that the growth temperature and growth time have distinctly different influences on the screw and edge dislocation densities. While the growth temperature affects the density of edge dislocations more strongly than that of screw dislocations, an increase of growth duration leads to a rapid drop in the density of screw dislocation, whereas the density of edge dislocation hardly changes. Densities of both edge and screw dislocations are found to be minimum at a growth temperature of 500 °C. Interestingly, the defect related visible luminescence intensity also shows a minimum at the same temperature. Our study indeed suggests that the luminescence feature is related to threading edge dislocation. A continuum percolation model, where the defects responsible for visible luminescence are considered to be formed under the influence of the strain field surrounding the threading edge dislocations, is proposed. The theory explains the observed variation of the visible luminescence intensity as a function of the concentration of the dislocations.

  5. Chemical vapor deposition of epitaxial silicon

    DOEpatents

    Berkman, Samuel

    1984-01-01

    A single chamber continuous chemical vapor deposition (CVD) reactor is described for depositing continuously on flat substrates, for example, epitaxial layers of semiconductor materials. The single chamber reactor is formed into three separate zones by baffles or tubes carrying chemical source material and a carrier gas in one gas stream and hydrogen gas in the other stream without interaction while the wafers are heated to deposition temperature. Diffusion of the two gas streams on heated wafers effects the epitaxial deposition in the intermediate zone and the wafers are cooled in the final zone by coolant gases. A CVD reactor for batch processing is also described embodying the deposition principles of the continuous reactor.

  6. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  7. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  8. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE PAGES

    Perret, Edith; Xu, Dongwei; Highland, M. J.; ...

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  9. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growthmore » rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  10. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perret, Edith; Xu, Dongwei; Highland, M. J.

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (10more » $$\\bar{1}$$0) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1$$\\bar{2}$$10] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. Furthermore, the island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F -n, with an exponent n=0.25±0.02. Our results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.« less

  11. Morphological, compositional, and geometrical transients of V-groove quantum wires formed during metalorganic vapor-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Dimastrodonato, Valeria; Pelucchi, Emanuele; Zestanakis, Panagiotis A.; Vvedensky, Dimitri D.

    2013-07-01

    We present a theoretical model of the formation of self-limited (Al)GaAs quantum wires within V-grooves on GaAs(001) substrates during metalorganic vapor-phase epitaxy. We identify the facet-dependent rates of the kinetic processes responsible for the formation of the self-limiting profile, which is accompanied by Ga segregation along the axis perpendicular to the bottom of the original template, and analyze their interplay with the facet geometry in the transient regime. A reduced model is adopted for the evolution of the patterned profile, as determined by the angle between the different crystallographic planes as a function of the growth conditions. Our results provide a comprehensive phenomenological understanding of the self-ordering mechanism on patterned surfaces which can be harnessed for designing the quantum optical properties of low-dimensional systems.

  12. High-efficiency GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy

    PubMed Central

    2011-01-01

    We report the initial results of GaAs and GaInP solar cells grown by all solid-state molecular-beam-epitaxy (MBE) technique. For GaAs single-junction solar cell, with the application of AlInP as the window layer and GaInP as the back surface field layer, the photovoltaic conversion efficiency of 26% at one sun concentration and air mass 1.5 global (AM1.5G) is realized. The efficiency of 16.4% is also reached for GaInP solar cell. Our results demonstrate that the MBE-grown phosphide-contained III-V compound semiconductor solar cell can be quite comparable to the metal-organic-chemical-vapor-deposition-grown high-efficiency solar cell. PMID:22040124

  13. Luminescence and scintillation properties of liquid phase epitaxy grown Y2SiO5:Ce single crystalline films

    NASA Astrophysics Data System (ADS)

    Wantong, Kriangkrai; Yawai, Nattasuda; Chewpraditkul, Weerapong; Kucera, Miroslav; Hanus, Martin; Nikl, Martin

    2017-06-01

    Luminescence and scintillation properties of Y2SiO5:Ce single crystalline film (YSO:Ce-LPE) grown by the liquid phase epitaxy technique are investigated and compared to the bulk Czochralski-grown YSO:Ce single crystal (YSO:Ce-SC). The light yield (LY) and energy resolution are measured using an R6231 photomultiplier under excitation with α - and γ- rays. At 662 keV γ- rays, the LY value of 12,410 ph/MeV obtained for YSO:Ce -LPE is lower than that of 20,150 ph/MeV for YSO:Ce -SC whereas the comparable LY value and energy resolution are obtained under excitation with 5.5 MeV α- rays. The ratio of LY under excitation with α- and γ- rays (α/γ ratio) is determined. Dependence of LY on an amplifier shaping time (0.5-12 μs) is also measured.

  14. Deposition of hydrogenated silicon clusters for efficient epitaxial growth.

    PubMed

    Le, Ha-Linh Thi; Jardali, Fatme; Vach, Holger

    2018-06-13

    Epitaxial silicon thin films grown from the deposition of plasma-born hydrogenated silicon nanoparticles using plasma-enhanced chemical vapor deposition have widely been investigated due to their potential applications in photovoltaic and nanoelectronic device technologies. However, the optimal experimental conditions and the underlying growth mechanisms leading to the high-speed epitaxial growth of thin silicon films from hydrogenated silicon nanoparticles remain far from being understood. In the present work, extensive molecular dynamics simulations were performed to study the epitaxial growth of silicon thin films resulting from the deposition of plasma-born hydrogenated silicon clusters at low substrate temperatures under realistic reactor conditions. There is strong evidence that a temporary phase transition of the substrate area around the cluster impact site to the liquid state is necessary for the epitaxial growth to take place. We predict further that a non-normal incidence angle for the cluster impact significantly facilitates the epitaxial growth of thin crystalline silicon films.

  15. Optical and Structural Properties of Microcrystalline GaN on an Amorphous Substrate Prepared by a Combination of Molecular Beam Epitaxy and Metal-Organic Chemical Vapor Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu

    2016-05-01

    Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less

  16. Copper-related defects in In0.53Ga0.47As grown by liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Tilly, L. P.; Grimmeiss, H. G.; Hansson, P. O.

    1993-01-01

    High-purity In0.53Ga0.47As lattice matched to InP was grown by liquid-phase epitaxy and used for the study of Cu-related defects. The samples had a free-electron carrier concentration of n=5.0×1014 cm-3 and an electron mobility of μ77 K=44 000 cm2/V s. A Cu-related acceptor level 25 meV above the valence-band edge was identified using photoluminescence measurements. Comparing the energy position of this shallow acceptor level with the Ev+157.8-meV Cu-acceptor level in GaAs supports the assumption of an internal energy reference level [J. M. Langer, C. Delerue, M. Lannoo, and H. Heinrich, Phys. Rev. B 38, 7723 (1988)] common to GaAs and InxGa1-xAs.

  17. Large-area, laterally-grown epitaxial semiconductor layers

    DOEpatents

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  18. Reduction of degradation in vapor phase transported InP/InGaAsP mushroom stripe lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, H.; Burkhardt, E.G.; Pfister, W.

    1988-10-03

    The rapid degradation rate generally observed in InP/InGaAsP mushroom stripe lasers can be considerably decreased by regrowing the open sidewalls of the active stripe with low-doped InP in a second epitaxial step using the hydride vapor phase transport technique. This technique does not change the fundamental laser parameters like light-current and current-voltage characteristics. Because of this drastic reduction in degradation, the vapor phase epitaxy regrown InP/InGaAsP mushroom laser seems to be an interesting candidate for application in optical communication.

  19. High-efficiency solar cells fabricated from direct-current magnetron sputtered n-indium tin oxide onto p-InP grown by atmospheric pressure metalorganic vapor phase epitaxy

    NASA Technical Reports Server (NTRS)

    Li, X.; Wanlass, M. W.; Gessert, T. A.; Emery, K. A.; Coutts, T. J.

    1989-01-01

    An attempt is made to improve device efficiencies by depositing indium tin oxide onto epitaxially grown p-InP on p(+)-InP substrates. This leads to a reduction in the device series resistance, high-quality reproducible surfaces, and an improvement in the transport properties of the base layer. Moreover, many of the facets associated with badly characterized bulk liquid encapsulated Czochralski substrates used in previous investigations are removed in this way.

  20. Molecular beam epitaxially grown copper indium diselenide and copper gallium diselenide films

    NASA Astrophysics Data System (ADS)

    Yoon, Seokhyun

    2005-12-01

    To eliminate the influence of grain boundaries, CuInSe2 (CIS) and CuGaSe2 (CGS) films were grown on (100) GaAs wafers. The effects of Cu to III metal ratio and dosing with Na on the growth mode and defect properties were studied at two growth temperatures. The impact of post-annealing in Se on the defect structure of CGS film was also studied. Two-dimensional simulations were used to better understand the role of grain boundary on cell performance. For growth at 360°C, the In-rich CIS films were polycrystalline, whereas the Cu-rich CIS films were epitaxial exhibiting a Stranski-Krastanov (S-K) growth mode. It is proposed that a Cu-Se secondary phase enhances the mobility of adatoms, allowing epitaxial growth to a critical thickness, at which point segregation at the nucleation sites became faster the rate of growth. Island structures, embedded in a matrix region, were oriented along the [01-1] directed edges with surface undulations apparent on the matrix surface with dominant {112} crystal planes. At the higher growth temperature of 464°C, the CIS films grew epitaxially without the need of a Cu-Se phase. Both CIS films grown at low and high temperatures were nearly relaxed. The segregation of epitaxial Cu1.5Se was also observed in the Cu-rich, Na-dosed CIS film, which is attributed to a surfactant effect of Na. At a growth temperature of 438°C, CGS films showed a S-K growth mode and nearly pseudomorphic growth. Hemispherical islands with twins were observed in the Ga-rich CGS films and epitaxial Cu1.5Se phase were identified in the top region of the island structure. From the PL analysis of Cu-rich, Na-dosed CGS film after Se-annealing, a new defect level located 20 meV above the valence band edge was identified as NaGa acceptor state. Two-dimensional simulation of the impact of grain boundaries on device performance showed that the short circuit current decreases sharply along with the other device parameters below a critical grain size due to the complete

  1. Epitaxial bain paths and metastable phases of tetragonal iron and manganese

    NASA Astrophysics Data System (ADS)

    Ma, Hong

    2002-04-01

    Epitaxial Bain paths and metastable states of tetragonal Fe and Mn have been studied by first-principles total-energy calculations using the full-potential linearized-augmented-plane-wave method. The main accomplishments are as follows. (1) We have performed the first ever EBP calculation of tetragonal antiferromagnetic (AF) Mn showing that when grown epitaxially on Pd(001), the AF Mn film is strained gamma-Mn, but grown on V(001) the film is strained delta-Mn, which could not be determined using the available crystallographic and elastic data because they were obtained from unstrained states. (2) We have calculated the EBP's of Fe at zero pressure in four magnetic phases, i.e., ferromagnetic (FM), nonmagnetic (NM), type-I antiferromagnetic (AF1), and type-II antiferromagnetic (AF2), which show that the AF2 is the phase of the bulk of epitaxial Fe films on Cu(001) and it is unstable for [110] and [010] shears in the (001) plane, but it can be stabilized by epitaxy on Cu(001). (3)We have unified and simplified the theory of elasticity under hydrostatic pressure p at zero temperature using the Gibbs free energy G, rather than the energy E. The minima of G, but not E, with respect to strains at the equilibrium structure give the zero temperature elastic constants; the stability of a phase at p is then determined by the same Born stability conditions used at p = 0 when applied to the elastic constants from G. The EBP's of FM Fe under hydrostatic pressure show that the bcc phase exists up to 1500 kbar. A bct phase is shown to come into existence at 1300 kbar and becomes stable at 1825 kbar and above. (4) Based on this dissertation research five papers have been published in refereed journals.

  2. Liquid-Phase Epitaxial Growth of ZnS, ZnSe and Their Mixed Compounds Using Te as Solvent

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroshi; Aoki, Masaharu

    1981-01-01

    Epitaxial layers of ZnS, ZnSe and their mixed compounds were grown on ZnS substrates by the liquid-phase epitaxial growth (LPE) method using Te as the solvent. The open-tube slide-boat technique was used, and a suitable starting temperature for growth was found to be 850°C for ZnS and 700-800°C for ZnSe. The ZnS epitaxial layers grown on {111}A and {111}B oriented ZnS substrates were thin (˜1 μm) and smooth, had low, uniform Te concentrations (˜0.1 at.%) and were highly luminescent. The ZnSe epitaxial layers were relatively thick (10-30 μm) and had fairly high Te concentrations (a few at.%). Various mixed compound ZnS1-xSex were also grown on ZnS substrates.

  3. Reduction of structural defects in thick 4H-SiC epitaxial layers grown on 4° off-axis substrates

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Ivanov, I. G.; Pedersen, H.; Kordina, O.; Janzén, E.

    2013-06-01

    By carefully controlling the surface chemistry of the chemical vapor deposition process for silicon carbide (SiC), 100 μm thick epitaxial layers with excellent morphology were grown on 4° off-axis SiC substrates at growth rates exceeding 100 μm/h. In order to reduce the formation of step bunching and structural defects, mainly triangular defects, the effect of varying parameters such as growth temperature, C/Si ratio, Cl/Si ratio, Si/H2 ratio, and in situ pre-growth surface etching time are studied. It was found that an in-situ pre growth etch at growth temperature and pressure using 0.6% HCl in hydrogen for 12 min reduced the structural defects by etching preferentially on surface damages of the substrate surface. By then applying a slightly lower growth temperature of 1575 °C, a C/Si ratio of 0.8, and a Cl/Si ratio of 5, 100 μm thick, step-bunch free epitaxial layer with a minimum triangular defect density and excellent morphology could be grown, thus enabling SiC power device structures to be grown on 4° off axis SiC substrates.

  4. Effect of Sb in thick InGaAsSbN layers grown by liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Donchev, V.; Milanova, M.; Asenova, I.; Shtinkov, N.; Alonso-Álvarez, D.; Mellor, A.; Karmakov, Y.; Georgiev, S.; Ekins-Daukes, N.

    2018-02-01

    Dilute nitride InGaAsSbN layers grown by low-temperature liquid phase epitaxy are studied in comparison with quaternary InGaAsN layers grown at the same growth conditions to understand the effect of Sb in the alloy. The lattice mismatch to the GaAs substrate is found to be slightly larger for the InGaAsSbN layers, which is explained by the large atomic radius of Sb. A reduction of the band gap energy with respect to InGaAsN is demonstrated by means of photoluminescence (PL), surface photovoltage (SPV) spectroscopy and tight-binding calculations. The band-gap energies determined from PL and ellipsometry measurements are in good agreement, while the SPV spectroscopy and the tight-binding calculations provide lower values. Possible reasons for these discrepancies are discussed. The PL spectra reveal localized electronic states in the band gap near the conduction band edge, which is confirmed by SPV spectroscopy. The analysis of the power dependence of the integrated PL has allowed determining the dominant radiative recombination mechanisms in the layers. The values of the refraction index in a wide spectral region are found to be higher for the Sb containing layers.

  5. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    DOE PAGES

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; ...

    2017-03-21

    Here, we describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and filmmore » structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.« less

  6. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides.

    PubMed

    Ju, Guangxu; Highland, Matthew J; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A; Zhou, Hua; Brennan, Sean M; Stephenson, G Brian; Fuoss, Paul H

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  7. An instrument for in situ coherent x-ray studies of metal-organic vapor phase epitaxy of III-nitrides

    NASA Astrophysics Data System (ADS)

    Ju, Guangxu; Highland, Matthew J.; Yanguas-Gil, Angel; Thompson, Carol; Eastman, Jeffrey A.; Zhou, Hua; Brennan, Sean M.; Stephenson, G. Brian; Fuoss, Paul H.

    2017-03-01

    We describe an instrument that exploits the ongoing revolution in synchrotron sources, optics, and detectors to enable in situ studies of metal-organic vapor phase epitaxy (MOVPE) growth of III-nitride materials using coherent x-ray methods. The system includes high-resolution positioning of the sample and detector including full rotations, an x-ray transparent chamber wall for incident and diffracted beam access over a wide angular range, and minimal thermal sample motion, giving the sub-micron positional stability and reproducibility needed for coherent x-ray studies. The instrument enables surface x-ray photon correlation spectroscopy, microbeam diffraction, and coherent diffraction imaging of atomic-scale surface and film structure and dynamics during growth, to provide fundamental understanding of MOVPE processes.

  8. Epitaxial gallium arsenide wafers

    NASA Technical Reports Server (NTRS)

    Black, J. F.; Robinson, L. B.

    1971-01-01

    The preparation of GaAs epitaxial layers by a vapor transport process using AsCl3, Ga and H2 was pursued to provide epitaxial wafers suitable for the fabrication of transferred electron oscillators and amplifiers operating in the subcritical region. Both n-n(+) structures, and n(++)-n-n(+) sandwich structures were grown using n(+) (Si-doped) GaAs substrates. Process variables such as the input AsCl3 concentration, gallium temperature, and substrate temperature and temperature gradient and their effects on properties are presented and discussed.

  9. Distribution of point defects in Si(100)/Si grown by low-temperature molecular-beam epitaxy and solid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    Asoka-Kumar, P.; Gossmann, H.-J.; Unterwald, F. C.; Feldman, L. C.; Leung, T. C.; Au, H. L.; Talyanski, V.; Nielsen, B.; Lynn, K. G.

    1993-08-01

    Positron annihilation in Si is a quantitaive, depth-sensitive technique for the detection of vacancylike defects or voids. A sensitivity of 5×1015 cm-3 for voidlike defects is easily achieved. The technique has been applied to a study of point-defect distributions in thin films of Si grown by molecular-beam epitaxy. A special procedure was developed to remove the influence of the native oxide on the positron measurement. 200-nm-thick films grown at temperatures between 475 and 560 °C show no defects below the sensitivity limit and are indistinguishable from the bulk substrate. So are films grown at 220 °C, provided a 2-min high-temperature anneal to a peak temperature of >=500 °C is executed every ~=30 nm during growth. If TRTA=450 °C, part of the film contains vacancylike defects to a concentration of ~=1018 cm-3. These results correlate well with current-voltage characteristics of p-n junctions grown with different rapid thermal anneal (RTA) temperatures. Ion scattering, with a defect sensitivity of ~=1%, shows no difference between films grown with different TRTA. Recrystallization of amorphous films, deposited at room temperature and annealed in situ at 550 °C, always leaves a significant defect concentration of ~=2×1018 cm-3; those defects are reduced but still present even after a 2-h 800 °C furnace anneal.

  10. Electrical properties of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Ahmadi, Kamyar; Xiao, Z.-Y.; Hong, Xia; Ngai, Joseph

    The epitaxial growth of crystalline oxides on semiconductors enables new functionalities to be introduced to semiconductor devices. In particular, dielectric and ferroelectric oxides grown epitaxially on semiconductors provide a pathway to realize ultra-low power logic and memory devices. Here we present electrical characterization of solid-solution SrZrxTi1-xO3 grown epitaxially on Ge through oxide molecular beam epitaxy. SrZrxTi1-xO3 is of particular interest since the band offset with respect to the semiconductor can be tuned through Zr content x. We will present current-voltage, capacitance-voltage and piezoforce microscopy characterization of SrZrxTi1-xO3 -Ge heterojunctions. In particular, we will discuss how the electrical characteristics of SrZrxTi1-xO3 -Ge heterojunctions evolve with respect to composition, annealing and film thickness.

  11. Profiling of MOCVD- and MBE-grown VCSEL wafers for WDM sources

    NASA Astrophysics Data System (ADS)

    Sze, Theresa; Mahbobzadeh, A. M.; Cheng, Julian; Hersee, Stephen D.; Osinski, Marek; Brueck, Steven R. J.; Malloy, Kevin J.

    1993-06-01

    We compare vertical-cavity surface emitting lasers grown by molecular beam epitaxial methods to those grown by metal organic chemical vapor deposition methods as sources for wavelength-division multiplexing systems.

  12. Adhesion Measurements of Epitaxially Lifted MBE-Grown ZnSe

    NASA Astrophysics Data System (ADS)

    Mavridi, N.; Zhu, J.; Eldose, N. M.; Prior, K. A.; Moug, R. T.

    2018-05-01

    ZnSe layers grown by molecular beam epitaxy (MBE), after processing by epitaxial lift-off, have been analyzed using fracture mechanics and thin-film interference to determine their adhesion properties on two different substrates, viz. ZnSe and glass, yielding adhesion energy of 270 ± 60 mJ m-2 and 34 ± 4 mJ m-2, respectively. These values are considerably larger than if only van der Waals forces were present and imply that adhesion arises from chemical bonding.

  13. Preparation of 2-in.-diameter (001) β-Ga2O3 homoepitaxial wafers by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Sasaki, Kohei; Goto, Ken; Konishi, Keita; Murakami, Hisashi; Kuramata, Akito; Kumagai, Yoshinao; Yamakoshi, Shigenobu

    2017-11-01

    The homoepitaxial growth of thick β-Ga2O3 layers on 2-in.-diameter (001) wafers was demonstrated by halide vapor phase epitaxy. Growth rates of 3 to 4 µm/h were confirmed for growing intentionally Si-doped n-type layers. A homoepitaxial layer with an average thickness and carrier concentration of 10.9 µm and 2.7 × 1016 cm-3 showed standard deviations of 1.8 µm (16.5%) and 0.5 × 1016 cm-3 (19.7%), respectively. Ni Schottky barrier diodes fabricated directly on a 5.3-µm-thick homoepitaxial layer with a carrier concentration of 3.4 × 1016 cm-3 showed reasonable reverse and forward characteristics, i.e., breakdown voltages above 200 V and on-resistances of 3.8-7.7 mΩ cm2 at room temperature.

  14. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  15. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  16. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    PubMed Central

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  17. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    NASA Astrophysics Data System (ADS)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  18. Phase transition and epitaxies between hydrated orthorhombic and anhydrous monoclinic uric acid crystals

    NASA Astrophysics Data System (ADS)

    Boistelle, R.; Rinaudo, C.

    1981-05-01

    Anhydrous monoclinic and hydrated orthorhombic uric acid crystals can be nucleated and grown from pure water solutions either separately or together with epitaxial relationships. When crystals of one modification exist in the solution they can act as nucleation substrate for the crystals of the other modification. In both cases the new phase grows epitaxially on the substrate; the mutual orientations are the same but the contact planes are different. In addition, the anhydrous modification grows into the hydrated one which undergoes a phase transition by a dissolution-recrystallization process. It is likely that the same processes occur in human stones made up of uric acids.

  19. Wafer-Fused Orientation-Patterned GaAs

    DTIC Science & Technology

    2008-02-13

    frequencies utilizing existing industrial foundries. 15. SUBJECT TERMS Orientation-patterned Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase... Gallium Arsenide, hydride vapor phase epitaxy, quasi-phase-matching, nonlinear frequency conversion 1. INTRODUCTION Quasi-phase-matching (QPM)1...and E. Lallier, “Second harmonic generation of CO2 laser using thick quasi-phase-matched GaAs layer grown by hydride vapour phase epitaxy

  20. InGaAsP-based uni-travelling carrier photodiode structure grown by solid source molecular beam epitaxy.

    PubMed

    Natrella, Michele; Rouvalis, Efthymios; Liu, Chin-Pang; Liu, Huiyun; Renaud, Cyril C; Seeds, Alwyn J

    2012-08-13

    We report the first InGaAsP-based uni-travelling carrier photodiode structure grown by Solid Source Molecular Beam Epitaxy; the material contains layers of InGaAsP as thick as 300 nm and a 120 nm thick InGaAs absorber. Large area vertically illuminated test devices have been fabricated and characterised; the devices exhibited 0.1 A/W responsivity at 1550 nm, 12.5 GHz -3 dB bandwidth and -5.8 dBm output power at 10 GHz for a photocurrent of 4.8 mA. The use of Solid Source Molecular Beam Epitaxy enables the major issue associated with the unintentional diffusion of zinc in Metal Organic Vapour Phase Epitaxy to be overcome and gives the benefit of the superior control provided by MBE growth techniques without the costs and the risks of handling toxic gases of Gas Source Molecular Beam Epitaxy.

  1. Molecular beam epitaxy-grown wurtzite MgS thin films for solar-blind ultra-violet detection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lai, Y. H.; He, Q. L.; Department of Physics and William Mong Institute of Nano Science and Technology, The Hong Kong University of Science and Technology, HKSAR, People's Republic of China

    2013-04-29

    Molecular beam epitaxy grown MgS on GaAs(111)B substrate was resulted in wurtzite phase, as demonstrated by detailed structural characterizations. Phenomenological arguments were used to account for why wurtzite phase is preferred over zincblende phase or its most stable rocksalt phase. Results of photoresponse and reflectance measurements performed on wurtzite MgS photodiodes suggest a direct bandgap at around 5.1 eV. Their response peaks at 245 nm with quantum efficiency of 9.9% and enjoys rejection of more than three orders at 320 nm and close to five orders at longer wavelengths, proving the photodiodes highly competitive in solar-blind ultraviolet detection.

  2. Measuring the dielectric and optical response of millimeter-scale amorphous and hexagonal boron nitride films grown on epitaxial graphene.

    PubMed

    Rigosi, Albert F; Hill, Heather M; Glavin, Nicholas R; Pookpanratana, Sujitra J; Yang, Yanfei; Boosalis, Alexander G; Hu, Jiuning; Rice, Anthony; Allerman, Andrew A; Nguyen, Nhan V; Hacker, Christina A; Elmquist, Randolph E; Hight Walker, Angela R; Newell, David B

    2018-01-01

    Monolayer epitaxial graphene (EG), grown on the Si face of SiC, is an advantageous material for a variety of electronic and optical applications. EG forms as a single crystal over millimeter-scale areas and consequently, the large scale single crystal can be utilized as a template for growth of other materials. In this work, we present the use of EG as a template to form millimeter-scale amorphous and hexagonal boron nitride ( a -BN and h -BN) films. The a -BN is formed with pulsed laser deposition and the h -BN is grown with triethylboron (TEB) and NH 3 precursors, making it the first metal organic chemical vapor deposition (MOCVD) process of this growth type performed on epitaxial graphene. A variety of optical and non-optical characterization methods are used to determine the optical absorption and dielectric functions of the EG, a -BN, and h -BN within the energy range of 1 eV to 8.5 eV. Furthermore, we report the first ellipsometric observation of high-energy resonant excitons in EG from the 4H polytype of SiC and an analysis on the interactions within the EG and h -BN heterostructure.

  3. Gallium Nitride (GaN) High Power Electronics (FY11)

    DTIC Science & Technology

    2012-01-01

    GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP

  4. Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples

    DTIC Science & Technology

    2002-01-01

    vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not

  5. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    NASA Astrophysics Data System (ADS)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  6. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  7. GaN-based vertical-cavity surface-emitting lasers with tunnel junction contacts grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2018-06-01

    We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.

  8. Thermal stability of MBE-grown epitaxial MoSe2 and WSe2 thin films

    NASA Astrophysics Data System (ADS)

    Chang, Young Jun; Choy, Byoung Ki; Phark, Soo-Hyon; Kim, Minu

    Layered transition metal dichalcogenides (TMDs) draw much attention, because of its unique optical properties and band structures depending on the layer thicknesses. However, MBE growth of epitaxial films demands information about thermal stability of stoichiometry and related electronic structure for high temperature range. We grow epitaxial MoSe2 and WSe2 ultrathin films by using molecular beam epitaxy (MBE). We characterize stoichiometry of films grown at various growth temperature by using various methods, XPS, EDX, and TOF-MEIS. We further test high temperature stability of electronic structure for those films by utilizing in-situ ellipsometry attached to UHV chamber. We discuss threshold temperatures up to 700~1000oC, at which electronic phases changes from semiconductor to metal due to selenium deficiency. This information can be useful for potential application of TMDs for fabrication of Van der Waals multilayers and related devices. This research was supported by Nano.Material Technology Development Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning. (2009-0082580), NRF-2014R1A1A1002868.

  9. Characteristics of epitaxial garnets grown by CVD using single metal alloy sources. [Chemical Vapor Deposition

    NASA Technical Reports Server (NTRS)

    Besser, P. J.; Hamilton, T. N.; Mee, J. E.; Stermer, R. L.

    1974-01-01

    Single metal alloys have been explored as the cation source in the chemical vapor deposition (CVD) of iron garnets. Growth of good quality single crystal garnet films containing as many as five different cations has been achieved over a wide range of deposition conditions. The relationship of film composition to alloy compositions and deposition conditions has been determined for several materials. By proper choice of the alloy composition and the deposition conditions, uncrazed deposits were grown on (111) gadolinium gallium garnet (GGG) substrates. Data on physical, magnetic and optical properties of representative films is presented and discussed.

  10. GaN epitaxial layers grown on multilayer graphene by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  11. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  12. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    NASA Astrophysics Data System (ADS)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  13. Epitaxial Garnets and Hexagonal Ferrites.

    DTIC Science & Technology

    1982-04-20

    goenv.o -,y la)ers were YIG (yttrium iron garnet ) films grown by liquid phase epitaxy w:* ( LPE ) on gadolinium gallium garnet (GGG) substrates. Magnetic...containing three epitaxial layers. In addition to the MSW work oil garnets , LPE of lithium ferrite and hexagonal fertites was studied. A substituted lead...of a stripline. The other layers are epitaxial films , generally YIG (yttrium iron garnet ) with magnetic properties adjusted by suitable modifications

  14. Epitaxy of GaN in high aspect ratio nanoscale holes over silicon substrate

    NASA Astrophysics Data System (ADS)

    Wang, Kejia; Wang, Anqi; Ji, Qingbin; Hu, Xiaodong; Xie, Yahong; Sun, Ying; Cheng, Zhiyuan

    2017-12-01

    Dislocation filtering in gallium nitride (GaN) by epitaxial growth through patterned nanoscale holes is studied. GaN grown from extremely high aspect ratio holes by metalorganic chemical vapor deposition is examined by transmission electron microscopy and high-resolution transmission electron microscopy. This selective area epitaxial growth method with a reduced epitaxy area and an increased depth to width ratio of holes leads to effective filtering of dislocations within the hole and improves the quality of GaN significantly.

  15. Large-Area Direct Hetero-Epitaxial Growth of 1550-nm InGaAsP Multi-Quantum-Well Structures on Patterned Exact-Oriented (001) Silicon Substrates by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico; Cabinian, Brian C.; Zhao, Hongwei; Oakley, Douglas C.; Bowers, John E.; Klamkin, Jonathan

    2018-02-01

    We employ a simple two-step growth technique to grow large-area 1550-nm laser structures by direct hetero-epitaxy of III-V compounds on patterned exact-oriented (001) silicon (Si) substrates by metal organic chemical vapor deposition. Densely-packed, highly uniform, flat and millimeter-long indium phosphide (InP) nanowires were grown from Si v-grooves separated by silicon dioxide (SiO2) stripes with various widths and pitches. Following removal of the SiO2 patterns, the InP nanowires were coalesced and, subsequently, 1550-nm laser structures were grown in a single overgrowth without performing any polishing for planarization. X-ray diffraction, photoluminescence, atomic force microscopy and transmission electron microscopy analyses were used to characterize the epitaxial material. PIN diodes were fabricated and diode-rectifying behavior was observed.

  16. Entirely relaxed lattice-mismatched GaSb/GaAs/Si(001) heterostructure grown via metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Lee, Ching Ting; Chang, Edward Yi

    2018-05-01

    A GaSb epilayer is grown on a GaAs/Si(001) epitaxial substrate via metalorganic chemical vapor deposition. High-resolution transmission electron microscopy micrographs and high-resolution X-ray reciprocal space mapping indicate an entirely relaxed interfacial misfit (IMF) array GaSb epilayer. The valence-band offset and conduction-band offset of the Al2O3/GaSb/GaAs/Si structure are estimated to be 2.39 and 3.65 eV, respectively. The fabricated Al2O3/p-GaSb/GaAs/Si MOS capacitors exhibited good capacitance–voltage characteristics with a small accumulation frequency dispersion of approximately 1.05% per decade. These results imply that the GaSb epilayer grown on the GaAs/Si platform in the IMF mode can be used for future complementary metal–oxide semiconductor applications.

  17. Linear thermal expansion coefficient determination using in situ curvature and temperature dependent X-ray diffraction measurements applied to metalorganic vapor phase epitaxy-grown AlGaAs

    NASA Astrophysics Data System (ADS)

    Maaßdorf, A.; Zeimer, U.; Grenzer, J.; Weyers, M.

    2013-07-01

    AlxGa1-xAs grown on GaAs is known to be almost perfectly lattice matched with a maximum lattice mismatch of 0.14% at room temperature and even less at temperatures of 700 °C-800 °C. However, as layer structures for edge-emitting diode lasers exhibit an increasing overall thickness of several microns of AlxGa1-xAs, e.g., diode lasers comprising a super-large optical cavity, the accumulated elastic strain energy increases as well. Depending on the growth temperature the formation energy of dislocations can be reached, which is limiting the pseudomorphic growth. In this regard, the thermal expansion coefficient difference between layer and substrate is an important parameter. We utilize in situ curvature measurements during growth of AlxGa1-xAs by metal-organic vapour phase epitaxy to determine the thermal expansion coefficient α. The curvature change with increasing layer thickness, as well as with wafer temperature at constant layer thickness is used to assess α. This is compared to ex situ temperature dependent X-ray diffraction measurements to obtain α. All determined values for α are in good agreement, yielding αAlAs=4.1×10-6 K-1 for a given GaAs linear thermal expansion coefficient of αGaAs=5.73×10-6 K-1.

  18. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  19. In-plane orientation and composition dependences of crystal structure and electrical properties of {100}-oriented Pb(Zr,Ti)O3 films grown on (100) Si substrates by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okamoto, Shoji; Sankara Rama Krishnan, P. S.; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2017-10-01

    In-plane orientation-controlled Pb(Zr x ,Ti1- x )O3 (PZT) films with a thickness of approximately 2 µm and a Zr/(Zr + Ti) ratio of 0.39-0.65 were grown on (100) Si substrates by pulsed metal-organic chemical vapor deposition (MOCVD). In-plane-oriented epitaxial PZT films and in-plane random fiber-textured PZT films with {100} out-of-plane orientation were grown on (100)c SrRuO3//(100)c LaNiO3//(100) CeO2//(100) YSZ//(100) Si and (100)c SrRuO3/(100)c LaNiO3/(111) Pt/TiO2/SiO2/(100) Si substrates, respectively. The effects of Zr/(Zr + Ti) ratio and in-plane orientation on the crystal structure, dielectric, ferroelectric, and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that the epitaxial PZT films had a higher volume fraction of (100) orientation than the fiber-textured PZT films in the tetragonal Zr/(Zr + Ti) ratio region. A large difference was not detected between the epitaxial films and the fiber-textured films for Zr/(Zr + Ti) ratio dependence of the dielectric constant, and remanent polarization. However, in the rhombohedral phase region [Zr/(Zr + Ti) = 0.65], coercive field was found to be 1.5-fold different between the epitaxial and fiber-textured PZT films. The maximum field-induced strains measured at 0-100 kV/cm by scanning atomic force microscopy were obtained at approximately Zr/(Zr + Ti) = 0.50 and were about 0.5 and 0.3% for the epitaxial and fiber-textured PZT films, respectively.

  20. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  1. Epitaxial growth of 6H silicon carbide in the temperature range 1320 C to 1390 C

    NASA Technical Reports Server (NTRS)

    Will, H. A.; Powell, J. A.

    1974-01-01

    High-quality epitaxial layers of 6H SiC have been grown on 6H SiC substrates with the grown direction perpendicular to the crystal c-axis. The growth was by chemical vapor deposition from methyltrichlorosilane (CH3SiCl3) in hydrogen at temperatures in the range of 1320 to 1390 C. Epitaxial layers up to 80 microns thick were grown at rates of 0.4 microns/min. Attempts at growth on the (0001) plane of 6H SiC substrates under similar conditions resulted in polycrystalline cubic SiC layers. Optical and X-ray diffraction techniques were used to characterize the grown layers.

  2. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  3. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of themore » III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.« less

  4. Structural evolution of epitaxial SrCoO x films near topotactic phase transition

    DOE PAGES

    Jeen, Hyoung Jeen; Lee, Ho Nyung

    2015-12-18

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO x) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO 2.5thin films and performed post-annealing at various temperaturesmore » for topotactic conversion into the perovskite phase (SrCoO 3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Furthermore, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.« less

  5. Infrared Photodiodes Made by Low Energy Ion Etching of Molecular Beam Epitaxy Grown Mercury-Cadmium Alloy

    NASA Astrophysics Data System (ADS)

    Yoo, Sung-Shik

    Ion etching was used to form junctions on the p-type (111)B Hg_{1-x}Cd_ {x}Te grown by Molecular Beam Epitaxy(MBE). When Hg_{1-x}Cd_{x}Te layers are etched by Ar ions at energies ranging between 300 and 450eV, the top Hg_{1 -x}Cd_{x}Te layer is converted to n-type. The converted region is electrically characterized as a defective n^+-region near the surface, and a low doped n^--region exist below the damaged region. The total thickness of the converted n-type layer was found to be considerable. These results suggest that the creation of the n-type layer is due to the filling of mercury vacancies by mercury atoms displaced by the Ar ion irradiation on the surface. For the performance of the resulting photodiodes on MBE grown (111)B Hg_{1-x}Cd _{x}Te using this technique, the dynamic resistances at 80K are one order of magnitude less than those of junctions made on Liquid Phase Epitaxially and Bulk grown Hg_{1 -x}Cd_{x}Te. The ion etching technique was compared with ion implantation technique by fabricating diodes on the same MBE grown (111)B Hg _{1-x}Cd_{x}Te layers. The result of the comparison illustrates that ion etching technique is as good as ion implantation technique for the fabrication of Hg_{1-x}Cd _{x}Te photodiodes. Also it is believed that the performance of the diodes is limited by a relatively large density of twin defects usually found in MBE grown (111)B Hg_{1-x}Cd _{x}Te.

  6. Parasitic phases at the origin of magnetic moment in BiFeO3 thin films grown by low deposition rate RF sputtering

    NASA Astrophysics Data System (ADS)

    Mori, Thiago J. A.; Mouls, Caroline L.; Morgado, Felipe F.; Schio, Pedro; Cezar, Júlio C.

    2017-09-01

    A series of epitaxial BiFeO3 thin films has been grown under high partial pressure in a pure O2 atmosphere, which leads to a low deposition rate. The samples grown under these conditions have presented an evolution of the quality of the epitaxy as the deposition temperature increases, however, spurious β- Bi2O3 and supertetragonal BiFeO3 phases are present in the films grown at higher temperatures. The presence of γ- Fe2O3 is reported in one growing condition, and has been attributed to the origin of hysteretic ferromagnetic behavior. A second kind of magnetism, with higher magnetic moment and anhysteretic behaviour, is attributed to the presence of mixed phases of BiFeO3.

  7. Local electronic and optical behaviors of a-plane GaN grown via epitaxial lateral overgrowth

    NASA Astrophysics Data System (ADS)

    Moore, J. C.; Kasliwal, V.; Baski, A. A.; Ni, X.; Özgür, Ü.; Morkoç, H.

    2007-01-01

    Conductive atomic force microscopy and near-field optical microscopy (NSOM) were used to study the morphology, conduction, and optical properties of a-plane GaN films grown via epitaxial lateral overgrowth (ELO) by metal organic chemical vapor deposition. The AFM images for the coalesced ELO films show undulations, where the window regions appear as depressions with a high density of surface pits. At reverse bias below 12V, very low uniform conduction (2pA) is seen in the window regions. Above 20V, a lower-quality sample shows localized sites inside the window regions with significant leakage, indicating a correlation between the presence of surface pits and leakage sites. Room temperature NSOM studies explicitly showed enhanced optical quality in the wing regions of the overgrown GaN due to a reduced density of dislocations, with the wings and the windows clearly discernible from near-field photoluminescence mapping.

  8. Epitaxial titanium diboride films grown by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Zhai, H. Y.; Christen, H. M.; Cantoni, C.; Goyal, A.; Lowndes, D. H.

    2002-03-01

    Epitaxial, smooth, and low-resistivity titanium diboride (TiB2) films have been grown on SiC substrates using pulsed-laser deposition. Combined studies from ex situ x-ray diffraction and in situ reflection high-energy electron diffraction indicate the crystallographic alignment between TiB2 and SiC both parallel and normal to the substrate. Atomic force microscopy and scanning electron microscopy studies show that these epitaxial films have a smooth surface, and the resistivity of these films is comparable to that of single-crystal TiB2. Growth of these films is motivated by this material's structural and chemical similarity and lattice match to the newly discovered superconductor MgB2, both to gain further insight into the physical mechanisms of diborides in general and, more specifically, as a component of MgB2-based thin-film heterostructures.

  9. Growth of epitaxial orthorhombic YO{sub 1.5}-substituted HfO{sub 2} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimizu, Takao; Katayama, Kiliha; Kiguchi, Takanori

    YO{sub 1.5}-substituted HfO{sub 2} thin films with various substitution amounts were grown on (100) YSZ substrates by the pulsed laser deposition method directly from the vapor phase. The epitaxial growth of film with different YO{sub 1.5} amounts was confirmed by the X-ray diffraction method. Wide-area reciprocal lattice mapping measurements were performed to clarify the crystal symmetry of films. The formed phases changed from low-symmetry monoclinic baddeleyite to high-symmetry tetragonal/cubic fluorite phases through an orthorhombic phase as the YO{sub 1.5} amount increased from 0 to 0.15. The additional annular bright-field scanning transmission electron microscopy indicates that the orthorhombic phase has polarmore » structure. This means that the direct growth by vapor is of polar orthorhombic HfO{sub 2}-based film. Moreover, high-temperature X-ray diffraction measurements showed that the film with a YO{sub 1.5} amount of 0.07 with orthorhombic structure at room temperature only exhibited a structural phase transition to tetragonal phase above 450 °C. This temperature is much higher than the reported maximum temperature of 200 °C to obtain ferroelectricity as well as the expected temperature for real device application. The growth of epitaxial orthorhombic HfO{sub 2}-based film helps clarify the nature of ferroelectricity in HfO{sub 2}-based films (186 words/200 words)« less

  10. Photon Transport in One-Dimensional Incommensurately Epitaxial CsPbX 3 Arrays

    DOE PAGES

    Wang, Yiping; Sun, Xin; Shivanna, Ravichandran; ...

    2016-11-16

    One-dimensional nanoscale epitaxial arrays serve as a great model in studying fundamental physics and for emerging applications. With an increasing focus laid on the Cs-based inorganic halide perovskite out of its outstanding material stability, we have applied vapor phase epitaxy to grow well aligned horizontal CsPbX 3 (X: Cl, Br, or I or their mixed) nanowire arrays in large scale on mica substrate. The as-grown nanowire features a triangular prism morphology with typical length ranging from a few tens of micrometers to a few millimeters. Structural analysis reveals that the wire arrays follow the symmetry of mica substrate through incommensuratemore » epitaxy, paving a way for a universally applicable method to grow a broad family of halide perovskite materials. We have studied the unique photon transport in the one-dimensional structure in the all-inorganic Cs-based perovskite wires via temperature dependent and spatially resolved photoluminescence. Furthermore, epitaxy of well oriented wire arrays in halide perovskite would be a promising direction for enabling the circuit-level applications of halide perovskite in high-performance electro-optics and optoelectronics.« less

  11. Low symmetry phase in Pb(Zr0.52Ti0.48)O3 epitaxial thin films with enhanced ferroelectric properties

    NASA Astrophysics Data System (ADS)

    Yan, Li; Li, Jiefang; Cao, Hu; Viehland, D.

    2006-12-01

    The authors report the structural and ferroelectric properties of Pb(Zr0.52Ti0.48)O3 (PZT) epitaxial thin films grown on (001), (110), and (111) SrRuO3/SrTiO3 substrates by pulsed laser deposition. A monoclinic C (Mc) phase has been found for (101) films, whereas (001) and (111) ones were tetragonal (T ) and rhombohedral (R), respectively. The authors find that the ferroelectric polarization of the Mc phase is higher than that in either the T or R ones. These results are consistent with predictions (i) of epitaxial phase diagrams and (ii) that the enhanced ferroelectric properties of morphotropic phase boundary PZT are related to a low symmetry monoclinic phase.

  12. Hydride vapor phase GaN films with reduced density of residual electrons and deep traps

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Polyakov, A. Y., E-mail: aypolyakov@gmail.com; Smirnov, N. B.; Govorkov, A. V.

    2014-05-14

    Electrical properties and deep electron and hole traps spectra are compared for undoped n-GaN films grown by hydride vapor phase epitaxy (HVPE) in the regular process (standard HVPE samples) and in HVPE process optimized for decreasing the concentration of residual donor impurities (improved HVPE samples). It is shown that the residual donor density can be reduced by optimization from ∼10{sup 17} cm{sup −3} to (2–5) × 10{sup 14} cm{sup −3}. The density of deep hole traps and deep electron traps decreases with decreased donor density, so that the concentration of deep hole traps in the improved samples is reduced to ∼5 × 10{sup 13} cm{sup −3} versusmore » 2.9 × 10{sup 16} cm{sup −3} in the standard samples, with a similar decrease in the electron traps concentration.« less

  13. Electron-spin-resonance studies of vapor-grown carbon fibers

    NASA Technical Reports Server (NTRS)

    Marshik, B.; Meyer, D.; Apple, T.

    1987-01-01

    The effects of annealing temperature and fiber diameter on the degree of disorder of vapor-grown carbon fibers were investigated by analyzing the electron-spin-resonance (ESR) line shapes of fibers annealed at six various temperatures up to 3375 K. The diameter of fibers, grown from methane gas, ranged from 10 to 140 microns with most fibers between 20 and 50 microns. It was found that the degree of disorder of vapor-grown fibers decreases upon annealing to higher temperature; standard angular deviation between the fiber axis and the crystallite basal planes could vary from 35 deg (for annealing temperature of 2275 K) to 12 deg (for 3375 K). With respect to fiber diameter, order parameters were found to be higher for fibers of smaller diameters.

  14. The effect of Bi composition on the properties of InP{sub 1−x}Bi{sub x} grown by liquid phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, T. D., E-mail: tddas@hotmail.com

    InP{sub 1−x}Bi{sub x} epilayers (x ≥ 1.2%) on InP (001) are grown reproducibly by liquid phase epitaxy with conventional solution baking in a H{sub 2} environment. The Bi composition and surface morphology of the grown layers are studied by secondary ion mass spectroscopy and atomic force microscopy, respectively. High-resolution x-ray diffraction is used to characterize the lattice parameters and the crystalline quality of the layers. 10 K photoluminescence measurements indicate three clearly resolved peaks in undoped InP layers with band-to-band transition at 1.42 eV which is redshifted with Bi incorporation in the layer with a maximum band gap reduction of 50 meV/% Bi. The effectmore » is attributed to the interaction between the valence band edge and Bi-related defect states as is explained here by valence-band anticrossing model. Room temperature Hall measurements indicate that the mobility of the layer is not significantly affected for Bi concentration up to 1.2%.« less

  15. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  16. Thick Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8+{delta}} Films Grown by Liquid-Phase Epitaxy for Josephson THz Applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.

    Theoretical and experimental studies of intrinsic Josephson junctions that naturally occur in high-Tc superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm-thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature ofmore » underdamped intrinsic Josephson junctions, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.« less

  17. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  18. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    PubMed

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  19. Epitaxial Garnet Investigation; Technical Report, Foreign Travel

    DTIC Science & Technology

    1988-10-25

    Pure yttrium iron garnet (YIG) films are grown on GGG substrates by * liquid phase epitaxy ( LPE ) in production lots. In addition, one or two...epitaxial garnet films for Philips Dr. Krumme * Dr. Doormann 3-6-87 Thomson - CSF Research Center, Orsay, France Dr. J. P. Castera Dr. P. L. Meunier all...research physicists who grow, characterize, Dr. J. Y. Beguin or use epitaxial garnet films for Thomson CSF. Dr. J. L. Rolland Dr. P. Friez The

  20. Superconductivity in epitaxial InN thin films with large critical fields

    NASA Astrophysics Data System (ADS)

    Pal, Buddhadeb; Joshi, Bhanu P.; Chakraborti, Himadri; Jain, Aditya K.; Barick, Barun K.; Ghosh, Kankat; Laha, Apurba; Dhar, Subhabrata; Gupta, Kantimay Das

    2018-04-01

    We report superconductivity in Chemical Vapor Deposition (CVD) and Plasma-Assisted Molecular Beam Epitaxy (PA-MBE) grown epitaxial InN films having carrier density ˜ 1019 - 1020cm-3. The superconducting phase transition starts at temperatures around Tc,onset˜3 K and the resistance goes to zero completely at Tc0 ˜ 1.6 K. The temperature dependence of the critical field HC2(T) does not obey a two fluid Casimir-Gorter (C-G) model rather it is well explained by the 2-D Tinkham model. The extrapolated value of the zero-temperature perpendicular critical field HC2(0) is found to be between 0.25 - 0.9 T, which is ten times greater than that of Indium metal. It may indicate the intrinsic nature of superconductivity in InN films. The angle dependence of critical field is well described by Lawrence-Doniach (L-D) model, which suggest the existence of quasi-2D superconducting layers.

  1. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  2. Strain-relaxed structure in (001)/(100)-oriented epitaxial PbTiO3 films grown on (100) SrTiO3 substrates by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Nakaki, Hiroshi; Kim, Yong Kwan; Yokoyama, Shintaro; Ikariyama, Rikyu; Funakubo, Hiroshi; Nishida, Ken; Saito, Keisuke

    2007-09-01

    The authors grew (001)- and (001)/(100)-oriented epitaxial PbTiO3 films with various thicknesses on (100)SrTiO3 substrates. They used x-ray diffraction to measure the angles between surface normal [001] of (001)-oriented domains and [100] of (100)-oriented domains. The angles were found to be approximately 3.6° when the film thickness exceeded 1100nm. This value is consistent with the value obtained by a geometric calculation for strain-free PbTiO3. This result suggests that thick epitaxial PbTiO3 films grown on (100)SrTiO3 substrates have a fully strain-relaxed structure.

  3. In vacancies in InN grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Reurings, Floris; Tuomisto, Filip; Gallinat, Chad S.; Koblmüller, Gregor; Speck, James S.

    2010-12-01

    The authors have applied positron annihilation spectroscopy to study the effect of different growth conditions on vacancy formation in In- and N-polar InN grown by plasma-assisted molecular beam epitaxy. The results suggest that the structural quality of the material and limited diffusion of surface adatoms during growth dictate the In vacancy formation in low electron-density undoped epitaxial InN, while growth conditions and thermodynamics have a less important role, contrary to what is observed in, e.g., GaN. Furthermore, the results imply that in high quality InN, the electron mobility is likely limited not by ionized point defect scattering, but rather by threading dislocations.

  4. BiVO4 thin film photoanodes grown by chemical vapor deposition.

    PubMed

    Alarcón-Lladó, Esther; Chen, Le; Hettick, Mark; Mashouf, Neeka; Lin, Yongjing; Javey, Ali; Ager, Joel W

    2014-01-28

    BiVO4 thin film photoanodes were grown by vapor transport chemical deposition on FTO/glass substrates. By controlling the flow rate, the temperatures of the Bi and V sources (Bi metal and V2O5 powder, respectively), and the temperature of the deposition zone in a two-zone furnace, single-phase monoclinic BiVO4 thin films can be obtained. The CVD-grown films produce global AM1.5 photocurrent densities up to 1 mA cm(-2) in aqueous conditions in the presence of a sacrificial reagent. Front illuminated photocatalytic performance can be improved by inserting either a SnO2 hole blocking layer and/or a thin, extrinsically Mo doped BiVO4 layer between the FTO and the CVD-grown layer. The incident photon to current efficiency (IPCE), measured under front illumination, for BiVO4 grown directly on FTO/glass is about 10% for wavelengths below 450 nm at a bias of +0.6 V vs. Ag/AgCl. For BiVO4 grown on a 40 nm SnO2/20 nm Mo-doped BiVO4 back contact, the IPCE is increased to over 40% at wavelengths below 420 nm.

  5. Epitaxial graphene

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  6. Crystal orientation, crystallinity, and thermoelectric properties of Bi0.9Sr0.1CuSeO epitaxial films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Ishizawa, Mamoru; Fujishiro, Hiroyuki; Naito, Tomoyuki; Ito, Akihiko; Goto, Takashi

    2018-02-01

    We have grown Bi0.9Sr0.1CuSeO epitaxial thin films on MgO and SrTiO3 (STO) single-crystal substrates by pulsed laser deposition (PLD) under various growth conditions, and investigated the crystal orientation, crystallinity, chemical composition, and thermoelectric properties of the films. The optimization of the growth conditions was realized in the film grown on MgO at the temperature T s = 573 K and Ar pressure P Ar = 0.01 Torr in this study, in which there was no misalignment apart from the c-axis and no impurity phase. It was clearly found that the higher crystal orientation of the epitaxial film grown at a higher temperature under a lower Ar pressure mainly enhanced the thermoelectric power factor P (= S 2/ρ), where S is the Seebeck coefficient and ρ is the electrical resistivity. However, the thermoelectric properties of the films were lower than those of polycrystalline bulk because of lattice distortion from lattice mismatch, a low crystallinity caused by a lower T s, and Bi and Cu deficiencies in the films.

  7. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    PubMed

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  8. 4H-SiC p i n diodes grown by sublimation epitaxy in vacuum (SEV) and their application as microwave diodes

    NASA Astrophysics Data System (ADS)

    Camara, N.; Zekentes, K.; Zelenin, V. V.; Abramov, P. L.; Kirillov, A. V.; Romanov, L. P.; Boltovets, N. S.; Krivutsa, V. A.; Thuaire, A.; Bano, E.; Tsoi, E.; Lebedev, A. A.

    2008-02-01

    Sublimation epitaxy under vacuum (SEV) was investigated as a method for growing 4H-SiC epitaxial structures for p-i-n diode fabrication. The SEV-grown 4H-SiC material was investigated with scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction, photo-luminescence spectroscopy (PL), cathodo-luminescence (CL) spectroscopy, photocurrent method for carrier diffusion length determination, electro-luminescence microscopy (EL), deep level transient spectroscopy (DLTS), C-V profiling and Hall-effect measurements. When possible, the same investigation techniques were used in parallel with similar layers grown by chemical vapour deposition (CVD) epitaxy and the physical properties of the two kind of epitaxied layers were compared. p-i-n diodes were fabricated in parallel on SEV and CVD-grown layers and showed close electrical performances in dc mode in term of capacitance, resistance and transient time switching, despite the lower mobility and the diffusion length of the SEV-grown layers. X-band microwave switches based on the SEV-grown p-i-n diodes have been demonstrated with insertion loss lower than 4 dB and an isolation higher than 17 dB. These single-pole single-throw (SPST) switches were able to handle a pulsed power up to 1800 W in isolation mode, similar to the value obtained with switches incorporating diodes with CVD-grown layers.

  9. Ion channeling studies on mixed phases formed in metalorganic chemical vapor deposition grown Mg-doped GaN on Al2O3(0001)

    NASA Astrophysics Data System (ADS)

    Sundaravel, B.; Luo, E. Z.; Xu, J. B.; Wilson, I. H.; Fong, W. K.; Wang, L. S.; Surya, C.

    2000-01-01

    Rutherford backscattering spectrometry and ion channeling were used to determine the relative quantities of wurtzite and zinc-blende phases in metalorganic chemical vapor deposition grown Mg-doped GaN(0001) on an Al2O3(0001) substrate with a GaN buffer layer. Offnormal axial channeling scans were used. High-resolution x-ray diffraction measurements also confirmed the presence of mixed phases. The in-plane orientation was found to be GaN[11¯0]‖GaN[112¯0]‖Al2O3[112¯0]. The effects of rapid thermal annealing on the relative phase content, thickness and crystalline quality of the GaN epilayer were also studied.

  10. Applying CLIPS to control of molecular beam epitaxy processing

    NASA Technical Reports Server (NTRS)

    Rabeau, Arthur A.; Bensaoula, Abdelhak; Jamison, Keith D.; Horton, Charles; Ignatiev, Alex; Glover, John R.

    1990-01-01

    A key element of U.S. industrial competitiveness in the 1990's will be the exploitation of advanced technologies which involve low-volume, high-profit manufacturing. The demands of such manufacture limit participation to a few major entities in the U.S. and elsewhere, and offset the lower manufacturing costs of other countries which have, for example, captured much of the consumer electronics market. One such technology is thin-film epitaxy, a technology which encompasses several techniques such as Molecular Beam Epitaxy (MBE), Chemical Beam Epitaxy (CBE), and Vapor-Phase Epitaxy (VPE). Molecular Beam Epitaxy (MBE) is a technology for creating a variety of electronic and electro-optical materials. Compared to standard microelectronic production techniques (including gaseous diffusion, ion implantation, and chemical vapor deposition), MBE is much more exact, though much slower. Although newer than the standard technologies, MBE is the technology of choice for fabrication of ultraprecise materials for cutting-edge microelectronic devices and for research into the properties of new materials.

  11. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  12. Epitaxial growth of HfS2 on sapphire by chemical vapor deposition and application for photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Denggui; Zhang, Xingwang; Liu, Heng; Meng, Junhua; Xia, Jing; Yin, Zhigang; Wang, Ye; You, Jingbi; Meng, Xiang-Min

    2017-09-01

    Group IVB transition metal (Zr and Hf) dichalcogenides (TMDs) have been attracting intensive attention as promising candidates in the modern electronic and/or optoelectronic fields. However, the controllable growth of HfS2 monolayers or few layers still remains a great challenge, thus hindering their further applications so far. Here, for the first time we demonstrate the epitaxial growth of high-quality HfS2 with a controlled number of layers on c-plane sapphire substrates by chemical vapor deposition (CVD). The HfS2 layers exhibit an atomically sharp interface with the sapphire substrate, followed by flat, 2D layers with octahedral coordination. The epitaxial relationship between HfS2 and substrate was determined by x-ray diffraction and transmission electron microscopy measurements to be: HfS2 (0 0 0 1) [10-10]||sapphire (0 0 0 1)[1-100]. Moreover, a high-performance photodetector with a high on/off ratio of more than 103 and an ultrafast response rate of 130 µs for the rise and 155 µs for the decay times were fabricated based on the CVD-grown HfS2 layers on sapphire substrates. This simple and controllable approach opens up a new way to produce highly crystalline HfS2 atomic layers, which are promising materials for nanoelectronics.

  13. Thermoelectric properties of epitaxial β-FeSi2 thin films grown on Si(111) substrates with various film qualities

    NASA Astrophysics Data System (ADS)

    Watanabe, Kentaro; Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Suzuki, Takeyuki; Fujita, Takeshi; Nakamura, Yoshiaki

    2017-05-01

    Si-based epitaxial β-FeSi2 thin films are attractive as materials for on-chip thermoelectric power generators. We investigated the structure, crystallinity, and thermoelectric properties of β-FeSi2 thin films epitaxially grown on Si(111) substrates by using three different techniques: conventional reactive deposition epitaxy followed by molecular beam epitaxy (RDE+MBE), solid phase epitaxy (SPE) based on codeposition of Fe and Si presented previously, and SPE followed by MBE (SPE+MBE) presented newly by this work. Their epitaxial growth temperatures were fixed at 530 °C for comparison. RDE+MBE thin films exhibited high crystalline quality, but rough surfaces and rugged β-FeSi2/Si(111) interfaces. On the other hand, SPE thin films showed flat surfaces and abrupt β-FeSi2/Si(111) interfaces but low crystallinity. We found that SPE+MBE thin films realized crystallinity higher than SPE thin films, and also had flatter surfaces and sharper interfaces than RDE+MBE thin films. In SPE+MBE thin film growth, due to the initial SPE process with low temperature codeposition, thermal interdiffusion of Fe and Si was suppressed, resulting in the surface flatness and abrupt interface. Second high temperature MBE process improved the crystallinity. We also investigated thermoelectric properties of these β-FeSi2 thin films. Structural factors affecting the thermoelectric properties of RDE+MBE, SPE, and SPE+MBE thin films were investigated.

  14. Direct observation of fatigue in epitaxially grown Pb(Zr,Ti)O3 thin films using second harmonic piezoresponse force microscopy

    NASA Astrophysics Data System (ADS)

    Murari, Nishit M.; Hong, Seungbum; Lee, Ho Nyung; Katiyar, Ram. S.

    2011-08-01

    Here, we present a direct observation of fatigue phenomena in epitaxially grown Pb(Zr0.2Ti0.8)O3 (PZT) thin films using second harmonic piezoresponse force microscopy (SH-PFM). We observed strong correlation between the SH-PFM amplitude and phase signals with the remnant piezoresponse at different switching cycles. The SH-PFM results indicate that the average fraction of switchable domains decreases globally and the phase delays of polarization switching differ locally. In addition, we found that the fatigue developed uniformly over the whole area without developing region-by-region suppression of switchable polarization as in polycrystalline PZT thin films.

  15. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  16. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    PubMed

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  17. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template

    PubMed Central

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-01-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi2Se3 epitaxial heterostructures by using two-dimensional (2D) Bi2Se3 nanoplates as soft templates. The dangling bond–free surface of 2D Bi2Se3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi2Se3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi2Se3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi2Se3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi2Se3 nanoplates. We further show that the resulted PbSe/Bi2Se3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi2Se3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions. PMID:27730211

  18. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  19. A comparison of the bromination dynamics of pitch-based and vapor-grown graphite fibers

    NASA Technical Reports Server (NTRS)

    Gaier, J. R.

    1986-01-01

    The electrical resistance of pitch based P-100 fibers and experimental organic vapor grown fibers was recorded in-situ during bromination and subsequent exposure to ambient laboratory air. The results indicate that the bromination and debromination reactions proceed much slower for vapor grown fibers than for pitch based. While this may be due in part to the larger diameter of the vapor grown fibers, the majority of the effect can probably be attributed to the differences in graphene plane orientation between the fiber types. Although the reactions are slower in the vapor grown than in the pitch based fibers, the extent of reaction as measured by the change in electrical resistance is essentially the same, with comparable (or larger) decreases in resistivity. The bromination reaction proceeds with one or more plateaus in the resistance versus time curves, which suggests staging and strengthens the argument that these fibers produce true intercalation compounds.

  20. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  1. Gallium hydride vapor phase epitaxy of GaN nanowires

    PubMed Central

    2011-01-01

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects. PMID:21711801

  2. Gallium hydride vapor phase epitaxy of GaN nanowires.

    PubMed

    Zervos, Matthew; Othonos, Andreas

    2011-03-28

    Straight GaN nanowires (NWs) with diameters of 50 nm, lengths up to 10 μm and a hexagonal wurtzite crystal structure have been grown at 900°C on 0.5 nm Au/Si(001) via the reaction of Ga with NH3 and N2:H2, where the H2 content was varied between 10 and 100%. The growth of high-quality GaN NWs depends critically on the thickness of Au and Ga vapor pressure while no deposition occurs on plain Si(001). Increasing the H2 content leads to an increase in the growth rate, a reduction in the areal density of the GaN NWs and a suppression of the underlying amorphous (α)-like GaN layer which occurs without H2. The increase in growth rate with H2 content is a direct consequence of the reaction of Ga with H2 which leads to the formation of Ga hydride that reacts efficiently with NH3 at the top of the GaN NWs. Moreover, the reduction in the areal density of the GaN NWs and suppression of the α-like GaN layer is attributed to the reaction of H2 with Ga in the immediate vicinity of the Au NPs. Finally, the incorporation of H2 leads to a significant improvement in the near band edge photoluminescence through a suppression of the non-radiative recombination via surface states which become passivated not only via H2, but also via a reduction of O2-related defects.

  3. Dielectric Spectroscopy Study of ZnSe Grown by Physical Vapor Transport

    NASA Technical Reports Server (NTRS)

    Kokan, J.; Gerhardt, R.; Su, Ching-Hua

    1997-01-01

    The dielectric properties of ZnSe samples grown by physical vapor transport were measured as a function of frequency. Differences can be seen in the dielectric properties of samples grown under different conditions. The spectra of heat treated samples were also acquired and were found to exhibit significant deviations from those of the as grown crystals.

  4. Substrate effects on the epitaxial growth of ZnGeP2 thin films by open tube organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.

    1991-01-01

    Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.

  5. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  6. Crystal growth from the vapor phase experiment MA-085

    NASA Technical Reports Server (NTRS)

    Wiedemeir, H.; Sadeek, H.; Klaessig, F. C.; Norek, M.

    1976-01-01

    Three vapor transport experiments on multicomponent systems were performed during the Apollo Soyuz mission to determine the effects of microgravity forces on crystal morphology and mass transport rates. The mixed systems used germanium selenide, tellurium, germanium tetraiodide (transport agent), germanium monosulfide, germanium tetrachloride (transport agent), and argon (inert atmosphere). The materials were enclosed in evacuated sealed ampoules of fused silica and were transported in a temperature gradient of the multipurpose electric furnace onboard the Apollo Soyuz spacecraft. Preliminary evaluation of 2 systems shows improved quality of space grown crystals in terms of growth morphology and bulk perfection. This conclusion is based on a direct comparison of space grown and ground based crystals by means of X-ray diffraction, microscopic, and chemical etching techniques. The observation of greater mass transport rates than predicted for a microgravity environment by existing vapor transport models indicates the existence of nongravity caused transport effects in a reactive solid/gas phase system.

  7. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Y. T., E-mail: yasun@kth.se; Omanakuttan, G.; Lourdudoss, S.

    2015-05-25

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reductionmore » effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm{sup 2} at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm{sup 2}, an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon.« less

  8. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    NASA Technical Reports Server (NTRS)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  9. Single-crystalline BaTiO3 films grown by gas-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Matsubara, Yuya; Takahashi, Kei S.; Tokura, Yoshinori; Kawasaki, Masashi

    2014-12-01

    Thin BaTiO3 films were grown on GdScO3 (110) substrates by metalorganic gas-source molecular beam epitaxy. Titanium tetra-isopropoxide (TTIP) was used as a volatile precursor that provides a wide growth window of the supplied TTIP/Ba ratio for automatic adjustment of the film composition. Within the growth window, compressively strained films can be grown with excellent crystalline quality, whereas films grown outside of the growth window are relaxed with inferior crystallinity. This growth method will provide a way to study the intrinsic properties of ferroelectric BaTiO3 films and their heterostructures by precise control of the stoichiometry, structure, and purity.

  10. Scanning tunneling microscope study of GaAs(001) surfaces grown by migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J.; Gallagher, M.C.; Willis, R.F.

    We report an investigation of the morphology of p-type GaAs(001) surfaces using scanning tunneling microscopy (STM). The substrates were prepared using two methods: migration enhanced epitaxy (MEE) and standard molecular-beam epitaxy (MBE). The STM measurements were performed ex situ using As decapping. Analysis indicates that the overall step density of the MEE samples decreases as the growth temperature is increased. Nominally flat samples grown at 300{degrees}C exhibited step densities of 10.5 steps/1000 {Angstrom} along [ 110] dropping to 2.5 steps at 580{degrees}C. MEE samples exhibited a lower step density than MBE samples. However as-grown surfaces exhibited a larger distribution ofmore » step heights. Annealing the samples reduced the step height distribution exposing fewer atomic layers. Samples grown by MEE at 580{degrees}C and annealed for 2 min displayed the lowest step density and the narrowest step height distribution. All samples displayed an anisotropic step density. We found a ratio of A-type to B-type steps of between 2 and 3 which directly reflects the difference in the incorporation energy at steps. The aspect ratio increased slightly with growth temperature. We found a similar aspect ratio on samples grown by MBE. This indicates that anisotropic growth during MEE, like MBE, is dominated by incorporation kinetics. MEE samples grown at 580{degrees}C and capped immediately following growth exhibited a number of {open_quotes}holes{close_quotes} in the surface. The holes could be eliminated by annealing the surface prior to quenching. 20 refs., 3 figs., 1 tab.« less

  11. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    NASA Astrophysics Data System (ADS)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  12. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  13. Magnetic properties of low-moment ferrimagnetic Heusler Cr2CoGa thin films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; DeCapua, Matthew C.; Player, Gabriel; Heiman, Don

    2016-10-01

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87 meV. These results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.

  14. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Bum Ho, E-mail: bhchoi@kitech.re.kr; Lee, Jong Ho

    2014-08-04

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10{sup −6} g/(m{sup 2} day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are freemore » from intermixed interface defects effectively block water vapor permeation into active layer.« less

  15. Frictional behavior of atomically thin sheets: hexagonal-shaped graphene islands grown on copper by chemical vapor deposition.

    PubMed

    Egberts, Philip; Han, Gang Hee; Liu, Xin Z; Johnson, A T Charlie; Carpick, Robert W

    2014-05-27

    Single asperity friction experiments using atomic force microscopy (AFM) have been conducted on chemical vapor deposited (CVD) graphene grown on polycrystalline copper foils. Graphene substantially lowers the friction force experienced by the sliding asperity of a silicon AFM tip compared to the surrounding oxidized copper surface by a factor ranging from 1.5 to 7 over loads from the adhesive minimum up to 80 nN. No damage to the graphene was observed over this range, showing that friction force microscopy serves as a facile, high contrast probe for identifying the presence of graphene on Cu. Consistent with studies of epitaxially grown, thermally grown, and mechanically exfoliated graphene films, the friction force measured between the tip and these CVD-prepared films depends on the number of layers of graphene present on the surface and reduces friction in comparison to the substrate. Friction results on graphene indicate that the layer-dependent friction properties result from puckering of the graphene sheet around the sliding tip. Substantial hysteresis in the normal force dependence of friction is observed with repeated scanning without breaking contact with a graphene-covered region. Because of the hysteresis, friction measured on graphene changes with time and maximum applied force, unless the tip slides over the edge of the graphene island or contact with the surface is broken. These results also indicate that relatively weak binding forces exist between the copper foil and these CVD-grown graphene sheets.

  16. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    PubMed

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  17. Strain relaxation in single crystal SrTiO3 grown on Si (001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Choi, Miri; Posadas, Agham; Dargis, Rytis; Shih, Chih-Kang; Demkov, Alexander A.; Triyoso, Dina H.; David Theodore, N.; Dubourdieu, Catherine; Bruley, John; Jordan-Sweet, Jean

    2012-03-01

    An epitaxial layer of SrTiO3 grown directly on Si may be used as a pseudo-substrate for the integration of perovskite oxides onto silicon. When SrTiO3 is initially grown on Si (001), it is nominally compressively strained. However, by subsequent annealing in oxygen at elevated temperature, an SiOx interlayer can be formed which alters the strain state of SrTiO3. We report a study of strain relaxation in SrTiO3 films grown on Si by molecular beam epitaxy as a function of annealing time and oxygen partial pressure. Using a combination of x-ray diffraction, reflection high energy electron diffraction, and transmission electron microscopy, we describe the process of interfacial oxidation and strain relaxation of SrTiO3 on Si (001). Understanding the process of strain relaxation of SrTiO3 on silicon will be useful for controlling the SrTiO3 lattice constant for lattice matching with functional oxide overlayers.

  18. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  19. Hole defects in molecular beam epitaxially grown p-GaAs introduced by alpha irradiation

    NASA Astrophysics Data System (ADS)

    Goodman, S. A.; Auret, F. D.; Meyer, W. E.

    1994-01-01

    Epitaxial aluminum Schottky barrier diodes on molecular beam epitaxially grown p-GaAs with a free carrier density of 2×1016 cm-3 were irradiated with alpha particles at room temperature using an americium-241 (Am-241) radio nuclide. For the first time, the radiation induced hole defects are characterized using conventional deep level transient spectroscopy (DLTS). The introduction rates and DLTS ``signatures'' of three prominent radiation induced defects Hα1, Hα4, and Hα5, situated 0.08, 0.20, and 0.30 eV above the valence band, respectively, are calculated and compared to those of similar defects introduced during electron irradiation.

  20. Gallenene epitaxially grown on Si(1 1 1)

    NASA Astrophysics Data System (ADS)

    Tao, Min-Long; Tu, Yu-Bing; Sun, Kai; Wang, Ya-Li; Xie, Zheng-Bo; Liu, Lei; Shi, Ming-Xia; Wang, Jun-Zhong

    2018-07-01

    Gallenene, an analogue of graphene composed of gallium, is epitaxially grown on Si(1 1 1) surface and studied by low temperature scanning tunneling microscopy (LT-STM). The STM images display that the buffer layer has a superstructure with respect to the substrate lattice and the gallenene layer has a hexagonal honeycomb structure. The scanning tunneling spectra (STS) of the gallenene show that it behaves as a metallic layer. First-principles calculations give the proposed configuration. Our results provide a method to synthesize the gallenene and shed important light on the growth mechanism of it.

  1. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  2. The influence of point defects on the thermal conductivity of AlN crystals

    NASA Astrophysics Data System (ADS)

    Rounds, Robert; Sarkar, Biplab; Alden, Dorian; Guo, Qiang; Klump, Andrew; Hartmann, Carsten; Nagashima, Toru; Kirste, Ronny; Franke, Alexander; Bickermann, Matthias; Kumagai, Yoshinao; Sitar, Zlatko; Collazo, Ramón

    2018-05-01

    The average bulk thermal conductivity of free-standing physical vapor transport and hydride vapor phase epitaxy single crystal AlN samples with different impurity concentrations is analyzed using the 3ω method in the temperature range of 30-325 K. AlN wafers grown by physical vapor transport show significant variation in thermal conductivity at room temperature with values ranging between 268 W/m K and 339 W/m K. AlN crystals grown by hydride vapor phase epitaxy yield values between 298 W/m K and 341 W/m K at room temperature, suggesting that the same fundamental mechanisms limit the thermal conductivity of AlN grown by both techniques. All samples in this work show phonon resonance behavior resulting from incorporated point defects. Samples shown by optical analysis to contain carbon-silicon complexes exhibit higher thermal conductivity above 100 K. Phonon scattering by point defects is determined to be the main limiting factor for thermal conductivity of AlN within the investigated temperature range.

  3. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    NASA Astrophysics Data System (ADS)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  4. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  5. Stabilizing Ir(001) Epitaxy on Yttria-Stabilized Zirconia Using a Thin Ir Seed Layer Grown by Pulsed Laser Deposition

    DOE PAGES

    Fan, Lisha; Jacobs, Christopher B.; Rouleau, Christopher M.; ...

    2016-11-18

    In this paper, we demonstrate the reproducible epitaxial growth of 100 nm thick Ir(001) films on a heteroepitaxial stack consisting of 5 nm Ir and 100 nm yttria-stabilized zirconia (YSZ) grown on Si(001) substrates. It is shown that a 5 nm thick Ir layer grown by pulsed laser deposition in the same chamber as the YSZ film without breaking the vacuum is the key to stabilizing Ir(001) epitaxial growth. Growth of the Ir seed layer with pure (001) orientation occurs only in a narrow growth temperature window from 550 to 750 °C, and the fraction of Ir(111) increases at substratemore » temperatures outside of this window. The Ir seed layer prevents exposure of the YSZ film to air during sample transfer and enables highly reproducible Ir(001) heteroepitaxy on YSZ buffered Si(001). In contrast, if Ir is grown directly on a bare YSZ layer that was exposed to ambient conditions, the films are prone to change orientation to (111). These results reveal that preserving the chemical and structural purity of the YSZ surface is imperative for achieving Ir(001) epitaxy. The narrow range of the mosaic spread values from eight experiments demonstrates the high yield and high reproducibility of Ir(001) heteroepitaxy by this approach. Lastly, the improved Ir(001) epitaxial growth method is of great significance for integrating a variety of technologically important materials such as diamond, graphene, and functional oxides on a Si platform.« less

  6. Uniformity of dc and rf performance of MBE-grown AlGaN/GaN HEMTS on HVPE-grown buffers

    NASA Astrophysics Data System (ADS)

    Gillespie, J. K.; Fitch, R. C.; Moser, N.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.; Dabiran, A. M.; Chow, P. P.; Osinsky, A.; Mastro, M. A.; Tsvetkov, D.; Soukhoveev, V.; Usikov, A.; Dmitriev, V.; Luo, B.; Pearton, S. J.; Ren, F.

    2003-10-01

    AlGaN/GaN high electron mobility transistors (HEMTs) were grown by molecular beam epitaxy (MBE) on 2 in. diameter GaN buffer layers grown by hydride vapor epitaxy (HVPE) on sapphire substrates. HEMTs with 1 μm gate length displayed excellent dc and rf performance uniformity with up to 258 separate devices measured for each parameter. The drain-source saturation current was 561 mA with a standard deviation of 1.9% over the 2 in. diameter, with a corresponding transconductance of 118 ± 3.9 mS/mm. The threshold voltage was -5.3 ± 0.07 V. The rf performance uniformity was equally good, with an fT of 8.6 ± 0.8 GHz and fmax of 12.8 ± 2.5 GHz. The results show the excellent uniformity of the MBE technique for producing AlGaN/GaN HEMTs and also the ability of HVPE to provide high quality buffers at low cost.

  7. Magnetic properties of low-moment ferrimagnetic Heusler Cr 2CoGa thin films grown by molecular beam epitaxy

    DOE PAGES

    Jamer, Michelle E.; Sterbinsky, George E.; Stephen, Gregory M.; ...

    2016-10-31

    Recently, theorists have predicted many materials with a low magnetic moment and large spin-polarization for spintronic applications. These compounds are predicted to form in the inverse Heusler structure; however, many of these compounds have been found to phase segregate. In this study, ordered Cr 2CoGa thin films were synthesized without phase segregation using molecular beam epitaxy. The present as-grown films exhibit a low magnetic moment from antiferromagnetically coupled Cr and Co atoms as measured with superconducting quantum interface device magnetometry and soft X-ray magnetic circular dichroism. Electrical measurements demonstrated a thermally-activated semiconductor-like resistivity component with an activation energy of 87more » meV. Finally, these results confirm spin gapless semiconducting behavior, which makes these thin films well positioned for future devices.« less

  8. Structural and waveguiding characteristics of Er3+:Yb3Al5-yGayO12 films grown by the liquid phase epitaxy

    NASA Astrophysics Data System (ADS)

    Hlásek, T.; Rubešová, K.; Jakeš, V.; Nekvindová, P.; Kučera, M.; Daniš, S.; Veis, M.; Havránek, V.

    2015-11-01

    Erbium (Er3+) doped ytterbium garnet (Er:Yb3Al5-yGayO12; y = 0, 0.55 and 1.1) single crystalline thick films have been grown by the low-temperature liquid phase epitaxy method (LPE). The composition of the films was determined using the high resolution XRD, the particle-induced X-ray emission spectroscopy (PIXE) and the particle-induced gamma-ray emission spectroscopy (PIGE). The lattice mismatch between films and substrates was investigated by the high-resolution X-ray diffraction. The surface analysis was carried out by the atomic force microscopy (AFM). Pure infrared emission of Er3+ ions was observed in all films containing gallium. The characteristics such as refractive index, thickness and light propagation were studied by the m-line spectroscopy (MLS) using several wavelengths (633, 964, 1311 and 1552 nm). All samples, where y = 1.1, were multimode waveguides. For these reasons, the Er:Yb3Al3.9Ga1.1O12 seems to be a promising material for light amplifiers in the IR region.

  9. Quantum dots grown in the InSb/GaSb system by liquid-phase epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Parkhomenko, Ya. A.; Dement’ev, P. A.; Moiseev, K. D., E-mail: mkd@iropt2.ioffe.rssi.ru

    2016-07-15

    The first results of the liquid-phase epitaxial growth of quantum dots in the InSb/GaSb system and atomic-force microscopy data on the structural characteristics of the quantum dots are reported. It is shown that the surface density, shape, and size of nanoislands depend on the deposition temperature and the chemical properties of the matrix surface. Arrays of InSb quantum dots on GaSb (001) substrates are produced in the temperature range T = 450–465°C. The average dimensions of the quantum dots correspond to a height of h = 3 nm and a base dimension of D = 30 nm; the surface densitymore » is 3 × 10{sup 9} cm{sup –2}.« less

  10. Method of fabricating low-dislocation-density epitaxially-grown films with textured surfaces

    DOEpatents

    Li, Qiming; Wang, George T

    2015-01-13

    A method for forming a surface-textured single-crystal film layer by growing the film atop a layer of microparticles on a substrate and subsequently selectively etching away the microparticles to release the surface-textured single-crystal film layer from the substrate. This method is applicable to a very wide variety of substrates and films. In some embodiments, the film is an epitaxial film that has been grown in crystallographic alignment with respect to a crystalline substrate.

  11. Characteristics of InN epilayers grown with H2-assistance

    NASA Astrophysics Data System (ADS)

    Zhou, Jin; Li, Jinchai; Lu, Shiqiang; Kang, Junyong; Lin, Wei

    2017-11-01

    A series of InN films were grown on GaN-on-sapphire template with H2 pulse flow by metal organic vapor phase epitaxy. The scanning electron microscopy and atomic force microscopy observations demonstrate that the smooth surface has been achieved. The X-ray diffraction and Raman spectra measurements indicate that InN layers experience stronger accommodated compressive stress, resulting in a larger fraction of (002) oriented InN grains. On the basics of the first-principles calculations, these features can be understand as competition between N-penetrating effect with the assistance of the H atom and the etching effect of H2. Finally, the absorption spectra in conjunction with simulated results reveal that the band gap energy predominantly increase with increasing compressive strain.

  12. HgCdTe liquid phase epitaxy - An overview

    NASA Astrophysics Data System (ADS)

    Castro, C. A.; Korenstein, R.

    1982-08-01

    Techniques and results of using liquid phase epitaxy (LPE) to form crystalline thin HgCdTe films for industrial-scale applications in IR detectors and focal plane arrays are discussed. Varying the mole fraction of CdTe in HgCdTe is noted to permit control of the bandwidth. LPE-grown films are noted to have a low carrier concentration, on the order of 4 x 10 to the 14th to 5 x 10 to the 15th/cu cm, a good surface morphology and be amenable to production scale-up. Details of the isothermal, equilibrium cooling, and supersaturation cooling LPE growth modes are reviewed, noting the necessity of developing a reliable method for determining the liquidus temperature for all modes to maintain uniformity of film growth from batch to batch. Mechanical steps can be either dipping the substrate into the melt or the slider boat approach, which is used in the production of compound semiconductors.

  13. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  14. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  15. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  16. Growth of NH4Cl Single Crystal from Vapor Phase in Vertical Furnace

    NASA Astrophysics Data System (ADS)

    Nigara, Yutaka; Yoshizawa, Masahito; Fujimura, Tadao

    1983-02-01

    A pure and internally stress-free single crystal of NH4Cl was grown successfully from the vapor phase. The crystal measured 1.6 cmφ× 2 cm and had the disordered CsCl structure, which was stable below 184°C. The crystal was grown in an ampoule in a vertical furnace, in which the vapor was efficiently transported both by diffusion and convection. In line with the growth mechanism of a single crystal, the temperature fluctuation (°C/min) on the growth interface was kept smaller than the product of the temperature gradient (°C/cm) and the growth rate (cm/min). The specific heat of the crystal was measured around -31°C (242 K) during cooling and heating cycles by AC calorimetry. The thermal hysteresis (0.4 K) obtained here was smaller than that (0.89 K) of an NH4Cl crystal grown from its aqueous solution with urea added as a habit modifier.

  17. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 < T < 350 K) magneto transport measurements were done on the Fe(Si1-xGex)2 thin films via Van Der Paw (VDP) Hall configuration using a 0.5-1T magnetic field and a current of 10-200 μA through indium ohmic contacts, the Hall coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  18. Chemical lift-off and direct wafer bonding of GaN/InGaN P-I-N structures grown on ZnO

    NASA Astrophysics Data System (ADS)

    Pantzas, K.; Rogers, D. J.; Bove, P.; Sandana, V. E.; Teherani, F. H.; El Gmili, Y.; Molinari, M.; Patriarche, G.; Largeau, L.; Mauguin, O.; Suresh, S.; Voss, P. L.; Razeghi, M.; Ougazzaden, A.

    2016-02-01

    p-GaN/i-InGaN/n-GaN (PIN) structures were grown epitaxially on ZnO-buffered c-sapphire substrates by metal organic vapor phase epitaxy using the industry standard ammonia precursor for nitrogen. Scanning electron microscopy revealed continuous layers with a smooth interface between GaN and ZnO and no evidence of ZnO back-etching. Energy Dispersive X-ray Spectroscopy revealed a peak indium content of just under 5 at% in the active layers. The PIN structure was lifted off the sapphire by selectively etching away the ZnO buffer in an acid and then direct bonded onto a glass substrate. Detailed high resolution transmission electron microscoy and grazing incidence X-ray diffraction studies revealed that the structural quality of the PIN structures was preserved during the transfer process.

  19. Vapor phase pyrolysis

    NASA Technical Reports Server (NTRS)

    Steurer, Wolfgang

    1992-01-01

    The vapor phase pyrolysis process is designed exclusively for the lunar production of oxygen. In this concept, granulated raw material (soil) that consists almost entirely of metal oxides is vaporized and the vapor is raised to a temperature where it dissociates into suboxides and free oxygen. Rapid cooling of the dissociated vapor to a discrete temperature causes condensation of the suboxides, while the oxygen remains essentially intact and can be collected downstream. The gas flow path and flow rate are maintained at an optimum level by control of the pressure differential between the vaporization region and the oxygen collection system with the aid of the environmental vacuum.

  20. Characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lo, Ikai; Pang, Wen-Yuan; Hsu, Yu-Chi

    2013-06-15

    The characterization of GaN microstructures grown by plasma-assisted molecular beam epitaxy on LiAlO{sub 2} substrate was studied by cathodoluminescence and photoluminescence measurements. We demonstrated that the cathodoluminescence from oblique semi-polar surfaces of mushroom-shaped GaN was much brighter than that from top polar surface due to the reduction of polarization field on the oblique semi-polar surfaces. It implies that the oblique semi-polar surface is superior for the light-emitting surface of wurtzite nano-devices.

  1. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  2. Epitaxial relationship of semipolar s-plane (1101) InN grown on r-plane sapphire

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dimitrakopulos, G. P.

    2012-07-02

    The heteroepitaxy of semipolar s-plane (1101) InN grown directly on r-plane sapphire by plasma-assisted molecular beam epitaxy is studied using transmission electron microscopy techniques. The epitaxial relationship is determined to be (1101){sub InN} Parallel-To (1102){sub Al{sub 2O{sub 3}}}, [1120]{sub InN} Parallel-To [2021]{sub Al{sub 2O{sub 3}}}, [1102]{sub InN}{approx} Parallel-To [0221]{sub Al{sub 2O{sub 3}}}, which ensures a 0.7% misfit along [1120]{sub InN}. Two orientation variants are identified. Proposed geometrical factors contributing to the high density of basal stacking faults, partial dislocations, and sphalerite cubic pockets include the misfit accommodation and reduction, as well as the accommodation of lattice twist.

  3. Strain-induced phase variation and dielectric constant enhancement of epitaxial Gd{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekhter, P., E-mail: Pini@tx.technion.ac.il; Amouyal, Y.; Eizenberg, M.

    2016-07-07

    One of the approaches for realizing advanced high k insulators for metal oxide semiconductor field effect transistors based devices is the use of rare earth oxides. When these oxides are deposited as epitaxial thin films, they demonstrate dielectric properties that differ greatly from those that are known for bulk oxides. Using structural and spectroscopic techniques, as well as first-principles calculations, Gd{sub 2}O{sub 3} films deposited on Si (111) and Ge (111) were characterized. It was seen that the same 4 nm thick film, grown simultaneously on Ge and Si, presents an unstrained lattice on Ge while showing a metastable phase onmore » Si. This change from the cubic lattice to the distorted metastable phase is characterized by an increase in the dielectric constant of more than 30% and a change in band gap. The case in study shows that extreme structural changes can occur in ultra-thin epitaxial rare earth oxide films and modify their dielectric properties when the underlying substrate is altered.« less

  4. Carbon Doping of Compound Semiconductor Epitaxial Layers Grown by Metalorganic Chemical Vapor Deposition Using Carbon Tetrachloride.

    NASA Astrophysics Data System (ADS)

    Cunningham, Brian Thomas

    1990-01-01

    A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.

  5. Strain-Engineered Graphene Grown on Hexagonal Boron Nitride by Molecular Beam Epitaxy

    PubMed Central

    Summerfield, Alex; Davies, Andrew; Cheng, Tin S.; Korolkov, Vladimir V.; Cho, YongJin; Mellor, Christopher J.; Foxon, C. Thomas; Khlobystov, Andrei N.; Watanabe, Kenji; Taniguchi, Takashi; Eaves, Laurence; Novikov, Sergei V.; Beton, Peter H.

    2016-01-01

    Graphene grown by high temperature molecular beam epitaxy on hexagonal boron nitride (hBN) forms continuous domains with dimensions of order 20 μm, and exhibits moiré patterns with large periodicities, up to ~30 nm, indicating that the layers are highly strained. Topological defects in the moiré patterns are observed and attributed to the relaxation of graphene islands which nucleate at different sites and subsequently coalesce. In addition, cracks are formed leading to strain relaxation, highly anisotropic strain fields, and abrupt boundaries between regions with different moiré periods. These cracks can also be formed by modification of the layers with a local probe resulting in the contraction and physical displacement of graphene layers. The Raman spectra of regions with a large moiré period reveal split and shifted G and 2D peaks confirming the presence of strain. Our work demonstrates a new approach to the growth of epitaxial graphene and a means of generating and modifying strain in graphene. PMID:26928710

  6. Electron mobility enhancement in epitaxial multilayer Si-Si/1-x/Ge/x/ alloy films on /100/Si

    NASA Technical Reports Server (NTRS)

    Manasevit, H. M.; Gergis, I. S.; Jones, A. B.

    1982-01-01

    Enhanced Hall-effect mobilities have been measured in epitaxial (100)-oriented multilayer n-type Si/Si(1-x)Ge(x) films grown on single-crystal Si substrates by chemical vapor deposition. Mobilities from 20 to 40% higher than that of epitaxial Si layers and about 100% higher than that of epitaxial SiGe layers on Si were measured for the doping range 8 x 10 to the 15th to 10 to the 17th/cu cm. No mobility enhancement was observed in multilayer p-type (100) films and n-type (111)-oriented films. Experimental studies included the effects upon film properties of layer composition, total film thickness, doping concentrations, layer thickness, and growth temperature.

  7. Process stability and morphology optimization of very thick 4H-SiC epitaxial layers grown by chloride-based CVD

    NASA Astrophysics Data System (ADS)

    Yazdanfar, M.; Stenberg, P.; Booker, I. D.; Ivanov, I. G.; Kordina, O.; Pedersen, H.; Janzén, E.

    2013-10-01

    The development of a chemical vapor deposition (CVD) process for very thick silicon carbide (SiC) epitaxial layers suitable for high power devices is demonstrated by epitaxial growth of 200 μm thick, low doped 4H-SiC layers with excellent morphology at growth rates exceeding 100 μm/h. The process development was done in a hot wall CVD reactor without rotation using both SiCl4 and SiH4+HCl precursor approaches to chloride based growth chemistry. A C/Si ratio <1 and an optimized in-situ etch are shown to be the key parameters to achieve 200 μm thick, low doped epitaxial layers with excellent morphology.

  8. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).

    PubMed

    Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko

    2018-04-30

    Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.

  9. Structural and electrical properties of single crystalline SrZrO3 epitaxially grown on Ge (001)

    NASA Astrophysics Data System (ADS)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.; Du, Y.; Bowden, M.; Moghadam, R.; LeBeau, J. M.; Chambers, S. A.; Ngai, J. H.

    2017-08-01

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 °C in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.66 eV, respectively. Capacitance-voltage and current-voltage measurements on 4 nm thick films reveal low leakage current densities and an unpinned Fermi level at the interface that allows modulation of the surface potential of Ge. Ultra-thin films of epitaxial SrZrO3 can thus be explored as a potential gate dielectric for Ge.

  10. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  11. Optimization of the Nonradiative Lifetime of Molecular-Beam-Epitaxy (MBE)-Grown Undoped GaAs/AlGaAs Double Heterostructures (DH)

    DTIC Science & Technology

    2013-09-01

    Optimization of the Nonradiative Lifetime of Molecular- Beam-Epitaxy (MBE)-Grown Undoped GaAs/AlGaAs Double Heterostructures (DH) by P...it to the originator. Army Research Laboratory Adelphi, MD 20783-1197 ARL-TR-6660 September 2013 Optimization of the Nonradiative ...REPORT TYPE Final 3. DATES COVERED (From - To) FY2013 4. TITLE AND SUBTITLE Optimization of the Nonradiative Lifetime of Molecular-Beam-Epitaxy

  12. Heavily Sn-doped GaAs with abrupt doping profiles grown by migration-enhanced epitaxy at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chavanapranee, Tosaporn; Horikoshi, Yoshiji

    The characteristics of heavily Sn-doped GaAs samples grown at 300 deg. C by a migration-enhanced epitaxy (MEE) technique are investigated in comparison with those of the samples grown by a conventional molecular-beam epitaxy (MBE) at 580 deg. C. While no discernible difference is observed in the low doping regime, the difference in doping characteristics between the MBE- and MEE-grown samples becomes apparent when the doping concentration exceeds 1x10{sup 19} cm{sup -3}. Sn atoms as high as 4x10{sup 21} cm{sup -3} can be incorporated into MEE-grown GaAs films, unlike the MBE-grown samples that have a maximum doping level limited around 1x10{supmore » 19} cm{sup -3}. Due to an effective suppression of Sn segregation in the MEE growth case, high quality GaAs films with abrupt high-concentration Sn-doping profiles are achieved with the doping concentrations of up to 2x10{sup 21} cm{sup -3}. It has been shown that even though a high concentration of Sn atoms is incorporated into the GaAs film, the electron concentration saturates at 6x10{sup 19} cm{sup -3} and then gradually decreases with Sn concentration. The uniform doping limitation, as well as the electron concentration saturation, is discussed by means of Hall-effect measurement, x-ray diffraction, and Raman scattering spectroscopy.« less

  13. Improved Boat For Liquid-Phase Epitaxy

    NASA Technical Reports Server (NTRS)

    Connolly, John C.

    1991-01-01

    Liquid-phase epitaxial (LPE) growth boat redesigned. Still fabricated from ultra-high-purity graphite, but modified to permit easy disassembly and cleaning, along with improved wiping action for more complete removal of melt to reduce carry-over of gallium. Larger substrates and more uniform composition obtained.

  14. TaC-coated graphite prepared via a wet ceramic process: Application to CVD susceptors for epitaxial growth of wide-bandgap semiconductors

    NASA Astrophysics Data System (ADS)

    Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji

    2017-11-01

    A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.

  15. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    NASA Technical Reports Server (NTRS)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  16. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN.

    PubMed

    Soh, C B; Liu, W; Yong, A M; Chua, S J; Chow, S Y; Tripathy, S; Tan, R J N

    2010-08-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO(2) film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, η(extraction,) was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  17. Phosphor-Free Apple-White LEDs with Embedded Indium-Rich Nanostructures Grown on Strain Relaxed Nano-epitaxy GaN

    NASA Astrophysics Data System (ADS)

    Soh, C. B.; Liu, W.; Yong, A. M.; Chua, S. J.; Chow, S. Y.; Tripathy, S.; Tan, R. J. N.

    2010-11-01

    Phosphor-free apple-white light emitting diodes have been fabricated using a dual stacked InGaN/GaN multiple quantum wells comprising of a lower set of long wavelength emitting indium-rich nanostructures incorporated in multiple quantum wells with an upper set of cyan-green emitting multiple quantum wells. The light-emitting diodes were grown on nano-epitaxially lateral overgrown GaN template formed by regrowth of GaN over SiO2 film patterned with an anodic aluminum oxide mask with holes of 125 nm diameter and a period of 250 nm. The growth of InGaN/GaN multiple quantum wells on these stress relaxed low defect density templates improves the internal quantum efficiency by 15% for the cyan-green multiple quantum wells. Higher emission intensity with redshift in the PL peak emission wavelength is obtained for the indium-rich nanostructures incorporated in multiple quantum wells. The quantum wells grown on the nano-epitaxially lateral overgrown GaN has a weaker piezoelectric field and hence shows a minimal peak shift with application of higher injection current. An enhancement of external quantum efficiency is achieved for the apple-white light emitting diodes grown on the nano-epitaxially lateral overgrown GaN template based on the light -output power measurement. The improvement in light extraction efficiency, ηextraction, was found to be 34% for the cyan-green emission peak and 15% from the broad long wavelength emission with optimized lattice period.

  18. Heavily boron-doped Si layers grown below 700 C by molecular beam epitaxy using a HBO2 source

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Fathauer, R. W.; Grunthaner, P. J.

    1989-01-01

    Boron doping in Si layers grown by molecular beam epitaxy (MBE) at 500-700 C using an HBO2 source has been studied. The maximum boron concentration without detectable oxygen incorporation for a given substrate temperature and Si growth rate has been determined using secondary-ion mass spectrometry analysis. Boron present in the Si MBE layers grown at 550-700 C was found to be electrically active, independent of the amount of oxygen incorporation. By reducing the Si growth rate, highly boron-doped layers have been grown at 600 C without detectable oxygen incorporation.

  19. Optical Properties of a Quantum Dot-Ring System Grown Using Droplet Epitaxy.

    PubMed

    Linares-García, Gabriel; Meza-Montes, Lilia; Stinaff, Eric; Alsolamy, S M; Ware, M E; Mazur, Y I; Wang, Z M; Lee, Jihoon; Salamo, G J

    2016-12-01

    Electronic and optical properties of InAs/GaAs nanostructures grown by the droplet epitaxy method are studied. Carrier states were determined by k · p theory including effects of strain and In gradient concentration for a model geometry. Wavefunctions are highly localized in the dots. Coulomb and exchange interactions are studied and we found the system is in the strong confinement regime. Microphotoluminescence spectra and lifetimes were calculated and compared with measurements performed on a set of quantum rings in a single sample. Some features of spectra are in good agreement.

  20. Chemical Beam Epitaxial Growth of Indium Phosphide Using Alternative, Safer Phosphorus Sources

    NASA Astrophysics Data System (ADS)

    Kim, Chungwoo

    1995-11-01

    Chemical beam epitaxy (CBE) is a relatively new III-V semiconductor growth technique that combines important advantages of molecular beam epitaxy (MBE) and organometallic vapor phase epitaxy (OMVPE). Although CBE grown-InP using phosphine (PH_3) combined with trimethylindium (TMIn) or triethylindium (TEIn) has produced high quality material comparable to OMVPE-and gas source MBE-grown InP, the highly hazardous and toxic nature of PH_3 is becoming a main obstacle to mass production of semiconductor devices. In this dissertation, InP epilayers were grown using tertiarybutylphosphine (TBP) and bisphosphinoethane (BPE) as possible replacements for PH_3, together with ethyldimethylindium (EDMIn) as the indium source. For the first time, InP epilayers have been grown using TBP and EDMIn by CBE. The surface morphology and the electrical and optical properties improved with increasing substrate and cracker cell temperatures and input V/III ratio. High quality n-type InP epilayers with electron mobilities of up to 3830 cm^2/Vs and net carrier concentrations of approximately 6 times 10^{14} cm^{-3} at room temperature were achieved at a growth temperature of 500^ circC using a V/III ratio of 70 and a TBP cracker cell temperature of 900^circ C. Strong band-edge emission was observed at growth temperatures between 460 and 500^circ C. The bound exciton halfwidth of the sample grown at 500^circC was as narrow as 3.6 meV at 14 K with a barely observable acceptor related peak indicating a very low concentration of acceptors. For growth of InP using BPE and EDMIn, good surface morphologies were obtained at a substrate temperature of 485^circC using V/III ratios of >=q53. At fixed growth and cracker cell temperatures of 485 and 800^circ C, respectively, the net carrier concentration at a V/III ratio of 53 was 7.8 times 10 ^{15} at room temperature and 3.2 times 10^{15} cm^{-3} at 77 K with respective electron mobilities of 3,630 and 21,800 cm^2 /Vs. The 14 K PL spectra were

  1. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  2. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  3. Lattice-Matched Epitaxial Graphene Grown on Boron Nitride.

    PubMed

    Davies, Andrew; Albar, Juan D; Summerfield, Alex; Thomas, James C; Cheng, Tin S; Korolkov, Vladimir V; Stapleton, Emily; Wrigley, James; Goodey, Nathan L; Mellor, Christopher J; Khlobystov, Andrei N; Watanabe, Kenji; Taniguchi, Takashi; Foxon, C Thomas; Eaves, Laurence; Novikov, Sergei V; Beton, Peter H

    2018-01-10

    Lattice-matched graphene on hexagonal boron nitride is expected to lead to the formation of a band gap but requires the formation of highly strained material and has not hitherto been realized. We demonstrate that aligned, lattice-matched graphene can be grown by molecular beam epitaxy using substrate temperatures in the range 1600-1710 °C and coexists with a topologically modified moiré pattern with regions of strained graphene which have giant moiré periods up to ∼80 nm. Raman spectra reveal narrow red-shifted peaks due to isotropic strain, while the giant moiré patterns result in complex splitting of Raman peaks due to strain variations across the moiré unit cell. The lattice-matched graphene has a lower conductance than both the Frenkel-Kontorova-type domain walls and also the topological defects where they terminate. We relate these results to theoretical models of band gap formation in graphene/boron nitride heterostructures.

  4. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  5. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  6. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE PAGES

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun; ...

    2018-01-17

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  7. Tensile stress effect on epitaxial BiFeO 3 thin film grown on KTaO 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bae, In-Tae; Ichinose, Tomohiro; Han, Myung-Geun

    Comprehensive crystal structural study is performed for BiFeO 3 (BFO) film grown on KTaO 3 (KTO) substrate using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Nano beam electron diffraction (NBED) combined with structure factor calculation and high resolution TEM images clearly reveal that the crystal structure within BFO thin film is rhombohedral BFO, i.e., bulk BFO phase. Epitaxial relationship found by NBED indicates the BFO film grows in a manner that minimizes lattice mismatch with KTO. It further suggests BFO film is under slight biaxial tensile stress (~0.35%) along in-plane direction. XRD reveals BFO lattice is under compressive stressmore » (~1.6%), along out-of-plane direction as a result of the biaxial tensile stress applied along in-plane direction. This leads to Poisson’s ratio of ~0.68. In addition, we demonstrate (1) why hexagonal notation rather than pseudocubic one is required for accurate BFO phase evaluation and (2) a new XRD method that shows how rhombohedral BFO can readily be identified among other phases by measuring a rhombohedral specific Bragg’s peak.« less

  8. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jie; Morrow, Darien J.; Fu, Yongping

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  9. Single-Crystal Thin Films of Cesium Lead Bromide Perovskite Epitaxially Grown on Metal Oxide Perovskite (SrTiO 3)

    DOE PAGES

    Chen, Jie; Morrow, Darien J.; Fu, Yongping; ...

    2017-09-05

    High-quality metal halide perovskite single crystals have low defect densities and excellent photophysical properties, yet thin films are the most sought after material geometry for optoelectronic devices. Perovskite single-crystal thin films (SCTFs) would be highly desirable for high-performance devices, but their growth remains challenging, particularly for inorganic metal halide perovskites. Herein, we report the facile vapor-phase epitaxial growth of cesium lead bromide perovskite (CsPbBr 3) continuous SCTFs with controllable micrometer thickness, as well as nanoplate arrays, on traditional oxide perovskite SrTiO 3(100) substrates. Heteroepitaxial single-crystal growth is enabled by the serendipitous incommensurate lattice match between these two perovskites, and overcomingmore » the limitation of island-forming Volmer–Weber crystal growth is critical for growing large-area continuous thin films. Time-resolved photoluminescence, transient reflection spectroscopy, and electrical transport measurements show that the CsPbBr 3 epitaxial thin film has a slow charge carrier recombination rate, low surface recombination velocity (10 4 cm s –1), and low defect density of 10 12 cm –3, which are comparable to those of CsPbBr 3 single crystals. This work suggests a general approach using oxide perovskites as substrates for heteroepitaxial growth of halide perovskites. Furthermore, the high-quality halide perovskite SCTFs epitaxially integrated with multifunctional oxide perovskites could open up opportunities for a variety of high-performance optoelectronics devices.« less

  10. Electronic and transformation properties of a metastable defect introduced in epitaxially grown boron-doped p-type Si by alpha particle irradiation

    NASA Astrophysics Data System (ADS)

    Mamor, M.; Auret, F. D.; Goodman, S. A.; Meyer, W. E.; Myburg, G.

    1998-06-01

    Titanium (Ti) Schottky barrier diodes on epitaxially grown boron-doped p-type Si films with a free carrier density of 6-8×1016cm-3 were irradiated with alpha particles at room temperature using an americium-241 (Am-241) radio nuclide. We report the electronic and transformation characteristics of an α-particle irradiation-induced defect Hα2 in epitaxially grown p-Si with metastable properties. The energy level and apparent capture cross section, as determined by deep-level transient spectroscopy, are Ev+0.43 eV and 1.4×10-15 cm2, respectively. This defect can be removed and re-introduced using a conventional bias-on/off cooling technique.

  11. AlN/GaN heterostructures grown by metal organic vapor phase epitaxy with in situ Si 3N 4 passivation

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Degroote, S.; Leys, M.; Medjdoub, F.; Derluyn, J.; Sijmus, B.; Germain, M.; Borghs, G.

    2011-01-01

    AlN/GaN heterostructures are very attractive because their theoretical two-dimensional electron gas (2DEG) density may exceed 5×10 13/cm 2[1]. However, there are very few reports on AlN/GaN heterostructures grown by MOVPE. In this work, we show that good quality AlN layers can be grown on GaN at a relatively low growth temperature when TMIn is added to the carrier gas flow as a surfactant. Analysis by RBS revealed that at a growth temperature of 900 °C or higher no Indium is actually incorporated. Various thicknesses of AlN are grown, from 2 to 8 nm. Finally, 2-3 nm in situ Si 3N 4 is deposited in order to protect the AlN surface and thus prevent stress relaxation. AFM revealed that the root-mean-square (RMS) roughness in a 1×1 μm 2 area is 0.25 nm. When the AlN thickness reaches 8 nm, the sheet resistance can be as low as 186±3 Ω/□. Van der Pauw-Hall measurements show that the electron density is about 2.5×10 13/cm 2 with electron mobility exceeding 1140 cm 2/V s when extra 50 nm PECVD SiN is deposited.

  12. Epitaxial corundum-VTiO 3 thin films grown on c-cut sapphire

    DOE PAGES

    Kramer, Alan; Sutter, Eli; Su, Dong; ...

    2017-04-12

    Corundum structured VTiO 3 has been grown as epitaxial films on c-cut sapphire by laser molecular beam epitaxy. The properties of the film were characterized by reflection high energy electron diffraction, x-ray diffraction, transmission electron microscopy, and photoemission spectroscopy. All the structural probes clearly indicate the corundum structure of the film. X-ray photoemission spectroscopy (XPS) indicates that V is in a 3+ charge state implying that Ti also needs to adopt a 3+ charge state in order for the corundum structure to form. However, the Ti-2p XPS, while clearly broadened to the lower binding energy side compared to TiO 2,more » also exhibits a pronounced Ti 4+ component. This is tentatively assigned to a final state effect in XPS measurements and not as the true cation state. In conclusion, the valence band spectra show occupation of 3d metal states that resemble more closely those of Ti 2O 3 than for V 2O 3, suggesting that only the a1g molecular states are occupied.« less

  13. Real-time x-ray studies of crystal growth modes during metal-organic vapor phase epitaxy of GaN on c- and m-plane single crystals

    DOE PAGES

    Perret, Edith; Highland, M. J.; Stephenson, G. B.; ...

    2014-08-04

    Non-polar orientations of III-nitride semiconductors have attracted significant interest due to their potential application in optoelectronic devices with enhanced efficiency. Using in-situ surface x-ray scattering during metal-organic vapor phase epitaxy (MOVPE) of GaN on non-polar (m-plane) and polar (c-plane) orientations of single crystal substrates, we have observed the homoepitaxial growth modes as a function of temperature and growth rate. On the m-plane surface we observe all three growth modes (step-flow, layer-by-layer, and three-dimensional) as conditions are varied. In contrast, the +c-plane surface exhibits a direct cross over between step-flow and 3-D growth, with no layer-by-layer regime. The apparent activation energymore » of 2.8 ± 0.2 eV observed for the growth rate at the layer-by-layer to step-flow boundary on the m-plane surface is consistent with those observed for MOVPE growth of other III-V compounds, indicating a large critical nucleus size for islands.« less

  14. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    NASA Astrophysics Data System (ADS)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  15. Covalent nitrogen doping in molecular beam epitaxy-grown and bulk WSe2

    NASA Astrophysics Data System (ADS)

    Khosravi, Ava; Addou, Rafik; Smyth, Christopher M.; Yue, Ruoyu; Cormier, Christopher R.; Kim, Jiyoung; Hinkle, Christopher L.; Wallace, Robert M.

    2018-02-01

    Covalent p-type doping of WSe2 thin films grown by molecular beam epitaxy and WSe2 exfoliated from bulk crystals is achieved via remote nitrogen plasma exposure. X-ray photoelectron and Raman spectroscopies indicate covalently bonded nitrogen in the WSe2 lattice as well as tunable nitrogen concentration with N2 plasma exposure time. Furthermore, nitrogen incorporation induces compressive strain on the WSe2 lattice after N2 plasma exposure. Finally, atomic force microscopy and scanning tunneling microscopy reveal that N2 plasma treatment needs to be carefully tuned to avoid any unwanted strain or surface damage.

  16. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  17. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  18. Size dependent bandgap of molecular beam epitaxy grown InN quantum dots measured by scanning tunneling spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore-560013

    InN quantum dots (QDs) were grown on Si (111) by epitaxial Stranski-Krastanow growth mode using plasma-assisted molecular beam epitaxy. Single-crystalline wurtzite structure of InN QDs was verified by the x-ray diffraction and transmission electron microscopy. Scanning tunneling microscopy has been used to probe the structural aspects of QDs. A surface bandgap of InN QDs was estimated from scanning tunneling spectroscopy (STS) I-V curves and found that it is strongly dependent on the size of QDs. The observed size-dependent STS bandgap energy shifts with diameter and height were theoretical explained based on an effective mass approximation with finite-depth square-well potential model.

  19. Highly Oriented Atomically Thin Ambipolar MoSe2 Grown by Molecular Beam Epitaxy

    PubMed Central

    2017-01-01

    Transition metal dichalcogenides (TMDCs), together with other two-dimensional (2D) materials, have attracted great interest due to the unique optical and electrical properties of atomically thin layers. In order to fulfill their potential, developing large-area growth and understanding the properties of TMDCs have become crucial. Here, we have used molecular beam epitaxy (MBE) to grow atomically thin MoSe2 on GaAs(111)B. No intermediate compounds were detected at the interface of as-grown films. Careful optimization of the growth temperature can result in the growth of highly aligned films with only two possible crystalline orientations due to broken inversion symmetry. As-grown films can be transferred onto insulating substrates, allowing their optical and electrical properties to be probed. By using polymer electrolyte gating, we have achieved ambipolar transport in MBE-grown MoSe2. The temperature-dependent transport characteristics can be explained by the 2D variable-range hopping (2D-VRH) model, indicating that the transport is strongly limited by the disorder in the film. PMID:28530829

  20. Spontaneous perpendicular exchange bias effect in L10-MnGa/FeMn bilayers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, X. P.; Lu, J.; Mao, S. W.; Yu, Z. F.; Wei, D. H.; Zhao, J. H.

    2018-01-01

    We report on the spontaneous perpendicular exchange bias effect in as-grown L10-MnGa/FeMn bilayers. An FeMn layer with different thicknesses is introduced as an antiferromagnetic layer to couple with single-crystalline ferromagnetic L10-MnGa, which is epitaxially grown on a GaAs (001) substrate by molecular-beam epitaxy. The perpendicular exchange bias shows a strong dependence on both the thickness of the FeMn layer and the measurement temperature. A large spontaneous perpendicular exchange bias up to 8.9 kOe is achieved in L10-MnGa/FeMn bilayers at 5 K without any external magnetic treatment. The corresponding effective interfacial exchange energy Jeff is estimated to be 1.4 mJ/m2. The spontaneous perpendicular exchange bias effect in the (001) textured L10-MnGa/FeMn bilayers paves the way for spintronic devices based on exchange biased perpendicularly magnetized materials.

  1. Surface and Thin Film Analysis during Metal Organic Vapour Phase Epitaxial Growth

    NASA Astrophysics Data System (ADS)

    Richter, Wolfgang

    2007-06-01

    In-situ analysis of epitaxial growth is the essential ingredient in order to understand the growth process, to optimize growth and last but not least to monitor or even control the epitaxial growth on a microscopic scale. In MBE (molecular beam epitaxy) in-situ analysis tools existed right from the beginning because this technique developed from Surface Science technology with all its electron based analysis tools (LEED, RHEED, PES etc). Vapour Phase Epitaxy, in contrast, remained for a long time in an empirical stage ("alchemy") because only post growth characterisations like photoluminescence, Hall effect and electrical conductivity were available. Within the last two decades, however, optical techniques were developed which provide similar capabilities as in MBE for Vapour Phase growth. I will discuss in this paper the potential of Reflectance Anisotropy Spectroscopy (RAS) and Spectroscopic Ellipsometry (SE) for the growth of thin epitaxial semiconductor layers with zincblende (GaAs etc) and wurtzite structure (GaN etc). Other techniques and materials will be also mentioned.

  2. Deep levels in H-irradiated GaAs1-xNx (x < 0.01) grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shafi, M.; Mari, R. H.; Khatab, A.; Henini, M.; Polimeni, A.; Capizzi, M.; Hopkinson, M.

    2011-12-01

    Dilute nitride GaAs1-xNx layers have been grown by molecular beam epitaxy with nitrogen concentration ranging from 0.2% to 0.8%. These samples have been studied before and after hydrogen irradiation by using standard deep level transient spectroscopy (DLTS) and high resolution Laplace DLTS techniques. The activation energy, capture cross section and density of the electron traps have been estimated and compared with results obtained in N-free as-grown and H-irradiated bulk GaAs.

  3. Short-period (AlAs)(GaAs) superlattice lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Blood, P.; Fletcher, E.D.; Foxon, C.T.

    1988-07-25

    We have used short-period all-binary (AlAs)(GaAs) superlattices with layers as thin as three monolayers to synthesize the barrier and cladding regions of GaAs quantum well lasers grown by molecular beam epitaxy. By studying the threshold current of single- and double-well devices as a function of cavity length and temperature, we conclude that the optical scattering losses are very low, that the gain-current characteristics are similar to alloy barrier devices, and that there is evidence for current leakage by recombination in the barriers.

  4. Epitaxial Graphene: A New Material for Electronics

    NASA Astrophysics Data System (ADS)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  5. Exceptional gettering response of epitaxially grown kerfless silicon

    DOE PAGES

    Powell, D. M.; Markevich, V. P.; Hofstetter, J.; ...

    2016-02-08

    The bulk minority-carrier lifetime in p- and n-type kerfless epitaxial (epi) crystalline silicon wafers is shown to increase >500 during phosphorus gettering. We employ kinetic defect simulations and microstructural characterization techniques to elucidate the root cause of this exceptional gettering response. Simulations and deep-level transient spectroscopy (DLTS) indicate that a high concentra- tion of point defects (likely Pt) is “locked in” during fast (60 C/min) cooling during epi wafer growth. The fine dispersion of moderately fast-diffusing recombination-active point defects limits as-grown lifetime but can also be removed during gettering, confirmed by DLTS measurements. Synchrotron-based X-ray fluorescence microscopy indicates metal agglomeratesmore » at structural defects, yet the structural defect density is sufficiently low to enable high lifetimes. Consequently, after phosphorus diffusion gettering, epi silicon exhibits a higher lifetime than materials with similar bulk impurity contents but higher densities of structural defects, including multicrystalline ingot and ribbon silicon materials. As a result, device simulations suggest a solar-cell efficiency potential of this material >23%.« less

  6. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of themore » observed device performance enhancements.« less

  7. N-MOSFETs Formed on Solid Phase Epitaxially Grown GeSn Film with Passivation by Oxygen Plasma Featuring High Mobility.

    PubMed

    Fang, Yung-Chin; Chen, Kuen-Yi; Hsieh, Ching-Heng; Su, Chang-Chia; Wu, Yung-Hsien

    2015-12-09

    Solid phase epitaxially grown GeSn was employed as the platform to assess the eligibility of direct O2 plasma treatment on GeSn surface for passivation of GeSn N-MOSFETs. It has been confirmed that O2 plasma treatment forms a GeSnO(x) film on the surface and the GeSnO(x) topped by in situ Al2O3 constitutes the gate stack of GeSn MOS devices. The capability of the surface passivation was evidenced by the low interface trap density (D(it)) of 1.62 × 10(11) cm(-2) eV(-1), which is primarily due to the formation of Ge-O and Sn-O bonds at the surface by high density/reactivity oxygen radicals that effectively suppress dangling bonds and decrease gap states. The good D(it) not only makes tiny frequency dispersion in the characterization of GeSn MOS capacitors, but results in GeSn N-MOSFETs with outstanding peak electron mobility as high as 518 cm(2)/(V s) which outperforms other devices reported in the literature due to reduced undesirable carrier scattering. In addition, the GeSn N-MOSFETs also exhibit promising characteristics in terms of acceptable subthreshold swing of 156 mV/dec and relatively large I(ON)/I(OFF) ratio more than 4 orders. Moreover, the robust reliability in terms small V(t) variation against high field stress attests the feasibility of using the O2 plasma-treated passivation to advanced GeSn technology.

  8. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    NASA Astrophysics Data System (ADS)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  9. Localized variations in electronic structure of AlGaN/GaN heterostructures grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Smith, K. V.; Yu, E. T.; Elsass, C. R.; Heying, B.; Speck, J. S.

    2001-10-01

    Local electronic properties in a molecular-beam-epitaxy-grown AlxGa1-xN/GaN heterostructure field-effect transistor epitaxial layer structure are probed using depth-resolved scanning capacitance microscopy. Theoretical analysis of contrast observed in scanning capacitance images acquired over a range of bias voltages is used to assess the possible structural origins of local inhomogeneities in electronic structure, which are shown to be concentrated in areas where Ga droplets had formed on the surface during growth. Within these regions, there are significant variations in the local electronic structure that are attributed to variations in both AlxGa1-xN layer thickness and Al composition. Increased charge trapping is also observed in these regions.

  10. STM studies of GeSi thin layers epitaxially grown on Si(111)

    NASA Astrophysics Data System (ADS)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  11. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  12. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jeomoh, E-mail: jkim610@gatech.edu; Ji, Mi-Hee; Detchprohm, Theeradetch

    2015-09-28

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effectivemore » partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.« less

  13. Effect of Group-III precursors on unintentional gallium incorporation during epitaxial growth of InAlN layers by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.; Ryou, Jae-Hyun

    2015-09-01

    Unintentional incorporation of gallium (Ga) in InAlN layers grown with different molar flow rates of Group-III precursors by metalorganic chemical vapor deposition has been experimentally investigated. The Ga mole fraction in the InAl(Ga)N layer was increased significantly with the trimethylindium (TMIn) flow rate, while the trimethylaluminum flow rate controls the Al mole fraction. The evaporation of metallic Ga from the liquid phase eutectic system between the pyrolized In from injected TMIn and pre-deposited metallic Ga was responsible for the Ga auto-incorporation into the InAl(Ga)N layer. The theoretical calculation on the equilibrium vapor pressure of liquid phase Ga and the effective partial pressure of Group-III precursors based on growth parameters used in this study confirms the influence of Group-III precursors on Ga auto-incorporation. More Ga atoms can be evaporated from the liquid phase Ga on the surrounding surfaces in the growth chamber and then significant Ga auto-incorporation can occur due to the high equilibrium vapor pressure of Ga comparable to effective partial pressure of input Group-III precursors during the growth of InAl(Ga)N layer.

  14. Photoluminescence of Gallium Phosphide-Based Nanostructures with Germanium Quantum Dots, Grown by Liquid-Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Velchenko, A. A.

    2017-11-01

    We have used liquid-phase epitaxy with pulsed substrate cooling using two structural designs to grow samples of nanoheteroepitaxial structures with Ge quantum dots in a GaP matrix on Si substrates. We have measured the photoluminescence spectra of the samples at temperatures of 77 K and 300 K with excitation by laser emission at λ = 4880 Å and 5145 Å. We draw conclusions concerning the factors influencing the spectrum and intensity of emission for nanostructures with quantum dots. It was found that in order to reduce nonradiative recombination in multilayer p-n structures, we need to create quantum dot arrays inside p and n regions rather than in the central portion of the depletion layer of the p-n junction. We show that the theoretical energies for Ge quantum dots of the calculated sizes are comparable with the energies of their photoluminescence maxima.

  15. Surface structure analysis of BaSi2(100) epitaxial film grown on Si(111) using CAICISS

    NASA Astrophysics Data System (ADS)

    Okasaka, Shouta; Kubo, Osamu; Tamba, Daiki; Ohashi, Tomohiro; Tabata, Hiroshi; Katayama, Mitsuhiro

    2015-05-01

    Geometry and surface structure of a BaSi2(100) film on Si(111) formed by reactive deposition epitaxy (RDE) have been investigated using coaxial impact-collision ion scattering spectroscopy and atomic force microscopy. BaSi2(100) film can be grown only when the Ba deposition rate is sufficiently fast. It is revealed that a BaSi2(100) film grown at 600 °C has better crystallinity than a film grown at 750 °C owing to the mixture of planes other than (100) in the RDE process at higher temperatures. The azimuth angle dependence of the scattering intensity from Ba shows sixfold symmetry, indicating that the minimum height of surface steps on BaSi2(100) is half of the length of unit cell. By comparing the simulated azimuth angle dependences for more than ten surface models with experimental one, it is strongly indicated that the surface of a BaSi2(100) film grown on Si(111) is terminated by Si tetrahedra.

  16. Epitaxial Deposition Of Germanium Doped With Gallium

    NASA Technical Reports Server (NTRS)

    Huffman, James E.

    1994-01-01

    Epitaxial layers of germanium doped with gallium made by chemical vapor deposition. Method involves combination of techniques and materials used in chemical vapor deposition with GeH4 or GeCl4 as source of germanium and GaCl3 as source of gallium. Resulting epitaxial layers of germanium doped with gallium expected to be highly pure, with high crystalline quality. High-quality material useful in infrared sensors.

  17. (In,Ga,Al)P-GaP laser diodes grown on high-index GaAs surfaces emitting in the green, yellow and bright red spectral range

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    We report on low threshold current density (<400 A cm-2) injection lasing in (Al x Ga1-x )0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm). The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at reflection of the injected nonequilibrium electrons preventing their escape from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15° for (611)A substrates. The lasing at the wavelength of 569 nm is realized at 85 K. In an orange-red laser diode structure low threshold current density (190 A cm-2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrated room temperature lasing at 628 nm at ˜2 kA cm-2 and a total power above 3 W. The red laser diodes grown on (211)A substrates demonstrated a far field characteristic for vertically multimode lasing indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However, as expected from previous research, the temperature stability of the threshold current and the wavelength stability were significantly higher for (211)A-grown structures.

  18. Argon–germane in situ plasma clean for reduced temperature Ge on Si epitaxy by high density plasma chemical vapor deposition

    DOE PAGES

    Douglas, Erica A.; Sheng, Josephine J.; Verley, Jason C.; ...

    2015-06-04

    We found that the demand for integration of near infrared optoelectronic functionality with silicon complementary metal oxide semiconductor (CMOS) technology has for many years motivated the investigation of low temperature germanium on silicon deposition processes. Our work describes the development of a high density plasma chemical vapor deposition process that uses a low temperature (<460 °C) in situ germane/argon plasma surface preparation step for epitaxial growth of germanium on silicon. It is shown that the germane/argon plasma treatment sufficiently removes SiO x and carbon at the surface to enable germanium epitaxy. Finally, the use of this surface preparation step demonstratesmore » an alternative way to produce germanium epitaxy at reduced temperatures, a key enabler for increased flexibility of integration with CMOS back-end-of-line fabrication.« less

  19. Electron microscopy investigations of purity of AlN interlayer in Al{sub x}Ga{sub 1-x}N/GaN heterostructures grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sridhara Rao, D. V.; Jain, Anubha; Lamba, Sushil

    2013-05-13

    The electron microscopy was used to characterize the AlN interlayer in Al{sub x}Ga{sub 1-x}N/AlN/GaN heterostructures grown by plasma assisted molecular beam epitaxy (PAMBE). We show that the AlN interlayer grown by PAMBE is without gallium and oxygen incorporation and the interfaces are coherent. The AlN interlayer has the ABAB stacking of lattice planes as expected for the wurtzite phase. High purity of AlN interlayer with the ABAB stacking leads to larger conduction band offset along with stronger polarization effects. Our studies show that the origin of lower sheet resistance obtained by PAMBE is the purity of AlN interlayer.

  20. Real-Time Optical Monitoring and Simulations of Gas Phase Kinetics in InN Vapor Phase Epitaxy at High Pressure

    NASA Technical Reports Server (NTRS)

    Dietz, Nikolaus; Woods, Vincent; McCall, Sonya D.; Bachmann, Klaus J.

    2003-01-01

    Understanding the kinetics of nucleation and coalescence of heteroepitaxial thin films is a crucial step in controlling a chemical vapor deposition process, since it defines the perfection of the heteroepitaxial film both in terms of extended defect formation and chemical integrity of the interface. The initial nucleation process also defines the film quality during the later stages of film growth. The growth of emerging new materials heterostructures such as InN or In-rich Ga(x)In(1-x)N require deposition methods operating at higher vapor densities due to the high thermal decomposition pressure in these materials. High nitrogen pressure has been demonstrated to suppress thermal decomposition of InN, but has not been applied yet in chemical vapor deposition or etching experiments. Because of the difficulty with maintaining stochiometry at elevated temperature, current knowledge regarding thermodynamic data for InN, e.g., its melting point, temperature-dependent heat capacity, heat and entropy of formation are known with far less accuracy than for InP, InAs and InSb. Also, no information exists regarding the partial pressures of nitrogen and phosphorus along the liquidus surfaces of mixed-anion alloys of InN, of which the InN(x)P(1-x) system is the most interesting option. A miscibility gap is expected for InN(x)P(1-x) pseudobinary solidus compositions, but its extent is not established at this point by experimental studies under near equilibrium conditions. The extension of chemical vapor deposition to elevated pressure is also necessary for retaining stoichiometric single phase surface composition for materials that are characterized by large thermal decomposition pressures at optimum processing temperatures.

  1. Metal organic chemical vapor deposition of 111-v compounds on silicon

    DOEpatents

    Vernon, Stanley M.

    1986-01-01

    Expitaxial composite comprising thin films of a Group III-V compound semiconductor such as gallium arsenide (GaAs) or gallium aluminum arsenide (GaAlAs) on single crystal silicon substrates are disclosed. Also disclosed is a process for manufacturing, by chemical deposition from the vapor phase, epitaxial composites as above described, and to semiconductor devices based on such epitaxial composites. The composites have particular utility for use in making light sensitive solid state solar cells.

  2. High throughput vacuum chemical epitaxy

    NASA Astrophysics Data System (ADS)

    Fraas, L. M.; Malocsay, E.; Sundaram, V.; Baird, R. W.; Mao, B. Y.; Lee, G. Y.

    1990-10-01

    We have developed a vacuum chemical epitaxy (VCE) reactor which avoids the use of arsine and allows multiple wafers to be coated at one time. Our vacuum chemical epitaxy reactor closely resembles a molecular beam epitaxy system in that wafers are loaded into a stainless steel vacuum chamber through a load chamber. Also as in MBE, arsenic vapors are supplied as reactant by heating solid arsenic sources thereby avoiding the use of arsine. However, in our VCE reactor, a large number of wafers are coated at one time in a vacuum system by the substitution of Group III alkyl sources for the elemental metal sources traditionally used in MBE. Higher wafer throughput results because in VCE, the metal-alkyl sources for Ga, Al, and dopants can be mixed at room temperature and distributed uniformly though a large area injector to multiple substrates as a homogeneous array of mixed element molecular beams. The VCE reactor that we have built and that we shall describe here uniformly deposits films on 7 inch diameter substrate platters. Each platter contains seven two inch or three 3 inch diameter wafers. The load chamber contains up to nine platters. The vacuum chamber is equipped with two VCE growth zones and two arsenic ovens, one per growth zone. Finally, each oven has a 1 kg arsenic capacity. As of this writing, mirror smooth GaAs films have been grown at up to 4 μm/h growth rate on multiple wafers with good thickness uniformity. The background doping is p-type with a typical hole concentration and mobility of 1 × 10 16/cm 3 and 350 cm 2/V·s. This background doping level is low enough for the fabrication of MESFETs, solar cells, and photocathodes as well as other types of devices. We have fabricated MESFET devices using VCE-grown epi wafers with peak extrinsic transconductance as high as 210 mS/mm for a threshold voltage of - 3 V and a 0.6 μm gate length. We have also recently grown AlGaAs epi layers with up to 80% aluminum using TEAl as the aluminum alkyl source. The Al

  3. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    NASA Astrophysics Data System (ADS)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  4. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  5. Crystallization engineering as a route to epitaxial strain control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akbashev, Andrew R.; Plokhikh, Aleksandr V.; Barbash, Dmitri

    2015-10-01

    The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001)SrTiO{sub 3} and (001)LaAlO{sub 3} substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001)BiFeO{sub 3} phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001)SrTiO{sub 3} results in a coherently strained film, themore » same films obtained on (001)LaAlO{sub 3} showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001)SrTiO{sub 3}. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.« less

  6. Low intrinsic c-axis thermal conductivity in PVD grown epitaxial Sb2Te3 films

    NASA Astrophysics Data System (ADS)

    Rieger, F.; Kaiser, K.; Bendt, G.; Roddatis, V.; Thiessen, P.; Schulz, S.; Jooss, C.

    2018-05-01

    Accurate determination and comprehensive understanding of the intrinsic c-axis thermal conductivity κc of thermoelectric layered Sb2Te3 is of high importance for the development of strategies to optimize the figure of merit in thin film devices via heterostructures and defect engineering. We present here high precision measurements of κc of epitaxial Sb2Te3 thin films on Al2O3 substrates grown by physical vapor deposition in the temperature range of 100 K to 300 K. The Kapitza resistances of the involved interfaces have been determined and subtracted from the film data, allowing access to the intrinsic thermal conductivity of single crystalline Sb2Te3. At room temperature, we obtain κc = 1.9 W/m K, being much smaller than the in-plane thermal conductivity of κa b = 5 W/m K and even lower than the thermal conductivity of nano crystalline films of κnc ≈ 2.0-2.6 W/m K published by Park et al. [Nanoscale Res. Lett. 9, 96 (2014)]. High crystallinity and very low defect concentration of the films were confirmed by x-ray diffraction and high resolution transmission electron microscopy. Our data reveal that the phonon mean free path lm f p(" separators="|T ) is not limited by defect scattering and is of intrinsic nature, i.e., due to phonon-phonon scattering similar to other soft van der Waals type bonded layered systems.

  7. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  8. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    NASA Astrophysics Data System (ADS)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  9. Thin film solar cells grown by organic vapor phase deposition

    NASA Astrophysics Data System (ADS)

    Yang, Fan

    Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.

  10. Epitaxial stabilization and phase instability of VO2 polymorphs

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  11. Epitaxial stabilization and phase instability of VO2 polymorphs.

    PubMed

    Lee, Shinbuhm; Ivanov, Ilia N; Keum, Jong K; Lee, Ho Nyung

    2016-01-20

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices.

  12. Epitaxial stabilization and phase instability of VO2 polymorphs

    PubMed Central

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; Lee, Ho Nyung

    2016-01-01

    The VO2 polymorphs, i.e., VO2(A), VO2(B), VO2(M1) and VO2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on various perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. Our successful epitaxy of both VO2(A) and VO2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO2 polymorphs for potential applications in advanced electronic and energy devices. PMID:26787259

  13. Epitaxial stabilization and phase instability of VO 2 polymorphs

    DOE PAGES

    Lee, Shinbuhm; Ivanov, Ilia N.; Keum, Jong K.; ...

    2016-01-20

    The VO 2 polymorphs, i.e., VO 2(A), VO 2(B), VO 2(M1) and VO 2(R), have a wide spectrum of functionalities useful for many potential applications in information and energy technologies. However, synthesis of phase pure materials, especially in thin film forms, has been a challenging task due to the fact that the VO 2 polymorphs are closely related to each other in a thermodynamic framework. Here, we report epitaxial stabilization of the VO 2 polymorphs to synthesize high quality single crystalline thin films and study the phase stability of these metastable materials. We selectively deposit all the phases on variousmore » perovskite substrates with different crystallographic orientations. By investigating the phase instability, phonon modes and transport behaviours, not only do we find distinctively contrasting physical properties of the VO 2 polymorphs, but that the polymorphs can be on the verge of phase transitions when heated as low as ~400 °C. In conclusion, our successful epitaxy of both VO 2(A) and VO 2(B) phases, which are rarely studied due to the lack of phase pure materials, will open the door to the fundamental studies of VO 2 polymorphs for potential applications in advanced electronic and energy devices.« less

  14. Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.

    2018-05-01

    The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte

  15. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  16. Excitonic complexes in single zinc-blende GaN/AlN quantum dots grown by droplet epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sergent, S.; Kako, S.; Bürger, M.

    2014-10-06

    We study by microphotoluminescence the optical properties of single zinc-blende GaN/AlN quantum dots grown by droplet epitaxy. We show evidences of both excitonic and multiexcitonic recombinations in individual quantum dots with radiative lifetimes shorter than 287 ± 8 ps. Owing to large band offsets and a large exciton binding energy, the excitonic recombinations of single zinc-blende GaN/AlN quantum dots can be observed up to 300 K.

  17. Thermal stability and relaxation mechanisms in compressively strained Ge{sub 0.94}Sn{sub 0.06} thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fleischmann, C.; Lieten, R. R.; Shimura, Y.

    Strained Ge{sub 1-x}Sn{sub x} thin films have recently attracted a lot of attention as promising high mobility or light emitting materials for future micro- and optoelectronic devices. While they can be grown nowadays with high crystal quality, the mechanism by which strain energy is relieved upon thermal treatments remains speculative. To this end, we investigated the evolution (and the interplay) of composition, strain, and morphology of strained Ge{sub 0.94}Sn{sub 0.06} films with temperature. We observed a diffusion-driven formation of Sn-enriched islands (and their self-organization) as well as surface depressions (pits), resulting in phase separation and (local) reduction in strain energy,more » respectively. Remarkably, these compositional and morphological instabilities were found to be the dominating mechanisms to relieve energy, implying that the relaxation via misfit generation and propagation is not intrinsic to compressively strained Ge{sub 0.94}Sn{sub 0.06} films grown by molecular beam epitaxy.« less

  18. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1992-02-25

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  19. Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth

    DOEpatents

    Fan, John C. C.; Tsaur, Bor-Yeu; Gale, Ronald P.; Davis, Frances M.

    1986-12-30

    Dislocation densities are reduced in growing semiconductors from the vapor phase by employing a technique of interrupting growth, cooling the layer so far deposited, and then repeating the process until a high quality active top layer is achieved. The method of interrupted growth, coupled with thermal cycling, permits dislocations to be trapped in the initial stages of epitaxial growth.

  20. Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiN x Grown by Low-Pressure Chemical Vapor Deposition.

    PubMed

    Liu, Xinyu; Wang, Xinhua; Zhang, Yange; Wei, Ke; Zheng, Yingkui; Kang, Xuanwu; Jiang, Haojie; Li, Junfeng; Wang, Wenwu; Wu, Xuebang; Wang, Xianping; Huang, Sen

    2018-06-12

    Constant-capacitance deep-level transient Fourier spectroscopy is utilized to characterize the interface between a GaN epitaxial layer and a SiN x passivation layer grown by low-pressure chemical vapor deposition (LPCVD). A near-conduction band (NCB) state E LP ( E C - E T = 60 meV) featuring a very small capture cross section of 1.5 × 10 -20 cm -2 was detected at 70 K at the LPCVD-SiN x /GaN interface. A partially crystallized Si 2 N 2 O thin layer was detected at the interface by high-resolution transmission electron microscopy. Based on first-principles calculations of crystallized Si 2 N 2 O/GaN slabs, it was confirmed that the NCB state E LP mainly originates from the strong interactions between the dangling bonds of gallium and its vicinal atoms near the interface. The partially crystallized Si 2 N 2 O interfacial layer might also give rise to the very small capture cross section of the E LP owing to the smaller lattice mismatch between the Si 2 N 2 O and GaN epitaxial layer and a larger mean free path of the electron in the crystallized portion compared with an amorphous interfacial layer.

  1. Structural and electrical properties of single crystalline SrZrO 3 epitaxially grown on Ge (001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, Z. H.; Ahmadi-Majlan, K.; Grimley, E. D.

    We present structural and electrical characterization of SrZrO3 that has been epitaxially grown on Ge(001) by oxide molecular beam epitaxy. Single crystalline SrZrO3 can be nucleated on Ge via deposition at low temperatures followed by annealing at 550 ºC in ultra-high vacuum. Photoemission spectroscopy measurements reveal that SrZrO3 exhibits a type-I band arrangement with respect to Ge, with conduction and valence band offsets of 1.4 eV and 3.65 eV, respectively. As a standalone film, SrZrO3 exhibits several characteristics that are ideal for applications as a gate dielectric on Ge. We find that 4 nm thick films exhibit low leakage currentmore » densities, and a dielectric constant of κ ~ 25 that corresponds to an equivalent oxide thickness of 0.70 nm.« less

  2. Cantilever epitaxial process

    DOEpatents

    Ashby, Carol I.; Follstaedt, David M.; Mitchell, Christine C.; Han, Jung

    2003-07-29

    A process of growing a material on a substrate, particularly growing a Group II-VI or Group III-V material, by a vapor-phase growth technique where the growth process eliminates the need for utilization of a mask or removal of the substrate from the reactor at any time during the processing. A nucleation layer is first grown upon which a middle layer is grown to provide surfaces for subsequent lateral cantilever growth. The lateral growth rate is controlled by altering the reactor temperature, pressure, reactant concentrations or reactant flow rates. Semiconductor materials, such as GaN, can be produced with dislocation densities less than 10.sup.7 /cm.sup.2.

  3. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Khan, M. A.; Skogman, R. A.; van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates is reported. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. As best as is known this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  4. Improved Epitaxy and Surface Morphology in YBa2Cu3Oy Thin Films Grown on Double Buffered Si Wafers

    NASA Astrophysics Data System (ADS)

    Gao, J.; Kang, L.; Wong, H. Y.; Cheung, Y. L.; Yang, J.

    Highly epitaxial thin films of YBCO have been obtained on silicon wafers using a Eu2CuO4/YSZ (yttrium-stabilized ZrO2) double buffer. Our results showed that application of such a double buffer can significantly enhance the epitaxy of grown YBCO. It also leads to an excellent surface morphology. The average surface roughness was found less than 5 nm in a large range. The results of X-ray small angle reflection and positron spectroscpy demonstrate a very clear and flat interface between YBCO and buffer layers. The Eu2CuO4/YSZ double buffer could be promising for coating high-TC superconducting films on various reactive substrates.

  5. Epitaxial ferromagnetic single clusters and smooth continuous layers on large area MgO/CVD graphene substrates

    NASA Astrophysics Data System (ADS)

    Godel, Florian; Meny, Christian; Doudin, Bernard; Majjad, Hicham; Dayen, Jean-François; Halley, David

    2018-02-01

    We report on the fabrication of ferromagnetic thin layers separated by a MgO dielectric barrier from a graphene-covered substrate. The growth of ferromagnetic metal layers—Co or Ni0.8Fe0.2—is achieved by Molecular Beam Epitaxy (MBE) on a 3 nm MgO(111) epitaxial layer deposited on graphene. In the case of a graphene, grown by chemical vapor deposition (CVD) over Ni substrates, an annealing at 450 °C, under ultra-high-vacuum (UHV) conditions, leads to the dewetting of the ferromagnetic layers, forming well-defined flat facetted clusters whose shape reflects the substrate symmetry. In the case of CVD graphene transferred on SiO2, no dewetting is observed after same annealing. We attribute this difference to the mechanical stress states induced by the substrate, illustrating how it matters for epitaxial construction through graphene. Controlling the growth parameters of such magnetic single objects or networks could benefit to new architectures for catalysis or spintronic applications.

  6. Nucleation and Epitaxy-Mediated Phase Transformation of a Precursor Cadmium Carbonate Phase at the Calcite/Water Interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Riechers, Shawn L.; Rosso, Kevin M.; Kerisit, Sebastien N.

    Mineral nucleation can be catalyzed by the presence of mineral substrates; however, the mechanisms of heterogeneous nucleation remain poorly understood. A combination of in situ time-sequenced measurements and nano-manipulation experiments were performed using atomic force microscopy (AFM) to probe the mechanisms of heteroepitaxial nucleation of otavite (CdCO3) on calcite (CaCO3) single crystals that exposed the (10-14) surface. Otavite and calcite are isostructural carbonates that display a 4% lattice mismatch, based on their (10-14) surface areas. AFM observations revealed a two-stage process in the nucleation of cadmium carbonate surface precipitates. As evidenced by changes in height, shape, growth behavior, and frictionmore » signal of the precipitates, a precursor phase was observed to initially form on the surface and subsequently undergo an epitaxy-mediated phase transformation to otavite, which then grew epitaxially. Nano-manipulation experiments, in which the applied force was increased progressively until precipitates were removed from the surface, showed that adhesion of the precursor phase to the substrate was distinctively weaker than that of the epitaxial phase, consistent with that of an amorphous phase. These findings demonstrate for the first time that heterogeneous mineral nucleation can follow a non-classical pathway like that found in homogenous aqueous conditions.« less

  7. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    NASA Astrophysics Data System (ADS)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  8. Short wavelength (visible) GaAs quantum well lasers grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woodbridge, K.; Blood, P.; Fletcher, E.D.

    1984-07-01

    GaAs-AlGaAs multiple quantum well (MQW) injection lasers with well widths from 55 to 13 A have been grown by molecular beam epitaxy and operated at room temperature, showing emission at wavelengths down to 704 nm, the shortest reported for a MQW injection laser with GaAs wells. In a device with 25-A wells some evidence of coupling was apparent when barrier widths were reduced to 40 A. For devices with 80-A barriers there is a difference of about 20 nm between the calculated n = 1 (e--hh) transition wavelength and the lasing wavelength, whereas the calculation agrees with photovoltage absorption measurementsmore » on the same structures.« less

  9. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  10. Characterization of Polar, Semi-Polar, and Non-Polar p-n Homo and Hetero-junctions grown by Ammonia Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Hurni, Christophe Antoine

    Widespread interest in the group III-Nitrides began with the achievement of p-type conductivity in the early 1990s in Mg-doped GaN films grown by metal organic chemical vapor deposition (MOCVD) by Nakamura et al. Indeed, MOCVD-grown Mg-doped GaN is insulating as-grown, because of the formation of neutral Mg-H complexes. Nakamura et al. showed that a rapid thermal anneal removes the hydrogen and enables p-conductivity. Shortly after this discovery, the first LEDs and lasers were demonstrated by Nakamura et al. The necessary annealing step is problematic for devices which need a buried p-layer, such as hetero-junction bipolar transistors. Ammonia molecular beam epitaxy (NH3-MBE) has a great potential for growing vertical III-Nitrides-based devices, thank to its N-rich growth conditions and all the usual advantages of MBE, which include a low-impurity growth environment, in situ monitoring techniques as well as the ability to grow sharp interfaces. We first investigated the growth of p-GaN by NH3-MBE. We found that the hole concentration strongly depends on the growth temperature. Thanks to comprehensive Hall and transfer length measurements, we found evidences for a compensating donor defects in NH3-MBE-grown Mg-doped GaN films. High-quality p-n junctions with very low reverse current and close to unity ideality factor were also grown and investigated. For the design of heterojunction devices such as laser diodes, light emitting diodes or heterojunction bipolar transistors, hetero-interface's characteristics such as the band offset or interface charges are fundamental. A technique developed by Kroemer et al. uses capacitance-voltage (C-V) profiling to extract band-offsets and charges at a hetero-interface. We applied this technique to the III-Nitrides. We discovered that for the polar III-Nitrides, the technique is not applicable because of the very large polarization charge. We nevertheless successfully measured the polarization charge at the AlGaN/GaN hetero

  11. High indium content homogenous InAlN layers grown by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kyle, Erin C. H.; Kaun, Stephen W.; Wu, Feng; Bonef, Bastien; Speck, James S.

    2016-11-01

    InAlN grown by plasma-assisted molecular beam epitaxy often contains a honeycomb microstructure. The honeycomb microstructure consists of 5-10 nm diameter aluminum-rich regions which are surrounded by indium-rich regions. Layers without this microstructure were previously developed for nominally lattice-matched InAlN and have been developed here for higher indium content InAlN. In this study, InAlN was grown in a nitrogen-rich environment with high indium to aluminum flux ratios at low growth temperatures. Samples were characterized by high-resolution x-ray diffraction, atomic force microscopy, high-angle annular dark-field scanning transmission electron microscopy, and atom probe tomography. Atomic force microscopy showed InAlN layers grown at temperatures below 450 °C under nitrogen-rich conditions were free of droplets. InAlN films with indium contents up to 81% were grown at temperatures between 410 and 440 °C. High-angle annular dark-field scanning transmission electron microscopy and atom probe tomography showed no evidence of honeycomb microstructure for samples with indium contents of 34% and 62%. These layers are homogeneous and follow a random alloy distribution. A growth diagram for InAlN of all indium contents is reported.

  12. Structural phase diagram for ultra-thin epitaxial Fe 3O 4 / MgO(0 01) films: thickness and oxygen pressure dependence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alraddadi, S.; Hines, W.; Yilmaz, T.

    2016-02-19

    A systematic investigation of the thickness and oxygen pressure dependence for the structural properties of ultra-thin epitaxial magnetite (Fe 3O 4) films has been carried out; for such films, the structural properties generally differ from those for the bulk when the thickness ≤10 nm. Iron oxide ultra-thin films with thicknesses varying from 3 nm to 20 nm were grown on MgO (001) substrates using molecular beam epitaxy under different oxygen pressures ranging from 1 × 10 -7 torr to 1 × 10 -5 torr. The crystallographic and electronic structures of the films were characterized using low energy electron diffraction (LEED)more » and x-ray photoemission spectroscopy (XPS), respectively. Moreover, the quality of the epitaxial Fe 3O 4 ultra-thin films was judged by magnetic measurements of the Verwey transition, along with complementary XPS spectra. We observed that under the same growth conditions the stoichiometry of ultra-thin films under 10 nm transforms from the Fe 3O 4 phase to the FeO phase. In this work, a phase diagram based on thickness and oxygen pressure has been constructed to explain the structural phase transformation. It was found that high-quality magnetite films with thicknesses ≤20 nm formed within a narrow range of oxygen pressure. An optimal and controlled growth process is a crucial requirement for the accurate study of the magnetic and electronic properties for ultra-thin Fe 3O 4 films. Furthermore, these results are significant because they may indicate a general trend in the growth of other oxide films, which has not been previously observed or considered.« less

  13. Epitaxial structure and electronic property of β-Ga2O3 films grown on MgO (100) substrates by pulsed-laser deposition

    NASA Astrophysics Data System (ADS)

    Wakabayashi, Ryo; Yoshimatsu, Kohei; Hattori, Mai; Ohtomo, Akira

    2017-10-01

    We investigated heteroepitaxial growth of Si-doped Ga2O3 films on MgO (100) substrates by pulsed-laser deposition as a function of growth temperature (Tg) to find a strong correlation between the structural and electronic properties. The films were found to contain cubic γ-phase and monoclinic β-phase, the latter of which indicated rotational twin domains when grown at higher Tg. The formation of the metastable γ-phase and twin-domain structure in the stable β-phase are discussed in terms of the in-plane epitaxial relationships with a square MgO lattice, while crystallinity of the β-phase degraded monotonically with decreasing Tg. The room-temperature conductivity indicated a maximum at the middle of Tg, where the β-Ga2O3 layer was relatively highly crystalline and free from the twin-domain structure. Moreover, both crystallinity and conductivity of β-Ga2O3 films on the MgO substrates were found superior to those on α-Al2O3 (0001) substrates. A ratio of the conductivity, attained to the highest quantity on each substrate, was almost three orders of magnitude.

  14. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.

    1993-09-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. [bold 58], 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. [bold 65], 2220 (1989)]more » when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In[sub 0.04]Ga[sub 0.96]As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane.« less

  15. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    PubMed

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  16. Method for forming single phase, single crystalline 2122 BCSCO superconductor thin films by liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Pandey, Raghvendra K. (Inventor); Raina, Kanwal (Inventor); Solayappan, Narayanan (Inventor)

    1994-01-01

    A substantially single phase, single crystalline, highly epitaxial film of Bi.sub.2 CaSr.sub.2 Cu.sub.2 O.sub.8 superconductor which has a T.sub.c (zero resistance) of 83 K is provided on a lattice-matched substrate with no intergrowth. This film is produced by a Liquid Phase Epitaxy method which includes the steps of forming a dilute supercooled molten solution of a single phase superconducting mixture of oxides of Bi, Ca, Sr, and Cu having an atomic ratio of about 2:1:2:2 in a nonreactive flux such as KCl, introducing the substrate, e.g., NdGaO.sub.3, into the molten solution at 850.degree. C., cooling the solution from 850.degree. C. to 830.degree. C. to grow the film and rapidly cooling the substrate to room temperature to maintain the desired single phase, single crystalline film structure.

  17. Flexible metal-semiconductor-metal device prototype on wafer-scale thick boron nitride layers grown by MOVPE.

    PubMed

    Li, Xin; Jordan, Matthew B; Ayari, Taha; Sundaram, Suresh; El Gmili, Youssef; Alam, Saiful; Alam, Muhbub; Patriarche, Gilles; Voss, Paul L; Paul Salvestrini, Jean; Ougazzaden, Abdallah

    2017-04-11

    Practical boron nitride (BN) detector applications will require uniform materials over large surface area and thick BN layers. To report important progress toward these technological requirements, 1~2.5 µm-thick BN layers were grown on 2-inch sapphire substrates by metal-organic vapor phase epitaxy (MOVPE). The structural and optical properties were carefully characterized and discussed. The thick layers exhibited strong band-edge absorption near 215 nm. A highly oriented two-dimensional h-BN structure was formed at the film/sapphire interface, which permitted an effective exfoliation of the thick BN film onto other adhesive supports. And this structure resulted in a metal-semiconductor-metal (MSM) device prototype fabricated on BN membrane delaminating from the substrate. MSM photodiode prototype showed low dark current of 2 nA under 100 V, and 100 ± 20% photoconductivity yield for deep UV light illumination. These wafer-scale MOVPE-grown thick BN layers present great potential for the development of deep UV photodetection applications, and even for flexible (opto-) electronics in the future.

  18. Low temperature laser molecular beam epitaxy and characterization of AlGaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Tyagi, Prashant; Ch., Ramesh; Kushvaha, S. S.; Kumar, M. Senthil

    2017-05-01

    We have grown AlGaN (0001) epitaxial layers on sapphire (0001) by using laser molecular beam epitaxy (LMBE) technique. The growth was carried out using laser ablation of AlxGa1-x liquid metal alloy under r.f. nitrogen plasma ambient. Before epilayer growth, the sapphire nitradation was performed at 700 °C using r.f nitrogen plasma followed by AlGaN layer growth. The in-situ reflection high energy electron diffraction (RHEED) was employed to monitor the substrate nitridation and AlGaN epitaxial growth. High resolution x-ray diffraction showed wurtzite hexagonal growth of AlGaN layer along c-axis. An absorption bandgap of 3.97 eV is obtained for the grown AlGaN layer indicating an Al composition of more than 20 %. Using ellipsometry, a refractive index (n) value of about 2.19 is obtained in the visible region.

  19. Crystal-phase intergradation in InAs nanostructures grown by van der Waals heteroepitaxy on graphene

    NASA Astrophysics Data System (ADS)

    Choi, Ji Eun; Yoo, Jinkyoung; Lee, Donghwa; Hong, Young Joon; Fukui, Takashi

    2018-04-01

    This study demonstrates the crystal-phase intergradation of InAs nanostructures grown on graphene via van der Waals epitaxy. InAs nanostructures with diverse diameters are yielded on graphene. High-resolution transmission electron microscopy (HR-TEM) reveals two crystallographic features of (i) wurtzite (WZ)-to-zinc blende (ZB) intergradation along the growth direction of InAs nanostructures and (ii) an increased mean fraction of ZB according to diameter increment. Based on the HR-TEM observations, a crystal-phase intergradation diagram is depicted. We discuss how the formation of a WZ-rich phase during the initial growth stage is an effective way of releasing heterointerfacial stress endowed by the lattice mismatch of InAs/graphene for energy minimization in terms of less in-plane lattice mismatching between WZ-InAs and graphene. The WZ-to-ZB evolution is responsible for the attenuation of the bottom-to-top surface charge interaction as growth proceeds.

  20. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  1. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects,more » like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.« less

  2. Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Asif Khan, M.; Skogman, R. A.; Van Hove, J. M.; Olson, D. T.; Kuznia, J. N.

    1992-03-01

    In this letter we report the first switched atomic layer epitaxy (SALE) of single crystal GaN over basal plane sapphire substrates. A low pressure metalorganic chemical vapor deposition (LPMOCVD) system was used for the epilayer depositions. In contrast to conventional LPMOCVD requiring temperatures higher than 700 °C, the SALE process resulted in single crystal insulating GaN layers at growth temperatures ranging from 900 to 450 °C. The band-edge transmission and the photoluminescence of the films from the SALE process were comparable to the best LPMOCVD films. To the best of our knowledge this is the first report of insulating GaN films which show excellent band-edge photoluminescence.

  3. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  4. Phase transformations during the growth of paracetamol crystals from the vapor phase

    NASA Astrophysics Data System (ADS)

    Belyaev, A. P.; Rubets, V. P.; Antipov, V. V.; Bordei, N. S.

    2014-07-01

    Phase transformations during the growth of paracetamol crystals from the vapor phase are studied by differential scanning calorimetry. It is found that the vapor-crystal phase transition is actually a superposition of two phase transitions: a first-order phase transition with variable density and a second-order phase transition with variable ordering. The latter, being a diffuse phase transition, results in the formation of a new, "pretransition," phase irreversibly spent in the course of the transition, which ends in the appearance of orthorhombic crystals. X-ray diffraction data and micrograph are presented.

  5. Ferroelectric domain structure of anisotropically strained NaNbO3 epitaxial thin films

    NASA Astrophysics Data System (ADS)

    Schwarzkopf, J.; Braun, D.; Schmidbauer, M.; Duk, A.; Wördenweber, R.

    2014-05-01

    NaNbO3 thin films have been grown under anisotropic biaxial strain on several oxide substrates by liquid-delivery spin metalorganic chemical vapor deposition. Compressive lattice strain of different magnitude, induced by the deposition of NaNbO3 films with varying film thickness on NdGaO3 single crystalline substrates, leads to modifications of film orientation and phase symmetry, which are similar to the phase transitions in Pb-containing oxides near the morphotropic phase boundary. Piezoresponse force microscopy measurements exhibit large out-of-plane polarization components, but no distinctive domain structure, while C-V measurements indicate relaxor properties in these films. When tensile strain is provoked by the epitaxial growth on DyScO3, TbScO3, and GdScO3 single crystalline substrates, NaNbO3 films behave rather like a normal ferroelectric. The application of these rare-earth scandate substrates yields well-ordered ferroelectric stripe domains of the type a1/a2 with coherent domain walls aligned along the [001] substrate direction as long as the films are fully strained. With increasing plastic lattice relaxation, initially, a 2D domain pattern with still exclusively in-plane electric polarization, and finally, domains with in-plane and out-of-plane polar components evolve.

  6. Aqueous solution epitaxy of CdS layers on CuInSe 2

    NASA Astrophysics Data System (ADS)

    Furlong, M. J.; Froment, M.; Bernard, M. C.; Cortès, R.; Tiwari, A. N.; Krejci, M.; Zogg, H.; Lincot, D.

    1998-09-01

    Epitaxial CdS thin films have been deposited from an aqueous ammonia solution containing cadmium ions and thiourea as precursors on single crystalline CuInSe 2 films prepared by MBE on Si(1 1 1) and GaAs(1 0 0) substrates. The structure and quality of the films were investigated by RHEED, glancing angle XRD and HRTEM in cross-section. The films are cubic on (1 0 0) substrates, and mixed cubic and hexagonal on (1 1 1) substrates due to the presence of stacking faults parallel to the substrate. The growth is under surface kinetic control with an activation energy of 85 kJ mol -1. Epitaxy improves with increasing temperature and an epitaxial transition temperature at approx. 60°C is demonstrated in the selected experimental conditions. The epitaxy is very sensitive to the preparation of the surface. Beneficial effects of in situ or ex situ chemical etching are found. Similarities between aqueous solution and vapor-phase chemical depositions are pointed out.

  7. Defects in mercury-cadmium telluride heteroepitaxial structures grown by molecular-beam epitaxy on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mynbaev, K. D., E-mail: mynkad@mail.ioffe.ru; Zablotsky, S. V.; Shilyaev, A. V.

    Defects in mercury-cadmium-telluride heteroepitaxial structures (with 0.3 to 0.4 molar fraction of cadmium telluride) grown by molecular-beam epitaxy on silicon substrates are studied. The low-temperature photoluminescence method reveals that there are comparatively deep levels with energies of 50 to 60 meV and shallower levels with energies of 20 to 30 meV in the band gap. Analysis of the temperature dependence of the minority carrier lifetime demonstrates that this lifetime is controlled by energy levels with an energy of ∼30 meV. The possible relationship between energy states and crystal-structure defects is discussed.

  8. Influence of growth temperature on laser molecular beam epitaxy and properties of GaN layers grown on c-plane sapphire

    NASA Astrophysics Data System (ADS)

    Dixit, Ripudaman; Tyagi, Prashant; Kushvaha, Sunil Singh; Chockalingam, Sreekumar; Yadav, Brajesh Singh; Sharma, Nita Dilawar; Kumar, M. Senthil

    2017-04-01

    We have investigated the influence of growth temperature on the in-plane strain, structural, optical and mechanical properties of heteroepitaxially grown GaN layers on sapphire (0001) substrate by laser molecular beam epitaxy (LMBE) technique in the temperature range 500-700 °C. The GaN epitaxial layers are found to have a large in-plane compressive stress of about 1 GPa for low growth temperatures but the strain drastically reduced in the layer grown at 700 °C. The nature of the in-plane strain has been analyzed using high resolution x-ray diffraction, atomic force microscopy (AFM), Raman spectroscopy and photoluminescence (PL) measurements. From AFM, a change in GaN growth mode from grain to island is observed at the high growth temperature above 600 °C. A blue shift of 20-30 meV in near band edge PL emission line has been noticed for the GaN layers containing the large in-plane strain. These observations indicate that the in-plane strain in the GaN layers is dominated by a biaxial strain. Using nanoindentation, it is found that the indentation hardness and Young's modulus of the GaN layers increases with increasing growth temperature. The results disclose the critical role of growth mode in determining the in-plane strain and mechanical properties of the GaN layers grown by LMBE technique.

  9. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  10. Effects of high source flow and high pumping speed on gas source molecular beam epitaxy / chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    McCollum, M. J.; Jackson, S. L.; Szafranek, I.; Stillman, G. E.

    1990-10-01

    We report the growth of GaAs by molecular beam epitaxy (MBE), gas source molecular beam epitaxy (GSMBE), and chemical beam epitaxy (CBE) in an epitaxial III-V reactor which features high pumping speed. The system is comprised of a modified Perkin-Elmer 430P molecular beam epitaxy system and a custom gas source panel from Emcore. The growth chamber is pumped with a 7000 1/s (He) diffusion pump (Varian VHS-10 with Monsanto Santovac 5 oil). The gas source panel includes pressure based flow controllers (MKS 1150) allowing triethylaluminum (TEA), triethylgallium (TEG), and trimethylindium (TMI) to be supplied without the use of hydrogen. All source lines, including arsine and phosphine, are maintained below atmospheric pressure. The high pumping speed allows total system flow rates as high as 100 SCCM and V/III ratios as high as 100. The purity of GaAs grown by MBE in this system increases with pumping speed. GaAs layers grown by GSMBE with arsine flows of 10 and 20 SCCM have electron concentrations of 1 × 10 15 cm -3 (μ 77=48,000 cm 2/V·) and 2 × 10 14 cm -3 (μ 77=78,000 cm 2/V·s) respectively. El ectron concentration varies with hydride injector temperature such that the minimum in electron concentration occurs for less than complete cracking. The effect of V/III ratio and the use of a metal eutectic bubbler on residual carrier concentration in GaAs grown by CBE is presented. Intentional Si and Be doping of CBE grown GaAs is demonstrated at a high growth rate of 5.4 μm/h.

  11. Vapor Phase Deposition Using Plasma Spray-PVD™

    NASA Astrophysics Data System (ADS)

    von Niessen, K.; Gindrat, M.; Refke, A.

    2010-01-01

    Plasma spray—physical vapor deposition (PS-PVD) is a low pressure plasma spray technology to deposit coatings out of the vapor phase. PS-PVD is a part of the family of new hybrid processes recently developed by Sulzer Metco AG (Switzerland) on the basis of the well-established low pressure plasma spraying (LPPS) technology. Included in this new process family are plasma spray—chemical vapor deposition (PS-CVD) and plasma spray—thin film (PS-TF) processes. In comparison to conventional vacuum plasma spraying and LPPS, these new processes use a high energy plasma gun operated at a work pressure below 2 mbar. This leads to unconventional plasma jet characteristics which can be used to obtain specific and unique coatings. An important new feature of PS-PVD is the possibility to deposit a coating not only by melting the feed stock material which builds up a layer from liquid splats, but also by vaporizing the injected material. Therefore, the PS-PVD process fills the gap between the conventional PVD technologies and standard thermal spray processes. The possibility to vaporize feedstock material and to produce layers out of the vapor phase results in new and unique coating microstructures. The properties of such coatings are superior to those of thermal spray and EB-PVD coatings. This paper reports on the progress made at Sulzer Metco to develop functional coatings build up from vapor phase of oxide ceramics and metals.

  12. A comparison between protein crystals grown with vapor diffusion methods in microgravity and protein crystals using a gel liquid-liquid diffusion ground-based method

    NASA Technical Reports Server (NTRS)

    Miller, Teresa Y.; He, Xiao-Min; Carter, Daniel C.

    1992-01-01

    Crystals of human serum albumin have been successfully grown in a variety of gels using crystallization conditions otherwise equivalent to those utilized in the popular hanging-drop vapor-equilibrium method. Preliminary comparisons of gel grown crystals with crystals grown by the vapor diffusion method via both ground-based and microgravity methods indicate that crystals superior in size and quality may be grown by limiting solutal convection. Preliminary X-ray diffraction statistics are presented.

  13. Sn - Induced decomposition of SiGeSn alloys grown on Si by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Talochkin, A. B.; Timofeev, V. A.; Gutakovskii, A. K.; Mashanov, V. I.

    2017-11-01

    Structural features of Si1-x-yGexSny alloy layers grown on Si by molecular-beam epitaxy are studied. These layers with the thickness of 2.0 nm, the nominal Ge composition of x0 ≈ 0.3, and the Sn-content of y ≈ 2-6 at.% have been grown at low temperatures (100-150 °C). We have used high-resolution transmission electron microscopy to analyze atomic structure of grown layers and Raman spectroscopy to evaluate the real Ge-content x from the observed optical phonon frequencies. It is found that the x value coincides with the nominal one at low Sn-content (2-3 at.%), and when it is increased (y ≥ 5 at.%), the decomposition of alloys into two fractions occurs. One of them is enriched by Ge with x up to 0.6 and the other fraction is Si-enriched. It is shown that the observed decomposition is Sn-induced and related to increase in Ge adatoms mobility in the growth process. This mechanism is similar to that theoretically predicted by Venezuela and Tersoff (Phys. Rev. 58, 10871 (1998)) for the case of high growth temperature.

  14. Mg incorporation in GaN grown by plasma-assisted molecular beam epitaxy at high temperatures

    NASA Astrophysics Data System (ADS)

    Yang, W. C.; Lee, P. Y.; Tseng, H. Y.; Lin, C. W.; Tseng, Y. T.; Cheng, K. Y.

    2016-04-01

    The influence of growth conditions on the incorporation and activation of Mg in GaN grown by plasma-assisted molecular beam epitaxy at high growth temperature (>700 °C) is presented. It is found that the highest Mg incorporation with optimized electrical properties is highly sensitive both to the Mg/Ga flux ratio and III/V flux ratio. A maximum Mg activation of ~5% can be achieved at a growth temperature of 750 °C. The lowest resistivity achieved is 0.56 Ω-cm which is associated with a high hole mobility of 6.42 cm2/V-s and a moderately high hole concentration of 1.7×1018 cm-3. Although the highest hole concentration achieved in a sample grown under a low III/V flux ratio and a high Mg/Ga flux ratio reaches 7.5×1018 cm-3, the mobility is suffered due to the formation of defects by the excess Mg. In addition, we show that modulated beam growth methods do not enhance Mg incorporation at high growth temperature in contrast to those grown at a low temperature of 500 °C (Appl. Phys. Lett. 93, 172112, Namkoong et al., 2008 [19]).

  15. Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.

    PubMed

    Wilson, M; Barrientos-Palomo, S N; Stevens, P C; Mitchell, N L; Oswald, G; Nagaraja, C M; Badyal, J P S

    2018-01-31

    Plasmachemical deposition is a substrate-independent method for the conformal surface functionalization of solid substrates. Structurally well-defined pulsed plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal-organic frameworks (MOFs) at room temperature. For the case of microporous [Zn (benzene-1,4-dicarboxylate)-(4,4'-bipyridine) 0.5 ] (MOF-508), the MOF-508a polymorph containing two interpenetrating crystal lattice frameworks undergoes orientated Volmer-Weber growth and displays CO 2 gas capture behavior at atmospheric concentrations in proportion to the number of epitaxially grown MOF-508 layers.

  16. Investigation of the asymmetric misfit dislocation morphology in epitaxial layers with the zinc-blende structure

    NASA Technical Reports Server (NTRS)

    Fox, Bradley A.; Jesser, William A.

    1990-01-01

    The source of the asymmetry in the dislocation morphology exhibited in the epitaxial growth of compound semiconductors on (100) was investigated. A thickness wedge of p- and n-type GaAs(0.95)P(0.05) was grown on GaAs by metalorganic chemical vapor deposition, and the effect of misorientation on the resolved shear stress for each slip system was calculated and eliminated as the source of the asymmetry. Another potential source of asymmetry, the thickness gradient, was also eliminated. Results show that the substrate misorientation and the thickness gradient do not significantly contribute to the asymmetry and that the dominant contributor to the asymmetry of misfit dislocations in the (001) epitaxial interface can be attributed to the differences in the Peierls barriers between the two types of dilocations in GaAsP/GaAs.

  17. High power ultraviolet light emitting diodes based on GaN /AlGaN quantum wells produced by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-11-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN /AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN /AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800×800μm2) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340nm, the measured differential on-series resistance is 3Ω with electroluminescence spectrum full width at half maximum of 18nm. The output power under dc bias saturates at 0.5mW, while under pulsed operation it saturates at approximately 700mA to a value of 3mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350nm were investigated under dc operation and the output power saturates at 4.5mW under 200mA drive current.

  18. Improvement of the Processes of Liquid-Phase Epitaxial Growth of Nanoheteroepitaxial Structures

    NASA Astrophysics Data System (ADS)

    Maronchuk, I. I.; Sanikovich, D. D.; Potapkov, P. V.; Vel‧chenko, A. A.

    2018-05-01

    We have revealed the shortcomings of equipment and technological approaches in growing nanoheteroepitaxial structures with quantum dots by liquid-phase epitaxy. We have developed and fabricated a new vertical barreltype cassette for growing quantum dots and epitaxial layers of various thicknesses in one technological process. A physico-mathematical simulation has been carried out of the processes of liquid-phase epitaxial growth of quantumdimensional structures with the use of the program product SolidWorks (FlowSimulation program). Analysis has revealed the presence of negative factors influencing the growth process of the above structures. The mathematical model has been optimized, and the equipment has been modernized without additional experiments and measurements. The flow dynamics of the process gas in the reactor at various flow rates has been investigated. A method for tuning the thermal equipment has been developed. The calculated and experimental temperature distributions in the process of growing structures with high reproducibility are in good agreement, which confirms the validity of the modernization made.

  19. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  20. Internal stress-assisted epitaxial lift-off process for flexible thin film (In)GaAs solar cells on metal foil

    NASA Astrophysics Data System (ADS)

    Kim, Youngjo; Kim, Kangho; Jung, Sang Hyun; Kim, Chang Zoo; Shin, Hyun-Beom; Choi, JeHyuk; Kang, Ho Kwan

    2017-12-01

    Flexible thin film (In)GaAs solar cells are grown by metalorganic chemical vapor deposition on GaAs substrates and transferred to 30 μm thick Au foil by internal stress-assisted epitaxial lift-off processes. The internal stress is induced by replacing the solar cell epi-layers from GaAs to In0.015Ga0.985As, which has a slightly larger lattice constant. The compressive strained layer thickness was varied from 0 to 4.5 μm to investigate the influence of the internal stress on the epitaxial lift-off time. The etching time in the epitaxial lift-off process was reduced from 36 to 4 h by employing a GaAs/In0.015Ga0.985As heterojunction structure that has a compressive film stress of -59.0 MPa. We found that the partially strained epi-structure contributed to the much faster lateral etching rate with spontaneous bending. Although an efficiency degradation problem occurred in the strained solar cell, it was solved by optimizing the epitaxial growth conditions.

  1. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  2. A proposal for epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin film epitaxial growth. The unique low earth orbit space environment is expected to yield 10 to the -14th torr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and the throughput of epitaxially grown materials. Advanced thin film materials to be epitaxially grown in space include semiconductors, magnetic materials, and thin film high temperature superconductors.

  3. Si impurity concentration in nominally undoped Al0.7Ga0.3N grown in a planetary MOVPE reactor

    NASA Astrophysics Data System (ADS)

    Jeschke, J.; Knauer, A.; Weyers, M.

    2018-02-01

    The unintentional silicon incorporation during the metalorganic vapor phase epitaxy (MOVPE) of nominally undoped Al0.7Ga0.3N in a Planetary Reactor under various growth conditions was investigated. Dependent on growth temperature, pressure and V/III ratio, Si concentrations of below 1 × 1016 up to 4 × 1017 cm-3 were measured. Potential Si sources are discussed and, by comparing samples grown in a SiC coated reactor setup and in a TaC coated setup, the SiC coatings in the reactor are identified as the most likely source for the unintentional Si doping at elevated temperatures above 1080 °C. Under identical growth conditions the background Si concentration can be reduced by up to an order of magnitude when using TaC coatings.

  4. Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications

    PubMed Central

    2013-01-01

    GaN wires are grown on a Si (111) substrate by metal organic vapour-phase epitaxy on a thin deposited AlN blanket and through a thin SiNx layer formed spontaneously at the AlN/Si interface. N-doped wires are used as templates for the growth of core-shell InGaN/GaN multiple quantum wells coated by a p-doped shell. Standing single-wire heterostructures are connected using a metallic tip and a Si substrate backside contact, and the electroluminescence at room temperature and forward bias is demonstrated at 420 nm. This result points out the feasibility of lower cost nitride-based wires for light-emitting diode applications. PMID:23391377

  5. Microneedle crystals of cyano-substituted thiophene/phenylene co-oligomer epitaxially grown on KCl surface

    NASA Astrophysics Data System (ADS)

    Torii, Kazuki; Dokiya, Shohei; Tanaka, Yosuke; Yoshinaga, Shohei; Yanagi, Hisao

    2017-06-01

    A cyno-substituted thiophene/phenylene co-oligomer (TPCO), 5,5‧-bis(4‧-cyanobiphenyl-4-yl)-2,2‧-bithiophene (BP2T-CN), is vapor-deposited on KCl (001) surface kept at 220 °C by the mask-shadowing method. Transmission electron microscopy and fluorescence microscopy reveal that the deposited BP2T-CN crystallizes in two types of morphologies: microneedles and thin film crystallites. In particular, the predominant microneedles epitaxially grow in four directions in the manner that the BP2T-CN molecules align along the [110]KCl or [-110]KCl. X-ray diffraction patterns indicate that the BP2T-CN molecules in the microneedle lie parallel while those in the thin film crystallite obliquely stand on the KCl surface.

  6. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  7. Exposing high-energy surfaces by rapid-anneal solid phase epitaxy

    DOE PAGES

    Wang, Y.; Song, Y.; Peng, R.; ...

    2017-08-08

    The functional design of nanoscale transition metal oxide heterostructures depends critically on the growth of atomically flat epitaxial thin films. Much of the time, improved functionality is expected for heterostructures and surfaces with orientations that do not have the lowest surface free energy. For example, crystal faces with a high surface free energy, such as rutile (001) planes, frequently exhibit higher catalytic activities but are correspondingly harder to synthesize due to energy-lowering faceting transitions. We propose a broadly applicable rapid-anneal solid phase epitaxial synthesis approach for the creation of atomically flat, high surface free energy oxide heterostructures. We also demonstratemore » its efficacy via the synthesis of atomically flat, epitaxial RuO 2(001) films with a superior oxygen evolution activity, quantified by their lower onset potential and higher current density, relative to that of more common RuO 2(110) films.« less

  8. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  9. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibitsmore » a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.« less

  10. Epitaxial Zn quantum dots coherently grown on Si(1 1 1): growth mechanism, nonlinear optical and chemical states analyses

    NASA Astrophysics Data System (ADS)

    Huang, Bo-Jia; Kao, Li-Chi; Brahma, Sanjaya; Jeng, Yu-En; Chiu, Shang-Jui; Ku, Ching-Shun; Lo, Kuang-Yao

    2017-05-01

    Oxide- and defect-free metal/semiconductor interface is important to improve Ohmic contact for the suppression of electron scattering and the avoidance of an extrinsic surface state in estimating the barrier of the Schottky contact at the nanodevice interface. This study reports the growth mechanism of Zn quantum dots coherently grown on Si(1 1 1) and the physical phenomena of the crystalline, nonlinear optics, and the chemical states of Zn quantum dots. Epitaxial Zn quantum dots were coherently formed on a non-oxide Si(1 1 1) surface through the liquid- to solid-phase transformation as a result of pattern matching between the Zn(0 0 2) and Si(1 1 1) surfaces. The growth mechanism of constrained Zn quantum dots grown through strategic magnetron radio frequency sputtering is complex. Some factors, such as substrate temperature, hydrogen gas flow, and negative DC bias, influence the configuration of epitaxial Zn quantum dots. In particular, hydrogen gas plays an important role in reducing the ZnO+ and native oxide that is bombarded by accelerated ions, thereby enhancing the Zn ion surface diffusion. The reduction reaction can be inspected by distinguishing the chemical states of ZnO/Zn quantum dots from natural oxidation or the states of Zn 3d through the analysis of x-ray absorption near the edge structure spectrum. The complex growth mechanism can be systematically understood by analyzing a noncancelled anisotropic 3 m dipole from reflective second harmonic generation and inspecting the evolution between the Zn(0 0 2) and Zn(1 1 1) peaks of the collective ZnO/Zn quantum dots in synchrotron XRD.

  11. Visible-light vertical-cavity surface-emitting lasers grown by solid-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Saarinen, Mika J.; Xiang, Ning; Dumitrescu, Mihail M.; Vilokkinen, Ville; Melanen, Petri; Orsila, Seppo; Uusimaa, Petteri; Savolainen, Pekka; Pessa, Markus

    2001-05-01

    Visible vertical-cavity surface-emitting lasers (VCSELs) are potential light sources for polymer optical fibre (POF) data transmission systems. Minimum attenuation of light in standard PMMA-POFs occurs at about 650 nm. For POFs of a few tens of meters in length VCSELs at slightly longer wavelengths (670 - 690 nm) are also acceptable. So far, the visible VCSELs have been grown by metal organic chemical vapour deposition (MOCVD). They may also be grown by a novel variant of molecular beam epitaxy (MBE), a so-called all-solid-source MBE or SSMBE. In this paper, we describe growth of the first visible-light VCSELs by SSMBE and present the main results obtained. In particular, we have achieved lasing action at a sub-milliamp cw drive current for a VCSEL having the emission window of 8um in diameter, while a 10um device exhibited an external quantum efficiency of 6.65% in CW operation at room temperature. The lasing action up to temperature of 45°C has been demonstrated.

  12. Polytype Stability and Microstructural Characterization of Silicon Carbide Epitaxial Films Grown on [ {11}overline{{2}} {0} ]- and [0001]-Oriented Silicon Carbide Substrates

    NASA Astrophysics Data System (ADS)

    Bishop, S. M.; Reynolds, C. L.; Liliental-Weber, Z.; Uprety, Y.; Zhu, J.; Wang, D.; Park, M.; Molstad, J. C.; Barnhardt, D. E.; Shrivastava, A.; Sudarshan, T. S.; Davis, R. F.

    2007-04-01

    The polytype and surface and defect microstructure of epitaxial layers grown on 4H( {11}overline{{2}} {0} ), 4H(0001) on-axis, 4H(0001) 8° off-axis, and 6H(0001) on-axis substrates have been investigated. High-resolution x-ray diffraction (XRD) revealed the epitaxial layers on 4H( {11}overline{{2}} {0} ) and 4H(0001) 8° off-axis to have the 4H-SiC (silicon carbide) polytype, while the 3C-SiC polytype was identified for epitaxial layers on 4H(0001) and 6H(0001) on-axis substrates. Cathodoluminescence (CL), Raman spectroscopy, and transmission electron microscopy (TEM) confirmed these results. The epitaxial surface of 4H( {11}overline{{2}} {0} ) films was specular with a roughness of 0.16-nm root-mean-square (RMS), in contrast to the surfaces of the other epitaxial layer-substrate orientations, which contained curvilinear boundaries, growth pits (˜3 × 104 cm-2), triangular defects >100 μm, and significant step bunching. Molten KOH etching revealed large defect densities within 4H( {11}overline{{2}} {0} ) films that decreased with film thickness to ˜106 cm-2 at 2.5 μm, while cross-sectional TEM studies showed areas free of defects and an indistinguishable film-substrate interface for 4H( {11}overline{{2}} {0} ) epitaxial layers.

  13. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  14. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  15. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Two-stage liquid phase epitaxy for fabrication of buried InGaAsP/InP heterostructures

    NASA Astrophysics Data System (ADS)

    Procházková, O.; Novotný, J.; Šrobár, F.

    1988-11-01

    The technology of growth of buried heterojunction lasers emitting at 1.3 μm and some of their physical properties are described. Mesa stripes 8-μm wide were formed on heteroepitaxial wafers grown by liquid phase epitaxy at 630°C. They were buried by a second process at a lower temperature (590°C). The threshold current was about 100 mA and the temperature sensitivity was characterized by a parameter amounting to about 60 K. Single-mode lasing was observed occasionally.

  16. Epitaxial growth of iridate pyrochlore Nd 2Ir 2O 7 films

    DOE PAGES

    Gallagher, J. C.; Esser, B. D.; Morrow, R.; ...

    2016-02-29

    Epitaxial films of the pyrochlore Nd 2Ir 2O 7 have been grown on (111)-oriented yttria-stabilized zirconia (YSZ) substrates by off-axis sputtering followed by post-growth annealing. X-ray diffraction (XRD) results demonstrate phase-pure epitaxial growth of the pyrochlore films on YSZ. Scanning transmission electron microscopy (STEM) investigation of an Nd 2Ir 2O 7 film with a short post-annealing provides insight into the mechanism for crystallization of Nd 2Ir 2O 7 during the post-annealing process. STEM images reveal clear pyrochlore ordering of Nd and Ir in the films. As a result, the epitaxial relationship between the YSZ and Nd 2Ir 2O 7 ismore » observed clearly while some interfacial regions show a thin region with polycrystalline Ir nanocrystals.« less

  17. Sharp chemical interface in epitaxial Fe{sub 3}O{sub 4} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gálvez, S.; Rubio-Zuazo, J., E-mail: rubio@esrf.fr; Salas-Colera, E.

    Chemically sharp interface was obtained on single phase single oriented Fe{sub 3}O{sub 4} (001) thin film (7 nm) grown on NiO (001) substrate using oxygen assisted molecular beam epitaxy. Refinement of the atomic structure, stoichiometry, and oxygen vacancies were determined by soft and hard x-ray photoelectron spectroscopy, low energy electron diffraction and synchrotron based X-ray reflectivity, and X-ray diffraction. Our results demonstrate an epitaxial growth of the magnetite layer, perfect iron stoichiometry, absence of oxygen vacancies, and the existence of an intermixing free interface. Consistent magnetic and electrical characterizations are also shown.

  18. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Wei, Hongling; Chen, Zhengwei; Wu, Zhenping; Cui, Wei; Huang, Yuanqi; Tang, Weihua

    2017-11-01

    Ga2O3 with a wide bandgap of ˜ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It's also demonstrated that the CuGa2O4 film has a bandgap of ˜ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  19. Reduction of threading dislocation density in SiGe epilayer on Si (0 0 1) by lateral growth liquid-phase epitaxy

    NASA Astrophysics Data System (ADS)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel J.

    2018-02-01

    Si0.973Ge0.027 epilayers were grown on a Si (0 0 1) substrate by a lateral liquid-phase epitaxy (LLPE) technique. The lateral growth mechanism favoured the glide of misfit dislocations and inhibited the nucleation of new dislocations by maintaining the thickness less than the critical thicknesses for dislocation nucleation and greater than the critical thickness for glide. This promoted the formation of an array of long misfit dislocations parallel to the [1 1 0] growth direction and reduced the threading dislocation density to 103 cm-2, two orders of magnitude lower than the seed area with an isotropic misfit dislocation network.

  20. Growth and properties of amorphous silicon films grown using pulsed-flow reactive plasma beam epitaxy

    NASA Technical Reports Server (NTRS)

    Dalal, Vikram L.; Knox, Ralph; Kandalaft, Nabeeh; Baldwin, Greg

    1991-01-01

    The growth and properties of a-Si:H films grown using a novel deposition technique, reactive plasma beam epitaxy, are discussed. In this technique, a remote H plasma produced in a microwave-ECR reactor is used to grow a-Si:H films at low pressures. The H ions react with SiH4 introduced near the substrate to produce the film. The flow of SiH4 is pulsed on or off, thereby achieving in-situ annealing of the film during growth by H ions and radicals. The films produced by this technique appear to have good electronic quality, and are more stable than the standard glow discharge films.

  1. Two-dimensional antimonene single crystals grown by van der Waals epitaxy.

    PubMed

    Ji, Jianping; Song, Xiufeng; Liu, Jizi; Yan, Zhong; Huo, Chengxue; Zhang, Shengli; Su, Meng; Liao, Lei; Wang, Wenhui; Ni, Zhenhua; Hao, Yufeng; Zeng, Haibo

    2016-11-15

    Unlike the unstable black phosphorous, another two-dimensional group-VA material, antimonene, was recently predicted to exhibit good stability and remarkable physical properties. However, the synthesis of high-quality monolayer or few-layer antimonenes, sparsely reported, has greatly hindered the development of this new field. Here, we report the van der Waals epitaxy growth of few-layer antimonene monocrystalline polygons, their atomical microstructure and stability in ambient condition. The high-quality, few-layer antimonene monocrystalline polygons can be synthesized on various substrates, including flexible ones, via van der Waals epitaxy growth. Raman spectroscopy and transmission electron microscopy reveal that the obtained antimonene polygons have buckled rhombohedral atomic structure, consistent with the theoretically predicted most stable β-phase allotrope. The very high stability of antimonenes was observed after aging in air for 30 days. First-principle and molecular dynamics simulation results confirmed that compared with phosphorene, antimonene is less likely to be oxidized and possesses higher thermodynamic stability in oxygen atmosphere at room temperature. Moreover, antimonene polygons show high electrical conductivity up to 10 4  S m -1 and good optical transparency in the visible light range, promising in transparent conductive electrode applications.

  2. Two-dimensional antimonene single crystals grown by van der Waals epitaxy

    PubMed Central

    Ji, Jianping; Song, Xiufeng; Liu, Jizi; Yan, Zhong; Huo, Chengxue; Zhang, Shengli; Su, Meng; Liao, Lei; Wang, Wenhui; Ni, Zhenhua; Hao, Yufeng; Zeng, Haibo

    2016-01-01

    Unlike the unstable black phosphorous, another two-dimensional group-VA material, antimonene, was recently predicted to exhibit good stability and remarkable physical properties. However, the synthesis of high-quality monolayer or few-layer antimonenes, sparsely reported, has greatly hindered the development of this new field. Here, we report the van der Waals epitaxy growth of few-layer antimonene monocrystalline polygons, their atomical microstructure and stability in ambient condition. The high-quality, few-layer antimonene monocrystalline polygons can be synthesized on various substrates, including flexible ones, via van der Waals epitaxy growth. Raman spectroscopy and transmission electron microscopy reveal that the obtained antimonene polygons have buckled rhombohedral atomic structure, consistent with the theoretically predicted most stable β-phase allotrope. The very high stability of antimonenes was observed after aging in air for 30 days. First-principle and molecular dynamics simulation results confirmed that compared with phosphorene, antimonene is less likely to be oxidized and possesses higher thermodynamic stability in oxygen atmosphere at room temperature. Moreover, antimonene polygons show high electrical conductivity up to 104 S m−1 and good optical transparency in the visible light range, promising in transparent conductive electrode applications. PMID:27845327

  3. Behavior and impact of sulfur incorporation in Zinc Oxysulfide alloy grown by metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ma, Jingrui; Tang, Kun; Mao, Haoyuan; Ye, Jiandong; Zhu, Shunming; Xu, Zhonghua; Yao, Zhengrong; Gu, Shulin; Zheng, Youdou

    2018-03-01

    Highly mismatched ZnO1-xSx:N alloy films with various x were deposited on c-plane sapphire substrates by a near-equilibrium method, metal-organic chemical vapor deposition. The sulfur concentration in the films could be tuned by changing the flow rate of H2S during the growth process. The films that could maintain single phase have an upper limit for x ∼ 0.15, which is smaller than the x values obtained from other non-equilibrium-grown samples (x ∼ 0.23). When x > 0.15, phases other than the wurtzite ZnO (W-ZnO) one appeared. Those phases were ascribed to the sulfur-diluted W-ZnO like phase, low x W-ZnO like phase, and high x W-ZnS like phase. The S contents in different phase has been determined by using Vegard's law and the X-ray photoelectron spectroscopy. Meanwhile, the compositional dependence of the bandgap energy in the ZnO1-xSx alloyed material has been investigated and studied comparing with other reported results. The dispersed bowing parameter b and the mechanism of the phase separation in samples grown by both the near-equilibrium method and the non-equilibrium one have also been discussed based on the difference of the atomic radius and electronegativity of the oxygen and sulfur atoms. Furthermore, the Raman and photoluminescence spectra have shown that the sulfur incorporation may suppress zinc interstitials related defects, while the oxygen vacancies related defects may be easily formed at the same time. These results indicate that ZnO1-xSx films could be beneficial to the realization of p-type doping in ZnO, although no obvious p-type characteristic has been attained in the work yet.

  4. Epitaxial solar cells fabrication

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1975-01-01

    Silicon epitaxy has been studied for the fabrication of solar cell structures, with the intent of optimizing efficiency while maintaining suitability for space applications. SiH2CL2 yielded good quality layers and junctions with reproducible impurity profiles. Diode characteristics and lifetimes in the epitaxial layers were investigated as a function of epitaxial growth conditions and doping profile, as was the effect of substrates and epitaxial post-gettering on lifetime. The pyrolytic decomposition of SiH4 was also used in the epitaxial formation of highly doped junction layers on bulk Si wafers. The effects of junction layer thickness and bulk background doping level on cell performance, in particular, open-circuit voltage, were investigated. The most successful solar cells were fabricated with SiH2 CL2 to grow p/n layers on n(+) substrates. The best performance was obtained from a p(+)/p/n/n(+) structure grown with an exponential grade in the n-base layer.

  5. Epitaxial growth and characterization of Si/NiSi 2/Si(111) heterostructures

    NASA Astrophysics Data System (ADS)

    Rizzi, Angela; Förster, A.; Lüth, H.; Slijkerman, W.

    1989-04-01

    Si/NiSi 2/Si(111) heterostructures are grown under UHV conditions. The well known "template" method is used to produce the epitaxial NiSi 2 interlayer. On top of the suicide, the silicon epitaxial growth is obtained by means of gas phase reaction of SiH 4 at a surface temperature of 500° C. The Si growth rate is strongly enhanced by predissociation of SiH 4 using a hot tungsten filament in the vicinity of the surface. The single steps of the growth are followed in-situ by means of AES, HREELS and LEED analysis. Ex-situ high resolution RBS analysis is also applied for characterization.

  6. High internal quantum efficiency ultraviolet to green luminescence peaks from pseudomorphic m-plane Al{sub 1−x}In{sub x}N epilayers grown on a low defect density m-plane freestanding GaN substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chichibu, S. F., E-mail: chichibulab@yahoo.co.jp; Hazu, K.; Furusawa, K.

    2014-12-07

    Structural and optical qualities of half-a-μm-thick m-plane Al{sub 1−x}In{sub x}N epilayers grown by metalorganic vapor phase epitaxy were remarkably improved via coherent growth on a low defect density m-plane freestanding GaN substrate prepared by hydride vapor phase epitaxy. All the epilayers unexceptionally suffer from uniaxial or biaxial anisotropic in-plane stress. However, full-width at half-maximum values of the x-ray ω-rocking curves were nearly unchanged as the underlayer values being 80 ∼ 150 arc sec for (101{sup ¯}0) and (101{sup ¯}2) diffractions with both 〈0001〉 and 〈112{sup ¯}0〉 azimuths, as long as pseudomorphic structure was maintained. Such Al{sub 1−x}In{sub x}N epilayers commonly exhibited a broadmore » but predominant luminescence peak in ultraviolet (x ≤ 0.14) to green (x = 0.30) wavelengths. Its equivalent value of the internal quantum efficiency at room temperature was as high as 67% for x = 0.14 and 44% for x = 0.30. Because its high-energy cutoff commonly converged with the bandgap energy, the emission peak is assigned to originate from the extended near-band-edge states with strong carrier localization.« less

  7. IV-VI compound midinfrared high-reflectivity mirrors and vertical-cavity surface-emitting lasers grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Shi, Z.; Xu, G.; McCann, P. J.; Fang, X. M.; Dai, N.; Felix, C. L.; Bewley, W. W.; Vurgaftman, I.; Meyer, J. R.

    2000-06-01

    Midinfrared broadband high-reflectivity Pb1-xSrxSe/BaF2 distributed Bragg reflectors and vertical-cavity surface-emitting lasers (VCSELs) with PbSe as the active material were grown by molecular-beam epitaxy. Because of an extremely high index contrast, mirrors with only three quarter-wave layer pairs had reflectivities exceeding 99%. For pulsed optical pumping, a lead salt VCSEL emitting at the cavity wavelength of 4.5-4.6 μm operated nearly to room temperature (289 K).

  8. Spin Polarization of Alternate Monatomic Epitaxial [Fe/Co]n Superlattice

    NASA Astrophysics Data System (ADS)

    Chu, In Chang; Doi, Masaaki; Sahashi, Masashi; Rajanikanth, Ammanabrolu; Takahashi, Yukiko; Hono, Kazuhiro

    2012-09-01

    The spin polarization (P) of alternate monatomic layered (AML) epitaxial [Fe/Co]n superlattices grown on MgO(001) substrates by electron beam (EB) evaporation has been measured by the point contact Andreev reflection (PCAR) method. The intrinsic transport P of 0.60 was obtained for the AML epitaxial [Fe/Co]n superlattice grown at 75 °C, which is comparable to that of half-metallic Heusler alloys measured by PCAR. The AML epitaxial [Fe/Co]n superlattices on MgO(001), which are expected to possess the B2 ordered structure, show the highest spin polarization of metallic Fe-Co alloy films.

  9. Electron field emission from phase pure nanotube films grown in a methane/hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Küttel, Olivier M.; Groening, Oliver; Emmenegger, Christoph; Schlapbach, Louis

    1998-10-01

    Phase pure nanotube films were grown on silicon substrates by a microwave plasma under conditions which normally are used for the growth of chemical vapor deposited diamond films. However, instead of using any pretreatment leading to diamond nucleation we deposited metal clusters on the silicon substrate. The resulting films contain only nanotubes and also onion-like structures. However, no other carbon allotropes like graphite or amorphous clustered material could be found. The nanotubes adhere very well to the substrates and do not need any further purification step. Electron field emission was observed at fields above 1.5 V/μm and we observed an emission site density up to 104/cm2 at 3 V/μm. Alternatively, we have grown nanotube films by the hot filament technique, which allows to uniformly cover a two inch wafer.

  10. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grownmore » with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.« less

  11. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  12. Doping of free-standing zinc-blende GaN layers grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Novikov, S. V.; Powell, R. E. L.; Staddon, C. R.; Kent, A. J.; Foxon, C. T.

    2014-10-01

    Currently there is high level of interest in developing of vertical device structures based on the group III nitrides. We have studied n- and p-doping of free-standing zinc-blende GaN grown by plasma-assisted molecular beam epitaxy (PA-MBE). Si was used as the n-dopant and Mg as the p-dopant for zinc-blende GaN. Controllable levels of doping with Si and Mg in free-standing zinc-blende GaN have been achieved by PA-MBE. The Si and Mg doping depth uniformity through the zinc-blende GaN layers have been confirmed by secondary ion mass spectrometry (SIMS). Controllable Si and Mg doping makes PA-MBE a promising method for the growth of conducting group III-nitrides bulk crystals.

  13. Group-III nitride VCSEL structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ng, HockMin; Moustakas, Theodore D.

    2000-07-01

    III-nitride VCSEL structures designed for electron-beam pumping have been grown by molecular beam epitaxy (MBE). The structures consist of a sapphire substrate on which an AlN/GaN distributed Bragg reflector (DBR) with peak reflectance >99% at 402 nm is deposited. The active region consists of a 2-(lambda) cavity with 25 In0.1Ga0.9N/GaN multiquantum wells (MQWs) whose emission coincides with the high reflectance region of the DBR. The thicknesses of the InGaN wells and the GaN barriers are 35 angstrom and 75 angstrom respectively. The top reflector consists of a silver metallic mirror which prevents charging effects during electron-beam pumping. The structure was pumped from the top- side with a cw electron-beam using a modified cathodoluminescence (CL) system mounted on a scanning electron microscope chamber. Light output was collected from the polished sapphire substrate side. Measurements performed at 100 K showed intense emission at 407 nm with narrowing of the linewidth with increasing beam current. A narrow emission linewidth of 0.7 nm was observed indicating the onset of stimulated emission.

  14. Synthesis and Characterization of Quenched and Crystalline Phases: Q-Carbon, Q-BN, Diamond and Phase-Pure c-BN

    NASA Astrophysics Data System (ADS)

    Bhaumik, Anagh; Narayan, Jagdish

    2018-04-01

    We report the synthesis and characterization of quenched (Q-carbon and Q-BN) and crystalline (diamond and c-BN) phases using a non-equilibrium technique. These phases are formed as a result of the melting and subsequent quenching of amorphous carbon and nanocrystalline h-BN in a super undercooled state by using high-power nanosecond laser pulses. Pulsed laser annealing also leads to the formation of nanoneedles, microneedles and single-crystal thin films of diamond and c-BN. This formation is dependent on the nucleation and growth times, which are controlled by laser energy density and thermal conductivities of substrate and as-deposited thin film. The diamond nuclei present in the Q-carbon structure ( 80% sp 3) can also be grown to larger sizes using the equilibrium hot filament chemical vapor deposition process. The texture of diamond and c-BN crystals is <111> under epitaxial growth and <110> under rapid unseeded crystallization. Our nanosecond laser processing opens up a roadmap to the fabrication of novel phases on heat-sensitive substrates.

  15. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  16. Comparative study on the roles of anisotropic epitaxial strain and chemical doping in inducing the antiferromagnetic insulator phase in manganite films

    NASA Astrophysics Data System (ADS)

    Jin, Feng; Feng, Qiyuan; Guo, Zhuang; Lan, Da; Wang, Lingfei; Gao, Guanyin; Xu, Haoran; Chen, Binbin; Chen, Feng; Lu, Qingyou; Wu, Wenbin

    2017-11-01

    Epitaxial strain and chemical doping are two different methods that are commonly used to tune the physical properties of epitaxial perovskite oxide films, but their cooperative effects are less addressed. Here we try to tune the phase separation (PS) in (La1-xP rx) 2 /3C a1 /3Mn O3 (0 ≤x ≤0.4 , LPCMO) films via cooperatively controlling the anisotropic epitaxial strain (AES) and the Pr doping. These films are grown simultaneously on NdGa O3(110 ) ,(LaAlO3) 0.3(SrAl0.5Ta0.5O3 ) 0.7(001 ) , and NdGa O3(001 ) substrates with progressively increased in-plane AES, and probed by x-ray diffraction, magnetotransport, and magnetic force microscopy (MFM) measurements. Although it is known that for x =0 the AES can enhance the orthorhombicity of the films yielding a phase diagram with the antiferromagnetic charge-ordered insulator (AF-COI) state induced, which is quite different from the bulk one, we illustrate that the Pr doping can further drive the films towards a more robust COI state. This cooperative effect is reflected by the increasing magnetic fields needed to melt the COI phase as a function of AES and the doping level. More strikingly, by directly imaging the phase competition morphology of the LPCMO /NdGa O3(001 ) films via MFM, we find that during COI melting the PS domain structure is subject to both AES and the quenched disorder. However, in the reverse process, as the magnetic field is decreased, the COI phase reappears and the AES dominates leaving a crystalline-orientation determined self-organized microstructure. This finding suggests that the PS states and the domain configurations can be selectively controlled by the AES and/or the quenched disorder, which may shed some light on the engineering of PS domains for device fabrications.

  17. Depositing spacing layers on magnetic film with liquid phase epitaxy

    NASA Technical Reports Server (NTRS)

    Moody, J. W.; Shaw, R. W.; Sanfort, R. M.

    1975-01-01

    Liquid phase epitaxy spacing layer is compatible with systems which are hard-bubble proofed by use of second magnetic garnet film as capping layer. Composite is superior in that: circuit fabrication time is reduced; adherence is superior; visibility is better; and, good match of thermal expansion coefficients is provided.

  18. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    NASA Astrophysics Data System (ADS)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  19. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition.

    PubMed

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O; Taylor, Aidan; Isaac, Brandon; Bowers, John E; Klamkin, Jonathan

    2018-02-26

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO₂) stripes and oriented along the [110] direction. Undercut at the Si/SiO₂ interface was used to reduce the propagation of defects into the III-V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 10⁸/cm² and 1.2 nm; respectively and 7.8 × 10⁷/cm² and 10.8 nm for the GaAs-on-Si layer.

  20. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001) Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    PubMed Central

    Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O.; Taylor, Aidan; Isaac, Brandon; Klamkin, Jonathan

    2018-01-01

    We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2) stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer. PMID:29495381

  1. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  2. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  3. Defect related electrical and optical properties of AlN bulk crystals grown by physical vapor transport

    NASA Astrophysics Data System (ADS)

    Irmscher, Klaus

    AlN crystallizes thermodynamically stable in the wurtzite structure and possesses a direct band gap of about 6 eV. It is the ideal substrate for the epitaxial growth of Al-rich AlxGa1-xN films that enable deep ultraviolet (UV) emitters. Appropriate AlN bulk crystals can be grown by physical vapor transport (PVT). Besides high structural perfection, such substrate crystals should be highly UV transparent and ideally, electrically conductive. It is well known that point defects like impurities and intrinsic defects may introduce electronic energy levels within the bandgap, which lead to additional optical absorption or electrical compensation. Among the impurities, which may be incorporated into the AlN crystals during PVT growth at well above 2000 ° C, oxygen, carbon, and silicon play the major role. Based on our own experimental data as well as on experimental and theoretical results reported in literature, we discuss energy levels, charge states and possible negative-U behavior of these impurities and of vacancy-type defects. In particular, we develop a model that explains the absorption behavior of the crystals in dependence on the Fermi level that can be controlled by the growth conditions, including intentional doping. Further, we pay attention on spectroscopic investigations giving direct evidence for the chemical nature and atomic arrangement of the involved point defects. As examples local vibrational mode (LVM) spectroscopy of carbon related defects and recent reports of electron paramagnetic resonance (EPR) spectroscopy are discussed.

  4. Ab initio study of GaAs(100) surface stability over As2, H2 and N2 as a model for vapor-phase epitaxy of GaAs1-xNx

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2015-12-01

    GaAs(100) c(4×4) surfaces were examined by ab initio calculations, under As2, H2 and N2 gas mixed conditions as a model for GaAs1-xNx vapor-phase epitaxy (VPE) on GaAs(100). Using a simple model consisting of As2 and H2 molecules adsorptions and As/N atom substitutions, it was shown to be possible to examine the crystal growth behavior considering the relative stability of the resulting surfaces against the chemical potential of As2, H2 and N2 gases. Such simple model allows us to draw a picture of the temperature and pressure stability domains for each surfaces that can be linked to specific growth conditions, directly. We found that, using this simple model, it is possible to explain the different N-incorporation regimes observed experimentally at different temperatures, and to predict the transition temperature between these regimes. Additionally, a rational explanation of N-incorporation ratio for each of these regimes is provided. Our model should then lead to a better comprehension and control of the experimental conditions needed to realize a high quality VPE of GaAs1-xNx.

  5. True-blue laser diodes with tunnel junctions grown monolithically by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Skierbiszewski, Czeslaw; Muziol, Grzegorz; Nowakowski-Szkudlarek, Krzesimir; Turski, Henryk; Siekacz, Marcin; Feduniewicz-Zmuda, Anna; Nowakowska-Szkudlarek, Anna; Sawicka, Marta; Perlin, Piotr

    2018-03-01

    We demonstrate true-blue 450 nm tunnel junction (TJ) laser diodes (LDs) grown by plasma-assisted molecular beam epitaxy (PAMBE). The absence of hydrogen during PAMBE growth allows us to achieve TJs with low resistance. We compare TJ LDs with LDs of standard construction with p-type metal contact. For both types of LD, the threshold current density is around 3 kA/cm2 and the slope efficiency is 0.5 W/A. We do not observe any significant changes in optical losses and differential gain in TJ LDs compared with standard LDs. The differential resistivity of the TJs for current densities higher than 2 kA/cm2 is below 10-4 Ω·cm2.

  6. Effects of external mechanical loading on phase diagrams and dielectric properties in epitaxial ferroelectric thin films with anisotropic in-plane misfit strains

    NASA Astrophysics Data System (ADS)

    Qiu, J. H.; Jiang, Q.

    2007-02-01

    A phenomenological Landau-Devonshine theory is used to describe the effects of external mechanical loading on equilibrium polarization states and dielectric properties in epitaxial ferroelectric thin films grown on dissimilar orthorhombic substrates which induce anisotropic misfit strains in the film plane. The calculation focuses on single-domain perovskite BaTiO3 and PbTiO3 thin films on the assumption that um1=-um2. Compared with the phase diagrams without external loading, the characteristic features of "misfit strain-misfit strain" phase diagrams at room temperature are the presence of paraelectric phase and the strain-induced ferroelectric to paraelectric phase transition. Due to the external loading, the "misfit strain-stress" and "stress-temperature" phase diagrams also have drastic changes, especially for the vanishing of paraelectric phase in "misfit strain-stress" phase map and the appearance of possible ferroelectric phases. We also investigate the dielectric properties and the tunability of both BaTiO3 and PbTiO3 thin films. We find that the external stress dependence of phase diagrams and dielectric properties largely depends on strain anisotropy as well.

  7. Spectroscopic and microscopic investigation of MBE-grown CdTe (211)B epitaxial thin films on GaAs (211)B substrates

    NASA Astrophysics Data System (ADS)

    Özden, Selin; Koc, Mumin Mehmet

    2018-03-01

    CdTe epitaxial thin films, for use as a buffer layer for HgCdTe defectors, were grown on GaAs (211)B using the molecular beam epitaxy method. Wet chemical etching (Everson method) was applied to the epitaxial films using various concentrations and application times to quantify the crystal quality and dislocation density. Surface characterization of the epitaxial films was achieved using Atomic force microscopy and Scanning electron microscopy (SEM) before and after each treatment. The Energy Dispersive X-Ray apparatus of SEM was used to characterize the chemical composition. Untreated CdTe films show smooth surface characteristics with root mean square (RMS) roughnesses of 1.18-3.89 nm. The thicknesses of the CdTe layers formed were calculated via FTIR spectrometry and obtained by ex situ spectroscopic ellipsometry. Raman spectra were obtained for various temperatures. Etch pit densities (EPD) were measured, from which it could be seen that EPD changes between 1.7 × 108 and 9.2 × 108 cm-2 depending on the concentration of the Everson etch solution and treatment time. Structure, shape and depth of pits resulting from each etch pit implementation were also evaluated. Pit widths varying between 0.15 and 0.71 µm with heights varying between 2 and 80 nm were observed. RMS roughness was found to vary by anything from 1.56 to 26 nm.

  8. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) singlemore » crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.« less

  9. Thermoelectric Properties of Epitaxial β-FeSi2 Thin Films on Si(111) and Approach for Their Enhancement

    NASA Astrophysics Data System (ADS)

    Taniguchi, Tatsuhiko; Sakane, Shunya; Aoki, Shunsuke; Okuhata, Ryo; Ishibe, Takafumi; Watanabe, Kentaro; Suzuki, Takeyuki; Fujita, Takeshi; Sawano, Kentarou; Nakamura, Yoshiaki

    2017-05-01

    We have investigated the intrinsic thermoelectric properties of epitaxial β-FeSi2 thin films and the impact of phosphorus (P) doping. Epitaxial β-FeSi2 thin films with single phase were grown on Si(111) substrates by two different techniques in an ultrahigh-vacuum molecular beam epitaxy (MBE) system: solid-phase epitaxy (SPE), where iron silicide films formed by codeposition of Fe and Si at room temperature were recrystallized by annealing at 530°C to form epitaxial β-FeSi2 thin films on Si(111) substrates, and MBE of β-FeSi2 thin films on epitaxial β-FeSi2 templates formed on Si(111) by reactive deposition epitaxy (RDE) at 530°C (RDE + MBE). Epitaxial SPE thin films based on codeposition had a flatter surface and more abrupt β-FeSi2/Si(111) interface than epitaxial RDE + MBE thin films. We investigated the intrinsic thermoelectric properties of the epitaxial β-FeSi2 thin films on Si(111), revealing lower thermal conductivity and higher electrical conductivity compared with bulk β-FeSi2. We also investigated the impact of doping on the Seebeck coefficient of bulk and thin-film β-FeSi2. A route to enhance the thermoelectric performance of β-FeSi2 is proposed, based on (1) fabrication of thin-film structures for high electrical conductivity and low thermal conductivity, and (2) proper choice of doping for high Seebeck coefficient.

  10. Epitaxial VO2 thin-film-based radio-frequency switches with electrical activation

    NASA Astrophysics Data System (ADS)

    Lee, Jaeseong; Lee, Daesu; Cho, Sang June; Seo, Jung-Hun; Liu, Dong; Eom, Chang-Beom; Ma, Zhenqiang

    2017-09-01

    Vanadium dioxide (VO2) is a correlated material exhibiting a sharp insulator-to-metal phase transition (IMT) caused by temperature change and/or bias voltage. We report on the demonstration of electrically triggered radio-frequency (RF) switches based on epitaxial VO2 thin films. The highly epitaxial VO2 and SnO2 template layer was grown on a (001) TiO2 substrate by pulsed laser deposition (PLD). A resistance change of the VO2 thin films of four orders of magnitude was achieved with a relatively low threshold voltage, as low as 13 V, for an IMT phase transition. VO2 RF switches also showed high-frequency responses of insertion losses of -3 dB at the on-state and return losses of -4.3 dB at the off-state over 27 GHz. Furthermore, an intrinsic cutoff frequency of 17.4 THz was estimated for the RF switches. The study on electrical IMT dynamics revealed a phase transition time of 840 ns.

  11. Vapor-liquid phase separator studies

    NASA Technical Reports Server (NTRS)

    Yuan, S. W. K.; Lee, J. M.; Kim, Y. I.; Hepler, W. A.; Frederking, T. H. K.

    1983-01-01

    Porous plugs serve as both entropy rejection devices and phase separation components separating the vapor phase on the downstream side from liquid Helium 2 upstream. The liquid upstream is the cryo-reservoir fluid needed for equipment cooling by means of Helium 2, i.e Helium-4 below its lambda temperature in near-saturated states. The topics outlined are characteristic lengths, transport equations and plug results.

  12. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    NASA Astrophysics Data System (ADS)

    Yang, Y.-B.; Seewald, L.; Mohanty, Dibyajyoti; Wang, Y.; Zhang, L. H.; Kisslinger, K.; Xie, Weiyu; Shi, J.; Bhat, I.; Zhang, Shengbai; Lu, T.-M.; Wang, G.-C.

    2017-08-01

    Single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (∼21-55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [1 bar2 1 bar]CdTe//[ 1 bar100]CdS//[010]mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. The use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.

  13. One-step Ge/Si epitaxial growth.

    PubMed

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 < x < 1) graded buffer layer was demonstrated through a facile chemical vapor deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  14. Emission control of InGaN nanocolumns grown by molecular-beam epitaxy on Si(111) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Albert, S.; Bengoechea-Encabo, A.; Sanchez-Garcia, M. A.

    This work studies the effect of the growth temperature on the morphology and emission characteristics of self-assembled InGaN nanocolumns grown by plasma assisted molecular beam epitaxy. Morphology changes are assessed by scanning electron microscopy, while emission is measured by photoluminescence. Within the growth temperature range of 750 to 650 deg. C, an increase in In incorporation for decreasing temperature is observed. This effect allows tailoring the InGaN nanocolumns emission line shape by using temperature gradients during growth. Depending on the gradient rate, span, and sign, broad emission line shapes are obtained, covering the yellow to green range, even yielding whitemore » emission.« less

  15. Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors

    DTIC Science & Technology

    2011-01-01

    Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors MATTHEW REASON,1 BRIAN R. BENNETT,1,2 RICHARD MAGNO,1 and J. BRAD BOOS1 1...2010 to 00-00-2010 4. TITLE AND SUBTITLE Molecular Beam Epitaxial Regrowth of Antimonide-Based Semiconductors 5a. CONTRACT NUMBER 5b. GRANT...Prescribed by ANSI Std Z39-18 EXPERIMENTAL PROCEDURES The samples reported in this work were grown by solid-source molecular - beam epitaxy (MBE) with

  16. Epitaxial Ce and the magnetism of single-crystal Ce/Nd superlattices

    NASA Astrophysics Data System (ADS)

    Clegg, P. S.; Goff, J. P.; McIntyre, G. J.; Ward, R. C.; Wells, M. R.

    2003-05-01

    The chemical structure of epitaxial γ cerium and the chemical and magnetic structures of cerium/neodymium superlattices have been studied using x-ray and neutron diffraction techniques. The samples were grown using molecular-beam epitaxy, optimized to yield the desired Ce allotropes. The x-ray measurements show that, in the superlattices, both constituents adopt the dhcp structure and that the stacking sequence remains intact down to T˜2 K; these are the first measurements of magnetic ordering in single-crystal dhcp Ce. The magnetic structure of the superlattices with thicker Nd layers exhibit incommensurate order and ferromagnetism on separate sublattices in a similar manner to Nd under applied pressure. The sample with thickest Ce layers has a magnetic structure similar to bulk β Ce, which has commensurate transverse modulation with a propagation wave vector [1/2 0 0] and moments along the hexagonal a direction. These two types of magnetic order appear to be mutually exclusive. γ Ce is the high-temperature fcc phase of Ce, our single-phase epitaxial sample is observed to go through a new, but partial, structural transition not previously seen in the bulk material.

  17. Influence of the growth method on degradation of InGaN laser diodes

    NASA Astrophysics Data System (ADS)

    Bojarska, Agata; Muzioł, Grzegorz; Skierbiszewski, Czesław; Grzanka, Ewa; Wiśniewski, Przemysław; Makarowa, Irina; Czernecki, Robert; Suski, Tadek; Perlin, Piotr

    2017-09-01

    We demonstrate the influence of the operation current density and temperature on the degradation rate of InGaN laser diodes grown via metalorganic vapor-phase epitaxy (MOVPE) and plasma-assisted molecular beam epitaxy (PAMBE). The degradation rate of the MOVPE devices shows an exponential dependence on the temperature, with an activation energy of 0.38-0.43 eV, and a linear dependence on the operating current density. In comparison, the MBE-grown lasers exhibit a higher activation energy, on the order of 1 eV, and typically a lower degradation rate, resulting in a service time exceeding 50,000 h. We suggest that this difference may be related to the lower concentration of H in the Mg-doped MBE-grown GaN.

  18. Effect of indium droplets on growth of InGaN film by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zheng, Xiantong; Liang, Hongwei; Wang, Ping; Sun, Xiaoxiao; Chen, Zhaoying; Wang, Tao; Sheng, Bowen; Wang, Yixin; Chen, Ling; Wang, Ding; Rong, Xin; Li, Mo; Zhang, Jian; Wang, Xinqiang

    2018-01-01

    Effect of indium (In) droplets on InGaN thin films grown by molecular beam epitaxy (MBE) has been investigated. The surface of InGaN covered by In droplets shows a smoother topography than that without droplets, indicating that the presence of In droplets is beneficial to the two dimensional growth. Beneath the In droplets, many ring-like structures are observed. The arrangement of these "ring" shows the movement of the In droplets during the InGaN growth. A qualitative growth model is proposed to explain the evolution of the InGaN surface morphology in In-droplet-induced-epitaxy process, giving an explanation that a local vapor-liquid-solid (VLS) system is preferentially formed at the edge of the droplets, leading to a high growth rate. Furthermore, the energy dispersive X-ray spectroscopy results reveal that the relatively higher In/Ga flux ratio in the region covered by the In droplet results in a locally higher In content.

  19. Microstructure of In x Ga1-x N nanorods grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Webster, R. F.; Soundararajah, Q. Y.; Griffiths, I. J.; Cherns, D.; Novikov, S. V.; Foxon, C. T.

    2015-11-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga1-x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core-shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration.

  20. Photoluminescence of vapor and solution grown ZnTe single crystals

    NASA Astrophysics Data System (ADS)

    Biao, Y.; Azoulay, M.; George, M. A.; Burger, A.; Collins, W. E.; Silberman, E.; Su, C.-H.; Volz, M. E.; Szofran, F. R.; Gillies, D. C.

    1994-04-01

    ZnTe single crystals grown by horizontal physical vapor transport (PVT) and by vertical traveling heater method (THM) from a Te solution were characterized by photoluminescence (PL) at 10.6 K and by atomic force microscopy (AFM). Copper was identified by PL as a major impurity existing in both crystals, forming a substitutional acceptor, Cu Zn. The THM ZnTe crystals were found to contain more Cu impurity than the PVT ZnTe crystals. The formation of Cu Zn-V Te complexes and the effects of annealing, oxygen contamination and intentional Cu doping were also studied. Finally, the surface morphology analyzed by AFM was correlated to the PL results.

  1. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    PubMed Central

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  2. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  3. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  4. Photoluminescence Segmentation within Individual Hexagonal Monolayer Tungsten Disulfide Domains Grown by Chemical Vapor Deposition.

    PubMed

    Sheng, Yuewen; Wang, Xiaochen; Fujisawa, Kazunori; Ying, Siqi; Elias, Ana Laura; Lin, Zhong; Xu, Wenshuo; Zhou, Yingqiu; Korsunsky, Alexander M; Bhaskaran, Harish; Terrones, Mauricio; Warner, Jamie H

    2017-05-03

    We show that hexagonal domains of monolayer tungsten disulfide (WS 2 ) grown by chemical vapor deposition (CVD) with powder precursors can have discrete segmentation in their photoluminescence (PL) emission intensity, forming symmetric patterns with alternating bright and dark regions. Two-dimensional maps of the PL reveal significant reduction within the segments associated with the longest sides of the hexagonal domains. Analysis of the PL spectra shows differences in the exciton to trion ratio, indicating variations in the exciton recombination dynamics. Monolayers of WS 2 hexagonal islands transferred to new substrates still exhibit this PL segmentation, ruling out local strain in the regions as the dominant cause. High-power laser irradiation causes preferential degradation of the bright segments by sulfur removal, indicating the presence of a more defective region that is higher in oxidative reactivity. Atomic force microscopy (AFM) images of topography and amplitude modes show uniform thickness of the WS 2 domains and no signs of segmentation. However, AFM phase maps do show the same segmentation of the domain as the PL maps and indicate that it is caused by some kind of structural difference that we could not clearly identify. These results provide important insights into the spatially varying properties of these CVD-grown transition metal dichalcogenide materials, which may be important for their effective implementation in fast photo sensors and optical switches.

  5. Electric field-tunable Ba{sub x}Sr{sub 1-x}TiO{sub 3} films with high figures of merit grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mikheev, Evgeny; Kajdos, Adam P.; Hauser, Adam J.

    2012-12-17

    We report on the dielectric properties of Ba{sub x}Sr{sub 1-x}TiO{sub 3} (BST) films grown by molecular beam epitaxy on epitaxial Pt bottom electrodes. Paraelectric films (x Less-Than-Or-Equivalent-To 0.5) exhibit dielectric losses that are similar to those of BST single crystals and ceramics. Films with device quality factors greater than 1000 and electric field tunabilities exceeding 1:5 are demonstrated. The results provide evidence for the importance of stoichiometry control and the use of a non-energetic deposition technique for achieving high figures of merit of tunable devices with BST thin films.

  6. Advanced deposition model for thermal activated chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  7. Vertical heterostructures of MoS2 and graphene nanoribbons grown by two-step chemical vapor deposition for high-gain photodetectors.

    PubMed

    Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki

    2015-10-14

    Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.

  8. Chemical beam epitaxy for high efficiency photovoltaic devices

    NASA Technical Reports Server (NTRS)

    Bensaoula, A.; Freundlich, A.; Vilela, M. F.; Medelci, N.; Renaud, P.

    1994-01-01

    InP-based multijunction tandem solar cells show great promise for the conversion efficiency (eta) and high radiation resistance. InP and its related ternary and quanternary compound semiconductors such as InGaAs and InGaAsP offer desirable combinations for energy bandgap values which are very suitable for multijunction tandem solar cell applications. The monolithically integrated InP/In(0.53)Ga(0.47)As tandem solar cells are expected to reach efficiencies above 30 percent. Wanlass, et.al., have reported AMO efficiencies as high as 20.1% for two terminal cells fabricated using atmospheric-pressure metalorganic vapor phase epitaxy (APMOVPE). The main limitations in their technique are first related to the degradation of the intercell ohmic contact (IOC), in this case the In(0.53)Ga(0.47)As tunnel junction during the growth of the top InP subcell structure, and second to the current matching, often limited by the In(0.53)Ga(0.47)As bottom subcell. Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450 C - 530 C). In a recent report it was shown that cost-wise CBE is a breakthrough technology for photovoltaic (PV) solar energy progress in the energy conversion efficiency of InP-based solar cells fabricated using chemical beam epitaxy. This communication summarizes our recent results on PV devices and demonstrates the strength of this new technology.

  9. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  10. Electron Emission Observations from As-Grown and Vacuum-Coated Chemical Vapor Deposited Diamond

    NASA Technical Reports Server (NTRS)

    Lamouri, A.; Wang, Yaxin; Mearini, G. T.; Krainsky, I. L.; Dayton, J. A., Jr.; Mueller,W.

    1996-01-01

    Field emission has been observed from chemical vapor deposited diamond grown on Mo and Si substrates. Emission was observed at fields as low as 20 kV/cm. The samples were tested in the as-grown form, and after coating with thin films of Au, CsI, and Ni. The emission current was typically maximum at the onset of the applied field, but was unstable, and decreased rapidly with time from the as-grown films. Thin Au layers, approximately 15 nm thick, vacuum deposited onto the diamond samples significantly improved the stability of the emission current at values approximately equal to those from uncoated samples at the onset of the applied field. Thin layers of CsI, approximately 5 nm thick, were also observed to improve the stability of the emission current but at values less than those from the uncoated samples at the onset of the applied field. While Au and CsI improved the stability of the emission, Ni was observed to have no effect.

  11. Lasing characteristics of InAs quantum dot laers on InP substrate

    NASA Technical Reports Server (NTRS)

    Yang, Y.; Qiu, D.; Uhl, R.; Chacon, R.

    2003-01-01

    Single-stack InAs self-assembled quantum dots (QD) lasers based on InP substrate have been grown by metalorganic vapor phase epitaxy. The narrow ridge waveguide lasers lased up to 260 K in continuous wave operation, and near room temperature in pulsed mode, with wavelengths between 1.59 to 1.74 mu m.

  12. Structural and magnetic properties of hexagonal Cr1-δTe films grown on CdTe(001) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kanazawa, Ken; Yamawaki, Kazuma; Sekita, Naoya; Nishio, Yôtarô; Kuroda, Shinji; Mitome, Masanori; Bando, Yoshio

    2015-04-01

    We investigated the structural and magnetic properties of Cr1-δTe thin films grown on CdTe(001) layers by molecular beam epitaxy (MBE) with systematic variations of the ratio between Cr and Te fluxes and the substrate temperature Ts during the growth. Cr1-δTe of the hexagonal structure (hex-Cr1-δTe) was always formed irrespective of the growth conditions, but the growth orientation was different depending on the Cr/Te flux ratio and Ts. Hex-Cr1-δTe was grown in the [0001] axis in the range of small Cr/Te ratios and high Ts while it was also grown in the direction normal to the (1-102) plane at larger Cr/Te ratios or lower Ts. Hex-Cr1-δTe films grown in the both orientations show ferromagnetism, but they exhibit a clear contrast in the field dependence of perpendicular magnetization at 2 K; a square hysteretic loop in the film grown in the [0001] axis versus a round-shape loop in the film grown in the direction normal to the (1-102) plane. Moreover, the films grown in the [0001] axis at the smallest Cr/Te ratio show variations of ferromagnetic properties with Curie temperature (Tc) and the coercivity (Hc) varying according to the value of Ts.

  13. Effect of Hydrogen in Zinc Oxide Thin-Film Transistor Grown by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik

    2007-04-01

    We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.

  14. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE PAGES

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.; ...

    2018-01-12

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  15. Water-Vapor-Mediated Close-Spaced Vapor Transport Growth of Epitaxial Gallium Indium Phosphide Films on Gallium Arsenide Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenaway, Ann L.; Bachman, Benjamin F.; Boucher, Jason W.

    Ga 1–xIn xP is a technologically important III–V ternary semiconductor widely utilized in commercial and record-efficiency solar cells. We report the growth of Ga 1–xIn xP by water-vapor-mediated close-spaced vapor transport. Because growth of III–V semiconductors in this system is controlled by diffusion of metal oxide species, we find that congruent transport from the mixed powder source requires complete annealing to form a single alloy phase. Growth from a fully alloyed source at water vapor concentrations of ~7000 ppm in H 2 at 850 °C affords smooth films with electron mobility of 1070 cm 2 V –1 s –1 andmore » peak internal quantum efficiency of ~90% for carrier collection in a nonaqueous photoelectrochemical test cell.« less

  16. Template assisted strain tuning and phase stabilization in epitaxial BiFeO3 thin films

    NASA Astrophysics Data System (ADS)

    Saj Mohan M., M.; Ramadurai, Ranjith

    2018-04-01

    Strain engineering is a key to develop novel properties in functional materials. We report a strain mediated phase stabilization and epitaxial growth of bismuth ferrite(BiFeO3) thin films on LaAlO3 (LAO) substrates. The strain in the epitaxial layer is controlled by controlling the thickness of bottom electrode where the thickness of the BFO is kept constant. The thickness of La0.7Sr0.3MnO3(LSMO) template layer was optimized to grow completely strained tetragonal, tetragonal/rhombohedral mixed phase and fully relaxed rhombohedral phase of BFO layers. The results were confirmed with coupled-θ-2θ scan, and small area reciprocal space mapping. The piezoelectric d33 (˜ 45-48 pm/V) coefficient of the mixed phase was relatively larger than the strained tetragonal and relaxed rhombohedral phase for a given thickness.

  17. Water vapor radiometry research and development phase

    NASA Technical Reports Server (NTRS)

    Resch, G. M.; Chavez, M. C.; Yamane, N. L.; Barbier, K. M.; Chandlee, R. C.

    1985-01-01

    This report describes the research and development phase for eight dual-channel water vapor radiometers constructed for the Crustal Dynamics Project at the Goddard Space Flight Center, Greenbelt, Maryland, and for the NASA Deep Space Network. These instruments were developed to demonstrate that the variable path delay imposed on microwave radio transmissions by atmospheric water vapor can be calibrated, particularly as this phenomenon affects very long baseline interferometry measurement systems. Water vapor radiometry technology can also be used in systems that involve moist air meteorology and propagation studies.

  18. Epitaxial solar-cell fabrication, phase 2

    NASA Technical Reports Server (NTRS)

    Daiello, R. V.; Robinson, P. H.; Kressel, H.

    1977-01-01

    Dichlorosilane (SiH2Cl2) was used as the silicon source material in all of the epitaxial growths. Both n/p/p(+) and p/n/n(+) structures were studied. Correlations were made between the measured profiles and the solar cell parameters, especially cell open-circuit voltage. It was found that in order to obtain consistently high open-circuit voltage, the epitaxial techniques used to grow the surface layer must be altered to obtain very abrupt doping profiles in the vicinity of the junction. With these techniques, it was possible to grow reproducibly both p/n/n(+) and n/p/p(+) solar cell structures having open-circuit voltages in the 610- to 630-mV range, with fill-factors in excess of 0.80 and AM-1 efficiencies of about 13%. Combinations and comparisons of epitaxial and diffused surface layers were also made. Using such surface layers, we found that the blue response of epitaxial cells could be improved, resulting in AM-1 short-circuit current densities of about 30 mA/cm sq. The best cells fabricated in this manner had AM-1 efficiency of 14.1%.

  19. Quantitative scanning thermal microscopy of ErAs/GaAs superlattice structures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Park, K. W.; Nair, H. P.; Crook, A. M.; Bank, S. R.; Yu, E. T.

    2013-02-01

    A proximal probe-based quantitative measurement of thermal conductivity with ˜100-150 nm lateral and vertical spatial resolution has been implemented. Measurements on an ErAs/GaAs superlattice structure grown by molecular beam epitaxy with 3% volumetric ErAs content yielded thermal conductivity at room temperature of 9 ± 2 W/m K, approximately five times lower than that for GaAs. Numerical modeling of phonon scattering by ErAs nanoparticles yielded thermal conductivities in reasonable agreement with those measured experimentally and provides insight into the potential influence of nanoparticle shape on phonon scattering. Measurements of wedge-shaped samples created by focused ion beam milling provide direct confirmation of depth resolution achieved.

  20. Surface morphological evolution of epitaxial CrN(001) layers

    NASA Astrophysics Data System (ADS)

    Frederick, J. R.; Gall, D.

    2005-09-01

    CrN layers, 57 and 230 nm thick, were grown on MgO(001) at Ts=600-800 °C by ultrahigh-vacuum magnetron sputter deposition in pure N2 discharges from an oblique deposition angle α=80°. Layers grown at 600 °C nucleate as single crystals with a cube-on-cube epitaxial relationship with the substrate. However, rough surfaces with cauliflower-type morphologies cause the nucleation of misoriented CrN grains that develop into cone-shaped grains that protrude out of the epitaxial matrix to form triangular faceted surface mounds. The surface morphology of epitaxial CrN(001) grown at 700 °C is characterized by dendritic ridge patterns extending along the orthogonal <110> directions superposed by square-shaped super mounds with <100> edges. The ridge patterns are attributed to a Bales-Zangwill instability while the supermounds form due to atomic shadowing which leads to the formation of epitaxial inverted pyramids that are separated from the surrounding layer by tilted nanovoids. Growth at 800 °C yields complete single crystals with smooth surfaces. The root-mean-square surface roughness for 230-nm-thick layers decreases from 18.8 to 9.3 to 1.1 nm as Ts is raised from 600 to 700 to 800 °C. This steep decrease is due to a transition in the roughening mechanism from atomic shadowing to kinetic roughening. Atomic shadowing is dominant at 600 and 700 °C, where misoriented grains and supermounds, respectively, capture a larger fraction of the oblique deposition flux in comparison to the surrounding epitaxial matrix, resulting in a high roughening rate that is described by a power law with an exponent β>0.5. In contrast, kinetic roughening controls the surface morphology for Ts=800 °C, as well as the epitaxial fraction of the layers grown at 600 and 700 °C, yielding relatively smooth surfaces and β<=0.27.

  1. Homogeneous crystalline FeSi2 films of c (4 × 8) phase grown on Si (111) by reactive deposition epitaxy.

    PubMed

    Zou, Zhi-Qiang; Sun, Li-Min; Shi, Gao-Ming; Liu, Xiao-Yong; Li, Xu

    2013-12-05

    The growth of iron silicides on Si (111) using reactive deposition epitaxy method was studied by scanning tunneling microscopy and X-ray photoelectron spectroscopy (XPS). Instead of the mixture of different silicide phases, a homogeneous crystalline film of c (4 × 8) phase was formed on the Si (111) surface at approximately 750°C. Scanning tunneling spectra show that the film exhibits a semiconducting character with a band gap of approximately 0.85 eV. Compared with elemental Fe, the Fe 2p peaks of the film exhibit a lower spin-orbit splitting (-0.3 eV) and the Fe 2p3/2 level has a smaller full-width at half maximum (-0.6 eV) and a higher binding energy (+0.3 eV). Quantitative XPS analysis shows that the c (4 × 8) phase is in the FeSi2 stoichiometry regime. The c (4 × 8) pattern could result from the ordered arrangement of defects of Fe vacancies in the buried Fe layers.

  2. Homogeneous crystalline FeSi2 films of c (4 × 8) phase grown on Si (111) by reactive deposition epitaxy

    PubMed Central

    2013-01-01

    The growth of iron silicides on Si (111) using reactive deposition epitaxy method was studied by scanning tunneling microscopy and X-ray photoelectron spectroscopy (XPS). Instead of the mixture of different silicide phases, a homogeneous crystalline film of c (4 × 8) phase was formed on the Si (111) surface at approximately 750°C. Scanning tunneling spectra show that the film exhibits a semiconducting character with a band gap of approximately 0.85 eV. Compared with elemental Fe, the Fe 2p peaks of the film exhibit a lower spin-orbit splitting (−0.3 eV) and the Fe 2p3/2 level has a smaller full-width at half maximum (−0.6 eV) and a higher binding energy (+0.3 eV). Quantitative XPS analysis shows that the c (4 × 8) phase is in the FeSi2 stoichiometry regime. The c (4 × 8) pattern could result from the ordered arrangement of defects of Fe vacancies in the buried Fe layers. PMID:24305438

  3. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    PubMed

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  4. Epitaxial growth and electrical transport properties of Cr{sub 2}GeC thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per; Thin Film Physics Division, Linkoeping University, IFM, 581 83 Linkoeping; Bugnet, Matthieu

    2011-08-15

    Cr{sub 2}GeC thin films were grown by magnetron sputtering from elemental targets. Phase-pure Cr{sub 2}GeC was grown directly onto Al{sub 2}O{sub 3}(0001) at temperatures of 700-800 deg. C. These films have an epitaxial component with the well-known epitaxial relationship Cr{sub 2}GeC(0001)//Al{sub 2}O{sub 3}(0001) and Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1100) or Cr{sub 2}GeC(1120)//Al{sub 2}O{sub 3}(1210). There is also a large secondary grain population with (1013) orientation. Deposition onto Al{sub 2}O{sub 3}(0001) with a TiN(111) seed layer and onto MgO(111) yielded growth of globally epitaxial Cr{sub 2}GeC(0001) with a virtually negligible (1013) contribution. In contrast to the films deposited at 700-800 deg. C,more » the ones grown at 500-600 deg. C are polycrystalline Cr{sub 2}GeC with (1010)-dominated orientation; they also exhibit surface segregations of Ge as a consequence of fast Ge diffusion rates along the basal planes. The room-temperature resistivity of our samples is 53-66 {mu}{Omega}cm. Temperature-dependent resistivity measurements from 15-295 K show that electron-phonon coupling is important and likely anisotropic, which emphasizes that the electrical transport properties cannot be understood in terms of ground state electronic structure calculations only.« less

  5. Melt-Vapor Phase Diagram of the Te-S System

    NASA Astrophysics Data System (ADS)

    Volodin, V. N.; Trebukhov, S. A.; Kenzhaliyev, B. K.; Nitsenko, A. V.; Burabaeva, N. M.

    2018-03-01

    The values of partial pressure of saturated vapor of the constituents of the Te-S system are determined from boiling points. The boundaries of the melt-vapor phase transition at atmospheric pressure and in vacuum of 2000 and 100 Pa are calculated on the basis of partial pressures. A phase diagram that includes vapor-liquid equilibrium fields whose boundaries allow us to assess the behavior of elements upon distillation fractioning is plotted. It is established that the separation of elements is possible at the first evaporation-condensation cycle. Complications can be caused by crystallization of a sulfur solid solution in tellurium.

  6. Development of orientation-patterned GaP grown on foreign substrates for QPM frequency conversion devices

    NASA Astrophysics Data System (ADS)

    Vangala, Shivashankar; Peterson, Rita; Snure, Michael; Tassev, Vladimir

    2017-02-01

    Thick hydride vapor phase epitaxially grown orientation-patterned gallium phosphide (OPGaP) is a leading material for quasi-phase matching (QPM) frequency conversion in the mid- and longwave infrared (IR). This is due to its negligible two-photon absorption (2PA) in the convenient pumping range 1 - 1.7 μm, compared with the 2PA of some traditional QPM materials, such as GaAs. In this paper, we describe homo- and heteroepitaxial growth techniques aimed to produce hundreds of microns thick OPGaP on: 1) OPGaAs templates fabricated using an improved wafer-fusion process; 2) OPGaAs templates fabricated by using a molecular beam epitaxy (MBE) for sublattice polarity inversion, but one with and one without MBE regrowth after the inversion. Some of the advantages of the heteroepitaxial growth of OPGaP on OPGaAs templates include: 1) achieving good domain fidelity as a result of the significantly higher OPGaAs template quality; 2) eliminating the needs of using the poor quality commercially available GaP in the production of thick OPGaP material, and 3) suppression of the additional absorption band between 2 - 4 μm (which is due to incorporation of n-type impurities) and, in general, improvement of the IR transmittance in the entire IR region. Combining the advantages of the two most promising nonlinear materials, GaAs and GaP, will accelerate the development of high power, broadly tunable laser sources in the IR which, in addition, will be offered with higher device quality and at a reasonably lower unit cost.

  7. Large area planar stanene epitaxially grown on Ag(1 1 1)

    NASA Astrophysics Data System (ADS)

    Yuhara, Junji; Fujii, Yuya; Nishino, Kazuki; Isobe, Naoki; Nakatake, Masashi; Xian, Lede; Rubio, Angel; Le Lay, Guy

    2018-04-01

    Artificial post-graphene elemental 2D materials have received much attention recently. Especially, stanene, the tin analogue of graphene, is expected to be a robust 2D topological insulator, even above room temperature. We have grown epitaxial 2D stanene on a Ag(1 1 1) single crystal template and determined its crystalline structure synergetically by scanning tunneling microscopy, high-resolution synchrotron radiation photoemission spectroscopy, and advanced first principles calculations. From the STM images, we show that stanene forms a nearly planar structure in large domains. A detailed core-level spectroscopy analysis as well as DFT calculations reveal that the stanene sheet lays over an ordered 2D Ag2Sn surface alloy, but not directly on a bulk-terminated Ag(1 1 1) surface. The electronic structure exhibits a characteristic 2D band with parabolic dispersion due to the non-negligible interaction with the underlying surface alloy.

  8. Homoepitaxial growth of β-Ga{sub 2}O{sub 3} thin films by low pressure chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rafique, Subrina; Han, Lu; Zhao, Hongping, E-mail: hongping.zhao@case.edu

    2016-05-02

    This paper presents the homoepitaxial growth of phase pure (010) β-Ga{sub 2}O{sub 3} thin films on (010) β-Ga{sub 2}O{sub 3} substrate by low pressure chemical vapor deposition. The effects of growth temperature on the surface morphology and crystal quality of the thin films were systematically investigated. The thin films were synthesized using high purity metallic gallium (Ga) and oxygen (O{sub 2}) as precursors for gallium and oxygen, respectively. The surface morphology and structural properties of the thin films were characterized by atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. Material characterization indicates the growth temperature played anmore » important role in controlling both surface morphology and crystal quality of the β-Ga{sub 2}O{sub 3} thin films. The smallest root-mean-square surface roughness of ∼7 nm was for thin films grown at a temperature of 950 °C, whereas the highest growth rate (∼1.3 μm/h) with a fixed oxygen flow rate was obtained for the epitaxial layers grown at 850 °C.« less

  9. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE PAGES

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti; ...

    2017-03-31

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  10. Surface and interface of epitaxial CdTe film on CdS buffered van der Waals mica substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Y. -B.; Seewald, L.; Mohanty, Dibyajyoti

    We report single crystal CdTe films are desirable for optoelectronic device applications. An important strategy of creating films with high crystallinity is through epitaxial growth on a proper single crystal substrate. We report the metalorganic chemical vapor deposition of epitaxial CdTe films on the CdS/mica substrate. The epitaxial CdS film was grown on a mica surface by thermal evaporation. Due to the weak van der Waals forces, epitaxy is achieved despite the very large interface lattice mismatch between CdS and mica (~21–55%). The surface morphology of mica, CdS and CdTe were quantified by atomic force microscopy. The near surface structures, orientations and texture of CdTe and CdS films were characterized by the unique reflection high-energy electron diffraction surface pole figure technique. The interfaces of CdTe and CdS films and mica were characterized by X-ray pole figure technique and transmission electron microscopy. The out-of-plane and in-plane epitaxy of the heteroepitaxial films stack are determined to be CdTe(111)//CdS(0001)//mica(001) and [more » $$\\overline{1}2\\overline{1}$$] CdTe//[$$\\overline{1}100$$] CdS//[010] mica, respectively. The measured photoluminescence (PL), time resolved PL, photoresponse, and Hall mobility of the CdTe/CdS/mica indicate quality films. Finally, the use of van der Waals surface to grow epitaxial CdTe/CdS films offers an alternative strategy towards infrared imaging and solar cell applications.« less

  11. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  12. Application of Thioether for Vapor Phase Lubrication

    NASA Technical Reports Server (NTRS)

    Graham, E. Earl

    1997-01-01

    The objective of these studies was to identify the optimal conditions for vapor phase lubrication using Thioether for both sliding and rolling wear. The important variable include; (1) The component materials including M50 steel, monel and silicon nitride. (2) The vapor concentration and flow rate. (3) The temperature in the range of 600 F to 1500 F. (4) The loads and rolling and/or sliding speeds.

  13. Synthesis science of SrRuO3 and CaRuO3 epitaxial films with high residual resistivity ratios

    NASA Astrophysics Data System (ADS)

    Nair, Hari P.; Liu, Yang; Ruf, Jacob P.; Schreiber, Nathaniel J.; Shang, Shun-Li; Baek, David J.; Goodge, Berit H.; Kourkoutis, Lena F.; Liu, Zi-Kui; Shen, Kyle M.; Schlom, Darrell G.

    2018-04-01

    Epitaxial SrRuO3 and CaRuO3 films were grown under an excess flux of elemental ruthenium in an adsorption-controlled regime by molecular-beam epitaxy (MBE), where the excess volatile RuOx (x = 2 or 3) desorbs from the growth front leaving behind a single-phase film. By growing in this regime, we were able to achieve SrRuO3 and CaRuO3 films with residual resistivity ratios (ρ300 K/ρ4 K) of 76 and 75, respectively. A combined phase stability diagram based on the thermodynamics of MBE (TOMBE) growth, termed a TOMBE diagram, is employed to provide improved guidance for the growth of complex materials by MBE.

  14. Spin-resolved photoemission study of epitaxially grown MoSe 2 and WSe 2 thin films

    DOE PAGES

    Mo, Sung-Kwan; Hwang, Choongyu; Zhang, Yi; ...

    2016-09-12

    Few-layer thick MoSe 2 and WSe 2 possess non-trivial spin textures with sizable spin splitting due to the inversion symmetry breaking embedded in the crystal structure and strong spin–orbit coupling. Here, we report a spin-resolved photoemission study of MoSe 2 and WSe 2 thin film samples epitaxially grown on a bilayer graphene substrate. Furthermore, we only found spin polarization in the single- and trilayer samples—not in the bilayer sample—mostly along the out-of-plane direction of the sample surface. The measured spin polarization is found to be strongly dependent on the light polarization as well as the measurement geometry, which reveals intricatemore » coupling between the spin and orbital degrees of freedom in this class of material.« less

  15. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  16. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  17. Chemically stabilized epitaxial wurtzite-BN thin film

    NASA Astrophysics Data System (ADS)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along <0001> direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  18. Initial growth processes in the epitaxy of Ge with GeH{sub 4} on oxidized Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angermeier, D.; Kuhn, W.S.; Druihle, R.

    1997-02-01

    The heteroepitaxial growth of Ge on (100) Si in a horizontal, atmospheric pressure metallorganic vapor-phase epitaxy reactor is reported using germane GeH{sub 4} (0.1% in H{sub 2}). A particularly crucial parameter for germanium deposition on silicon is the time for the onset of epitaxial growth, the incubation time. The time was measured at substrate temperatures between 450 and 600{degree}C. At a substrate temperature of 450{degree}C an incubation time of 520 s was found and for the subsequent epitaxy growth rates of 50 nm/min were determined by Nomarski microscopy and electron diffraction. The existence of residual oxide in the reactor chambermore » forming an in situ SiO{sub 2} layer was evaluated by x-ray photoemission spectroscopy. To obtain a more thorough understanding of the gas- and solid-phase composition of Ge, Si, and oxygen the Gibbs energy of the system was calculated for various growth temperatures. It was concluded that SiO{sub 2} molecules are reduced by GeH{sub 4} molecules during the incubation period.« less

  19. Growth of single crystal silicon carbide by halide chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fanton, Mark A.

    The goal of this thesis is to understand relationships between the major process variables and the growth rate, doping, and defect density of SiC grown by halide chemical vapor deposition (HCVD). Specifically this work addresses the maximum C/Si ratios that can be utilized for single crystal SiC growth by providing a thermodynamic model for determining the boundary between single crystal growth and SiC+C mixed phase growth in the Si-C-Cl-H system. SiC epitaxial layers ranging from 50--200microm thick were grown at temperatures near 2000°C on 6H and 4H-SiC substrates at rates up to 250microm/hr. Experimental trends in the growth rate as a function of precursor flow rates and temperature closely match those expected from thermodynamic equilibrium in a closed system. The equilibrium model can be used to predict the trends in growth rate with the changes in precursor flow rates as well as the boundary between deposition of pure SiC and deposition of a mixture of SiC and C. Calculation of the boundary position in terms of the SiCl 4 and CH4 concentrations provides an upper limit on the C/Si ratio that can be achieved for any given set of crystal growth conditions. The model can be adjusted for changes in temperature, pressure, and chlorine concentration as well. The boundary between phase pure and mixed phase growth was experimentally shown to be very abrupt, thereby providing a well defined window for Si-rich and C-rich growth conditions. Growth of SiC epitaxial layers by HCVD under both Si-rich and C-rich conditions generally yielded the same trends in dopant incorporation as those observed in conventional silane-based CVD processes. Nitrogen incorporation was highest on the C-face of 4H-SiC substrates but could be reduced to concentrations as low as 1x1015 atoms/cm3 at C/Si ratios greater than 1. Residual B concentrations were slightly higher for epitaxial layers grown on the Si-face of substrates. However, changes in the C/Si ratio had no effect on B incorporation

  20. Investigation of Localized States in GaAsSb Epilayers Grown by Molecular Beam Epitaxy

    PubMed Central

    Gao, Xian; Wei, Zhipeng; Zhao, Fenghuan; Yang, Yahui; Chen, Rui; Fang, Xuan; Tang, Jilong; Fang, Dan; Wang, Dengkui; Li, Ruixue; Ge, Xiaotian; Ma, Xiaohui; Wang, Xiaohua

    2016-01-01

    We report the carrier dynamics in GaAsSb ternary alloy grown by molecular beam epitaxy through comprehensive spectroscopic characterization over a wide temperature range. A detailed analysis of the experimental data reveals a complex carrier relaxation process involving both localized and delocalized states. At low temperature, the localized degree shows linear relationship with the increase of Sb component. The existence of localized states is also confirmed by the temperature dependence of peak position and band width of the emission. At temperature higher than 60 K, emissions related to localized states are quenched while the band to band transition dominates the whole spectrum. This study indicates that the localized states are related to the Sb component in the GaAsSb alloy, while it leads to the poor crystal quality of the material, and the application of GaAsSb alloy would be limited by this deterioration. PMID:27381641

  1. Molecular beam epitaxy grown long wavelength infrared HgCdTe on compliant Si substrates

    NASA Astrophysics Data System (ADS)

    Wijewarnasuriya, Priyalal S.; Chen, Yuanping; Brill, Gregory; Dhar, Nibir K.; Carmody, Michael; Bailey, Robert; Arias, Jose

    2006-05-01

    At the Army Research Laboratory (ARL), a new ternary semiconductor system CdSe xTe 1-x/Si(211) is being investigated as an alternative substrate to bulk-grown CdZnTe substrates for HgCdTe growth by molecular beam epitaxy. Under optimized conditions, best layers show surface defect density less than 400 cm -2 and full width at half maximum of X-ray double crystal rocking curve as low as 100 arc-sec with excellent uniformity over 3 inch area. LW-HgCdTe layers on these compliant substrates exhibit comparable electrical properties to those grown on bulk CZT substrates. Photovoltaic devices fabricated on these LWIR material shows diffusion limited performance at 78K indicating high quality material. Measured R °A at 78K on λ co = 10 μm material is on the order of 340 Ω-cm II. In addition to single devices, we have fabricated 256x256 2-D arrays with 40 μm pixel pitch on LW-HgCdTe grown on Si compliant substrates. Data shows excellent QE operability of 99% at 78K under a tactical background flux of 6.7x10 15 ph/cm2sec. Most probable dark current at the peak distribution is 5.5 x 10 9 e-/sec and is very much consistent with the measured R °A values from single devices. Initial results indicate NETD of 33 mK for a cut-off wavelength of 10 μm with 40 micron pixels size. This work demonstrates CdSe xTe 1-x/Si(211) substrates provides a potential road map to more affordable, robust 3 rd generation FPAs.

  2. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  3. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  4. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  5. Weakly doped InP layers prepared by liquid phase epitaxy using a modulated cooling rate

    NASA Astrophysics Data System (ADS)

    Krukovskyi, R.; Mykhashchuk, Y.; Kost, Y.; Krukovskyi, S.; Saldan, I.

    2017-04-01

    Epitaxial structures based on InP are widely used to manufacture a number of devices such as microwave transistors, light-emitting diodes, lasers and Gunn diodes. However, their temporary instability caused by heterogeneity of resistivity along the layer thickness and the influence of various external or internal factors prompts the need for the development of a new reliable technology for their preparation. Weak doping by Yb, Al and Sn together with modulation of the cooling rate applied to prepare InP epitaxial layers is suggested to be adopted within the liquid phase epitaxy (LPE) method. The experimental results confirm the optimized conditions created to get a uniform electron concentration in the active n-InP layer. A sharp profile of electron concentration in the n+-InP(substrate)/n-InP/n+-InP epitaxial structure was observed experimentally at the proposed modulated cooling rate of 0.3 °С-1.5 °С min-1. The proposed technological method can be used to control the electrical and physical properties of InP epitaxial layers to be used in Gunn diodes.

  6. Molecular Beam Epitaxial Growth of Iron Nitrides on Zinc-Blende Gallium Nitride(001)

    NASA Astrophysics Data System (ADS)

    Pak, Jeongihm; Lin, Wenzhi; Chinchore, Abhijit; Wang, Kangkang; Smith, Arthur R.

    2008-03-01

    Iron nitrides are attractive materials for their high magnetic moments, corrosion, and oxidation resistance. We present the successful epitaxial growth of iron nitride on zinc-blende gallium nitride (c-GaN) in order to develop a novel magnetic transition metal nitride/semiconductor system. First, GaN is grown on magnesium oxide (MgO) substrates having (001) orientation using rf N2-plasma molecular beam epitaxy. Then we grow FeN at substrate temperature of ˜ 210 ^oC up to a thickness of ˜ 10.5 nm. In-situ reflection high-energy electron diffraction (RHEED) is used to monitor the surface during growth. Initial results suggest that the epitaxial relationship is FeN[001] || GaN[001] and FeN[100] || GaN[100]. Work in progress is to investigate the surface using in-situ scanning tunneling microscopy (STM) to reveal the surface structure at atomic scale, as well as to explore more Fe-rich magnetic phases.

  7. Advanced Computational Modeling of Vapor Deposition in a High-Pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  8. Advanced Computational Modeling of Vapor Deposition in a High-pressure Reactor

    NASA Technical Reports Server (NTRS)

    Cardelino, Beatriz H.; Moore, Craig E.; McCall, Sonya D.; Cardelino, Carlos A.; Dietz, Nikolaus; Bachmann, Klaus

    2004-01-01

    In search of novel approaches to produce new materials for electro-optic technologies, advances have been achieved in the development of computer models for vapor deposition reactors in space. Numerical simulations are invaluable tools for costly and difficult processes, such as those experiments designed for high pressures and microgravity conditions. Indium nitride is a candidate compound for high-speed laser and photo diodes for optical communication system, as well as for semiconductor lasers operating into the blue and ultraviolet regions. But InN and other nitride compounds exhibit large thermal decomposition at its optimum growth temperature. In addition, epitaxy at lower temperatures and subatmospheric pressures incorporates indium droplets into the InN films. However, surface stabilization data indicate that InN could be grown at 900 K in high nitrogen pressures, and microgravity could provide laminar flow conditions. Numerical models for chemical vapor deposition have been developed, coupling complex chemical kinetics with fluid dynamic properties.

  9. Epitaxial thin film growth in outer space

    NASA Technical Reports Server (NTRS)

    Ignatiev, Alex; Chu, C. W.

    1988-01-01

    A new concept for materials processing in space exploits the ultravacuum component of space for thin-film epitaxial growth. The unique LEO space environment is expected to yield 10-ftorr or better pressures, semiinfinite pumping speeds, and large ultravacuum volume (about 100 cu m) without walls. These space ultravacuum properties promise major improvement in the quality, unique nature, and throughput of epitaxially grown materials, including semiconductors, magnetic materials, and thin-film high-temperature superconductors.

  10. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  11. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de; Döscher, Henning

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surfacemore » sensitivity of this method.« less

  12. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    PubMed

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  13. A study of H and D doped ZnO epitaxial films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Li, Y. J.; Kaspar, T. C.; Droubay, T. C.; Joly, A. G.; Nachimuthu, P.; Zhu, Z.; Shutthanandan, V.; Chambers, S. A.

    2008-09-01

    We examine the crystal structure and electrical and optical properties of ZnO epitaxial films grown by pulsed laser deposition in a H2 or D2 ambient. n-type electrical conductivity is enhanced by three orders of magnitude as a result of growing in H2 (D2) compared to ZnO films grown in O2. Hall effect measurements reveal very small carrier activation energies and carrier concentrations in the mid-1018 cm-3 range. Optical absorption measurements show that the enhanced conductivity is not a result of ZnO reduction and interstitial Zn formation. Photoluminescence spectra suggest excitonic emission associated with exciton-hydrogen donor complex formation and show no evidence for midgap emission resulting from defects. We have modeled the transport properties of H (D) doped ZnO films using variable range hopping and surface layer conductivity models, but our data do not fit well with these models. Rather, it appears that growth in H2 (D2) promotes the formation of an exceedingly shallow donor state not seen in ZnO crystals annealed in H2 after growth. This new state may be associated with H (D) substitution at O sites in the lattice.

  14. Coherent Fe-rich nano-scale perovskite oxide phase in epitaxial Sr2FeMoO6 films grown on cubic and scandate substrates

    NASA Astrophysics Data System (ADS)

    Deniz, Hakan; Preziosi, Daniele; Alexe, Marin; Hesse, Dietrich

    2017-01-01

    We report the growth of high-quality epitaxial Sr2FeMoO6 (SFMO) thin films on various unconventional oxide substrates, such as TbScO3, DyScO3, and Sr2Al0.3Ga0.7TaO6 (SAGT) as well as on the most commonly used one, SrTiO3 (STO), by pulsed laser deposition. The films were found to contain a foreign nano-scale phase coherently embedded inside the SFMO film matrix. Through energy dispersive X-ray spectroscopy and scanning transmission electron microscopy, we identified the foreign phase to be Sr2-xFe1+yMo1-yO6, an off-stoichiometric derivative of the SFMO compound with Fe rich content (y ≈ 0.6) and a fairly identical crystal structure to SFMO. The films on STO and SAGT exhibited very good magnetic properties with high Curie temperature values. All the samples have fairly good conducting behavior albeit the presence of a foreign phase. Despite the relatively large number of items of the foreign phase, there is no significant deterioration in the properties of the SFMO films. We discuss in detail how magneto-transport properties are affected by the foreign phase.

  15. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    NASA Astrophysics Data System (ADS)

    Hazbun, Ramsey Michael

    measurements. The deposition of silicon using tetrasilane as a vapor pre-cursor is described for an ultra-high vacuum chemical vapor deposition tool. The growth rates and morphology of the Si epitaxial layers over a range of temperatures and pressures are presented. In order to understand the suitability of tetrasilane for the growth of SiGe and SiGeSn alloys, the layers were characterized using transmission electron microscopy, x-ray diffraction, spectroscopic ellipsometry, atomic force microscopy, and secondary ion mass spectrometry. To date no n-type doping has been demonstrated in GeSn alloys grown via MBE. A GaP decomposition source was used to grow n-type phosphorus doped GeSn layers on p- Ge substrates. Doping concentrations were calibrated using SIMS measurements. GeSn/Ge heterojunction diodes were grown and fabricated into mesa devices. Diode parameters were extracted from current-voltage measurements. The effects of P and Sn concentrations, metallization, and mesa geometry on device performance are all discussed.

  16. Seeded Physical Vapor Transport of Cadmium-Zinc Telluride Crystals: Growth and Characterization

    NASA Technical Reports Server (NTRS)

    Palosz, W.; George, M. A.; Collins, E. E.; Chen, K.-T.; Zhang, Y.; Burger, A.

    1997-01-01

    Crystals of Cd(1-x)Zn(x)Te with x = 0.2 and 40 g in weight were grown on monocrystalline cadmium-zinc telluride seeds by closed-ampoule physical vapor transport with or without excess (Cd + Zn) in the vapor phase. Two post-growth cool-down rates were used. The crystals were characterized using low temperature photoluminescence, atomic force microscopy, chemical etching, X-ray diffraction and electrical measurements. No formation of a second, ZnTe-rich phase was observed.

  17. Bragg projection ptychography on niobium phase domains

    NASA Astrophysics Data System (ADS)

    Burdet, Nicolas; Shi, Xiaowen; Clark, Jesse N.; Huang, Xiaojing; Harder, Ross; Robinson, Ian

    2017-07-01

    Bragg projection ptychography (BPP) is a coherent x-ray diffraction imaging technique which combines the strengths of scanning microscopy with the phase contrast of x-ray ptychography. Here we apply it for high resolution imaging of the phase-shifted crystalline domains associated with epitaxial growth. The advantages of BPP are that the spatial extent of the sample is arbitrary, it is nondestructive, and it gives potentially diffraction limited spatial resolution. Here we demonstrate the application of BPP for revealing the domain structure caused by epitaxial misfit in a nanostructured metallic thin film. Experimental coherent diffraction data were collected from a niobium thin film, epitaxially grown on a sapphire substrate as the beam was scanned across the sample. The data were analyzed by BPP using a carefully selected combination of refinement procedures. The resulting image shows a close packed array of epitaxial domains, shifted with respect to each other due to misfit between the film and its substrate.

  18. The deep levels in InGaAlP epilayers grown by metalorganic chemical vapor deposition using tertiarybutylphosphine

    NASA Astrophysics Data System (ADS)

    Izumiya, T.; Ishikawa, H.; Mashita, M.

    1994-12-01

    InGaAlP epilayers and double-hetero structure light emitting diodes (LEDs) were grown by metalorganic chemical vapor deposition (MOCVD) using tertiarybutylphosphine (TBP). The photoluminescence (PL) intensities were low compared with the epilayer grown using PH 3, and depended markedly on the TBP synthesis lots. Deep levels, were studied and two oxygen related levels were observed in the epilayers with small PL intensities. An intimate relation between the deep levels and the photoluminescence (PL) intensity has been found. A larger TBP flow rate reduced the deep level concentrations and improved the PL intensity.

  19. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  20. Bacterial chemotaxis along vapor-phase gradients of naphthalene.

    PubMed

    Hanzel, Joanna; Harms, Hauke; Wick, Lukas Y

    2010-12-15

    The role of bacterial growth and translocation for the bioremediation of organic contaminants in the vadose zone is poorly understood. Whereas air-filled pores restrict the mobility of bacteria, diffusion of volatile organic compounds in air is more efficient than in water. Past research, however, has focused on chemotactic swimming of bacteria along gradients of water-dissolved chemicals. In this study we tested if and to what extent Pseudomonas putida PpG7 (NAH7) chemotactically reacts to vapor-phase gradients forming above their swimming medium by the volatilization from a spot source of solid naphthalene. The development of an aqueous naphthalene gradient by air-water partitioning was largely suppressed by means of activated carbon in the agar. Surprisingly, strain PpG7 was repelled by vapor-phase naphthalene although the steady state gaseous concentrations were 50-100 times lower than the aqueous concentrations that result in positive chemotaxis of the same strain. It is thus assumed that the efficient gas-phase diffusion resulting in a steady, and possibly toxic, naphthalene flux to the cells controlled the chemotactic reaction rather than the concentration to which the cells were exposed. To our knowledge this is the first demonstration of apparent chemotactic behavior of bacteria in response to vapor-phase effector gradients.